summaryrefslogtreecommitdiff
path: root/HW v1.2/OtterPill.sch
diff options
context:
space:
mode:
Diffstat (limited to 'HW v1.2/OtterPill.sch')
-rw-r--r--HW v1.2/OtterPill.sch2834
1 files changed, 1608 insertions, 1226 deletions
diff --git a/HW v1.2/OtterPill.sch b/HW v1.2/OtterPill.sch
index 53c1726..434f34e 100644
--- a/HW v1.2/OtterPill.sch
+++ b/HW v1.2/OtterPill.sch
@@ -1,1226 +1,1608 @@
-EESchema Schematic File Version 4
-LIBS:OtterPill-cache
-EELAYER 30 0
-EELAYER END
-$Descr A4 11693 8268
-encoding utf-8
-Sheet 1 1
-Title ""
-Date ""
-Rev ""
-Comp ""
-Comment1 ""
-Comment2 ""
-Comment3 ""
-Comment4 ""
-$EndDescr
-$Comp
-L power:GND #PWR0101
-U 1 1 5CC6A3F1
-P 7000 5700
-F 0 "#PWR0101" H 7000 5450 50 0001 C CNN
-F 1 "GND" H 7005 5527 50 0000 C CNN
-F 2 "" H 7000 5700 50 0001 C CNN
-F 3 "" H 7000 5700 50 0001 C CNN
- 1 7000 5700
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+3V3 #PWR0102
-U 1 1 5CC6AAE2
-P 6600 2700
-F 0 "#PWR0102" H 6600 2550 50 0001 C CNN
-F 1 "+3V3" H 6615 2873 50 0000 C CNN
-F 2 "" H 6600 2700 50 0001 C CNN
-F 3 "" H 6600 2700 50 0001 C CNN
- 1 6600 2700
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 6100 3100 5850 3100
-$Comp
-L Device:R_Small R5
-U 1 1 5CC6B319
-P 5450 3200
-F 0 "R5" H 5509 3246 50 0000 L CNN
-F 1 "3k3" H 5509 3155 50 0000 L CNN
-F 2 "Resistor_SMD:R_0402_1005Metric" H 5450 3200 50 0001 C CNN
-F 3 "~" H 5450 3200 50 0001 C CNN
- 1 5450 3200
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0103
-U 1 1 5CC6B524
-P 5450 3300
-F 0 "#PWR0103" H 5450 3050 50 0001 C CNN
-F 1 "GND" H 5455 3127 50 0000 C CNN
-F 2 "" H 5450 3300 50 0001 C CNN
-F 3 "" H 5450 3300 50 0001 C CNN
- 1 5450 3300
- 1 0 0 -1
-$EndComp
-$Comp
-L Switch:SW_Push SW1
-U 1 1 5CC6C487
-P 5450 2700
-F 0 "SW1" V 5496 2652 50 0000 R CNN
-F 1 "DFU" V 5405 2652 50 0000 R CNN
-F 2 "Button_Switch_SMD:SW_SPST_PTS810" H 5450 2900 50 0001 C CNN
-F 3 "~" H 5450 2900 50 0001 C CNN
- 1 5450 2700
- 0 -1 -1 0
-$EndComp
-Connection ~ 5450 3100
-$Comp
-L power:+3V3 #PWR0104
-U 1 1 5CC6C830
-P 5450 2500
-F 0 "#PWR0104" H 5450 2350 50 0001 C CNN
-F 1 "+3V3" H 5465 2673 50 0000 C CNN
-F 2 "" H 5450 2500 50 0001 C CNN
-F 3 "" H 5450 2500 50 0001 C CNN
- 1 5450 2500
- 1 0 0 -1
-$EndComp
-$Comp
-L Connector:USB_C_Receptacle_USB2.0 J1
-U 1 1 5CC6CE04
-P 1350 2450
-F 0 "J1" H 1457 3317 50 0000 C CNN
-F 1 "USB-c" H 1457 3226 50 0000 C CNN
-F 2 "otter:USB-C 16Pin" H 1500 2450 50 0001 C CNN
-F 3 "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" H 1500 2450 50 0001 C CNN
- 1 1350 2450
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 1950 2650 1950 2550
-Wire Wire Line
- 1950 2550 2000 2550
-Connection ~ 1950 2550
-Wire Wire Line
- 1950 2350 1950 2450
-Wire Wire Line
- 2000 2450 1950 2450
-Connection ~ 1950 2450
-$Comp
-L Device:R_Small R1
-U 1 1 5CC6DFF9
-P 1650 4500
-F 0 "R1" V 1454 4500 50 0000 C CNN
-F 1 "DNP" V 1545 4500 50 0000 C CNN
-F 2 "Resistor_SMD:R_0402_1005Metric" H 1650 4500 50 0001 C CNN
-F 3 "~" H 1650 4500 50 0001 C CNN
- 1 1650 4500
- 0 1 1 0
-$EndComp
-$Comp
-L Device:R_Small R2
-U 1 1 5CC6E27F
-P 1650 4600
-F 0 "R2" V 1754 4600 50 0000 C CNN
-F 1 "DNP" V 1845 4600 50 0000 C CNN
-F 2 "Resistor_SMD:R_0402_1005Metric" H 1650 4600 50 0001 C CNN
-F 3 "~" H 1650 4600 50 0001 C CNN
- 1 1650 4600
- 0 1 1 0
-$EndComp
-Wire Wire Line
- 1550 4600 1400 4600
-Wire Wire Line
- 1400 4500 1550 4500
-Wire Wire Line
- 1750 4500 1750 4600
-$Comp
-L power:GND #PWR0105
-U 1 1 5CC6F139
-P 1950 4600
-F 0 "#PWR0105" H 1950 4350 50 0001 C CNN
-F 1 "GND" H 1955 4427 50 0000 C CNN
-F 2 "" H 1950 4600 50 0001 C CNN
-F 3 "" H 1950 4600 50 0001 C CNN
- 1 1950 4600
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 1950 4600 1750 4600
-Connection ~ 1750 4600
-Text GLabel 2000 2450 2 50 Input ~ 0
-USB_N
-Text GLabel 2000 2550 2 50 Input ~ 0
-USB_P
-$Comp
-L power:GND #PWR0107
-U 1 1 5CC7041D
-P 1350 3350
-F 0 "#PWR0107" H 1350 3100 50 0001 C CNN
-F 1 "GND" H 1355 3177 50 0000 C CNN
-F 2 "" H 1350 3350 50 0001 C CNN
-F 3 "" H 1350 3350 50 0001 C CNN
- 1 1350 3350
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0108
-U 1 1 5CC706DC
-P 1050 3350
-F 0 "#PWR0108" H 1050 3100 50 0001 C CNN
-F 1 "GND" H 1055 3177 50 0000 C CNN
-F 2 "" H 1050 3350 50 0001 C CNN
-F 3 "" H 1050 3350 50 0001 C CNN
- 1 1050 3350
- 1 0 0 -1
-$EndComp
-$Comp
-L otter:USBLC6-4 U1
-U 1 1 5CC734BE
-P 3450 3050
-F 0 "U1" H 3450 3375 50 0000 C CNN
-F 1 "USBLC6-4" H 3450 3284 50 0000 C CNN
-F 2 "Package_TO_SOT_SMD:SOT-23-6" H 3450 3050 50 0001 C CNN
-F 3 "" H 3450 3050 50 0001 C CNN
- 1 3450 3050
- 1 0 0 -1
-$EndComp
-Text GLabel 3750 3150 2 50 Input ~ 0
-USB_N
-Text GLabel 3750 3050 2 50 Input ~ 0
-USB_P
-$Comp
-L power:GND #PWR0112
-U 1 1 5CC740A9
-P 3150 3150
-F 0 "#PWR0112" H 3150 2900 50 0001 C CNN
-F 1 "GND" H 3155 2977 50 0000 C CNN
-F 2 "" H 3150 3150 50 0001 C CNN
-F 3 "" H 3150 3150 50 0001 C CNN
- 1 3150 3150
- 1 0 0 -1
-$EndComp
-Text GLabel 7400 5100 2 50 Input ~ 0
-USB_N
-Text GLabel 7400 5200 2 50 Input ~ 0
-USB_P
-$Comp
-L Device:LED D1
-U 1 1 5CC766AA
-P 1350 5600
-F 0 "D1" V 1389 5483 50 0000 R CNN
-F 1 "LED RED" V 1298 5483 50 0000 R CNN
-F 2 "LED_SMD:LED_0603_1608Metric" H 1350 5600 50 0001 C CNN
-F 3 "~" H 1350 5600 50 0001 C CNN
- 1 1350 5600
- 0 -1 -1 0
-$EndComp
-$Comp
-L Device:LED D2
-U 1 1 5CC77263
-P 1850 5600
-F 0 "D2" V 1889 5483 50 0000 R CNN
-F 1 "LED GREEN" V 1798 5483 50 0000 R CNN
-F 2 "LED_SMD:LED_0603_1608Metric" H 1850 5600 50 0001 C CNN
-F 3 "~" H 1850 5600 50 0001 C CNN
- 1 1850 5600
- 0 -1 -1 0
-$EndComp
-$Comp
-L Device:R R3
-U 1 1 5CC777A1
-P 1350 6000
-F 0 "R3" H 1420 6046 50 0000 L CNN
-F 1 "3k3" H 1420 5955 50 0000 L CNN
-F 2 "Resistor_SMD:R_0402_1005Metric" V 1280 6000 50 0001 C CNN
-F 3 "~" H 1350 6000 50 0001 C CNN
- 1 1350 6000
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R R4
-U 1 1 5CC77C43
-P 1850 6000
-F 0 "R4" H 1920 6046 50 0000 L CNN
-F 1 "3k3" H 1920 5955 50 0000 L CNN
-F 2 "Resistor_SMD:R_0402_1005Metric" V 1780 6000 50 0001 C CNN
-F 3 "~" H 1850 6000 50 0001 C CNN
- 1 1850 6000
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 1850 5850 1850 5750
-Wire Wire Line
- 1350 5850 1350 5750
-$Comp
-L power:GND #PWR0114
-U 1 1 5CC79224
-P 1850 6200
-F 0 "#PWR0114" H 1850 5950 50 0001 C CNN
-F 1 "GND" H 1855 6027 50 0000 C CNN
-F 2 "" H 1850 6200 50 0001 C CNN
-F 3 "" H 1850 6200 50 0001 C CNN
- 1 1850 6200
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+3V3 #PWR0115
-U 1 1 5CC7985E
-P 1350 5450
-F 0 "#PWR0115" H 1350 5300 50 0001 C CNN
-F 1 "+3V3" H 1365 5623 50 0000 C CNN
-F 2 "" H 1350 5450 50 0001 C CNN
-F 3 "" H 1350 5450 50 0001 C CNN
- 1 1350 5450
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C2
-U 1 1 5CC7B488
-P 7150 1400
-F 0 "C2" H 7242 1446 50 0000 L CNN
-F 1 "100n 50V" H 7242 1355 50 0000 L CNN
-F 2 "Capacitor_SMD:C_0603_1608Metric" H 7150 1400 50 0001 C CNN
-F 3 "~" H 7150 1400 50 0001 C CNN
- 1 7150 1400
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C1
-U 1 1 5CC7B876
-P 6750 1400
-F 0 "C1" H 6842 1446 50 0000 L CNN
-F 1 "10u 25V" H 6842 1355 50 0000 L CNN
-F 2 "Capacitor_SMD:C_0805_2012Metric" H 6750 1400 50 0001 C CNN
-F 3 "~" H 6750 1400 50 0001 C CNN
-F 4 "C15850" H 6750 1400 50 0001 C CNN "LCSC"
- 1 6750 1400
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C3
-U 1 1 5CC7BFF7
-P 8500 1000
-F 0 "C3" V 8729 1000 50 0000 C CNN
-F 1 "100n 50V" V 8638 1000 50 0000 C CNN
-F 2 "Capacitor_SMD:C_0402_1005Metric" H 8500 1000 50 0001 C CNN
-F 3 "~" H 8500 1000 50 0001 C CNN
- 1 8500 1000
- 0 -1 -1 0
-$EndComp
-$Comp
-L Device:C_Small C4
-U 1 1 5CC7C363
-P 9350 1400
-F 0 "C4" H 9442 1446 50 0000 L CNN
-F 1 "10u 25V" H 9442 1355 50 0000 L CNN
-F 2 "Capacitor_SMD:C_0805_2012Metric" H 9350 1400 50 0001 C CNN
-F 3 "~" H 9350 1400 50 0001 C CNN
-F 4 "C15850" H 9350 1400 50 0001 C CNN "LCSC"
- 1 9350 1400
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C5
-U 1 1 5CC7C738
-P 10350 1400
-F 0 "C5" H 10442 1446 50 0000 L CNN
-F 1 "100n 50V" H 10442 1355 50 0000 L CNN
-F 2 "Capacitor_SMD:C_0402_1005Metric" H 10350 1400 50 0001 C CNN
-F 3 "~" H 10350 1400 50 0001 C CNN
- 1 10350 1400
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:L_Small L1
-U 1 1 5CC7CFF2
-P 8800 1250
-F 0 "L1" V 8985 1250 50 0000 C CNN
-F 1 "4u7" V 8894 1250 50 0000 C CNN
-F 2 "Inductor_SMD:L_1210_3225Metric" H 8800 1250 50 0001 C CNN
-F 3 "~" H 8800 1250 50 0001 C CNN
-F 4 "C86070" V 8800 1250 50 0001 C CNN "LCSC"
- 1 8800 1250
- 0 -1 -1 0
-$EndComp
-$Comp
-L Device:R_Small R6
-U 1 1 5CC7E81D
-P 9050 1450
-F 0 "R6" H 9109 1496 50 0000 L CNN
-F 1 "100k" H 9109 1405 50 0000 L CNN
-F 2 "Resistor_SMD:R_0402_1005Metric" H 9050 1450 50 0001 C CNN
-F 3 "~" H 9050 1450 50 0001 C CNN
- 1 9050 1450
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R7
-U 1 1 5CC7EDDA
-P 9050 1750
-F 0 "R7" H 9109 1796 50 0000 L CNN
-F 1 "22k" H 9109 1705 50 0000 L CNN
-F 2 "Resistor_SMD:R_0402_1005Metric" H 9050 1750 50 0001 C CNN
-F 3 "~" H 9050 1750 50 0001 C CNN
- 1 9050 1750
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 9050 1650 9050 1600
-Connection ~ 9050 1600
-Wire Wire Line
- 9050 1600 9050 1550
-$Comp
-L power:+3V3 #PWR0116
-U 1 1 5CC81BF3
-P 10350 1250
-F 0 "#PWR0116" H 10350 1100 50 0001 C CNN
-F 1 "+3V3" H 10365 1423 50 0000 C CNN
-F 2 "" H 10350 1250 50 0001 C CNN
-F 3 "" H 10350 1250 50 0001 C CNN
- 1 10350 1250
- 1 0 0 -1
-$EndComp
-$Comp
-L power:VBUS #PWR0117
-U 1 1 5CC82F71
-P 6750 1200
-F 0 "#PWR0117" H 6750 1050 50 0001 C CNN
-F 1 "VBUS" H 6765 1373 50 0000 C CNN
-F 2 "" H 6750 1200 50 0001 C CNN
-F 3 "" H 6750 1200 50 0001 C CNN
- 1 6750 1200
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0118
-U 1 1 5CC833B2
-P 6750 1500
-F 0 "#PWR0118" H 6750 1250 50 0001 C CNN
-F 1 "GND" H 6755 1327 50 0000 C CNN
-F 2 "" H 6750 1500 50 0001 C CNN
-F 3 "" H 6750 1500 50 0001 C CNN
- 1 6750 1500
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0119
-U 1 1 5CC837F0
-P 7150 1500
-F 0 "#PWR0119" H 7150 1250 50 0001 C CNN
-F 1 "GND" H 7155 1327 50 0000 C CNN
-F 2 "" H 7150 1500 50 0001 C CNN
-F 3 "" H 7150 1500 50 0001 C CNN
- 1 7150 1500
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0120
-U 1 1 5CC84358
-P 9050 1850
-F 0 "#PWR0120" H 9050 1600 50 0001 C CNN
-F 1 "GND" H 9055 1677 50 0000 C CNN
-F 2 "" H 9050 1850 50 0001 C CNN
-F 3 "" H 9050 1850 50 0001 C CNN
- 1 9050 1850
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0122
-U 1 1 5CC84C54
-P 9350 1500
-F 0 "#PWR0122" H 9350 1250 50 0001 C CNN
-F 1 "GND" H 9355 1327 50 0000 C CNN
-F 2 "" H 9350 1500 50 0001 C CNN
-F 3 "" H 9350 1500 50 0001 C CNN
- 1 9350 1500
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0123
-U 1 1 5CC8507E
-P 10350 1500
-F 0 "#PWR0123" H 10350 1250 50 0001 C CNN
-F 1 "GND" H 10355 1327 50 0000 C CNN
-F 2 "" H 10350 1500 50 0001 C CNN
-F 3 "" H 10350 1500 50 0001 C CNN
- 1 10350 1500
- 1 0 0 -1
-$EndComp
-$Comp
-L Connector:Conn_01x15_Male J3
-U 1 1 5CC7BD12
-P 8750 4450
-F 0 "J3" H 8723 4382 50 0000 R CNN
-F 1 "1" H 8723 4473 50 0000 R CNN
-F 2 "Connector_PinHeader_2.54mm:PinHeader_1x15_P2.54mm_Vertical" H 8750 4450 50 0001 C CNN
-F 3 "~" H 8750 4450 50 0001 C CNN
- 1 8750 4450
- 1 0 0 1
-$EndComp
-$Comp
-L Connector:Conn_01x15_Male J4
-U 1 1 5CC7E7E9
-P 10350 4450
-F 0 "J4" H 10322 4382 50 0000 R CNN
-F 1 "2" H 10322 4473 50 0000 R CNN
-F 2 "Connector_PinHeader_2.54mm:PinHeader_1x15_P2.54mm_Vertical" H 10350 4450 50 0001 C CNN
-F 3 "~" H 10350 4450 50 0001 C CNN
- 1 10350 4450
- -1 0 0 1
-$EndComp
-$Comp
-L power:GND #PWR0124
-U 1 1 5CC811D0
-P 8950 4050
-F 0 "#PWR0124" H 8950 3800 50 0001 C CNN
-F 1 "GND" V 8955 3922 50 0000 R CNN
-F 2 "" H 8950 4050 50 0001 C CNN
-F 3 "" H 8950 4050 50 0001 C CNN
- 1 8950 4050
- 0 -1 -1 0
-$EndComp
-$Comp
-L power:GND #PWR0125
-U 1 1 5CC81D26
-P 10150 3850
-F 0 "#PWR0125" H 10150 3600 50 0001 C CNN
-F 1 "GND" V 10155 3722 50 0000 R CNN
-F 2 "" H 10150 3850 50 0001 C CNN
-F 3 "" H 10150 3850 50 0001 C CNN
- 1 10150 3850
- 0 1 1 0
-$EndComp
-$Comp
-L power:+3V3 #PWR0127
-U 1 1 5CC8320E
-P 10150 5050
-F 0 "#PWR0127" H 10150 4900 50 0001 C CNN
-F 1 "+3V3" V 10165 5178 50 0000 L CNN
-F 2 "" H 10150 5050 50 0001 C CNN
-F 3 "" H 10150 5050 50 0001 C CNN
- 1 10150 5050
- 0 -1 -1 0
-$EndComp
-$Comp
-L power:+3V3 #PWR0128
-U 1 1 5CC83A2D
-P 10150 4050
-F 0 "#PWR0128" H 10150 3900 50 0001 C CNN
-F 1 "+3V3" V 10165 4178 50 0000 L CNN
-F 2 "" H 10150 4050 50 0001 C CNN
-F 3 "" H 10150 4050 50 0001 C CNN
- 1 10150 4050
- 0 -1 -1 0
-$EndComp
-Text GLabel 7400 5300 2 50 Input ~ 0
-SWDIO
-Text GLabel 7400 5400 2 50 Input ~ 0
-SWCLK
-$Comp
-L Connector:Conn_01x04_Male J5
-U 1 1 5CC91817
-P 3050 6100
-F 0 "J5" H 3158 6381 50 0000 C CNN
-F 1 "SWD" H 3158 6290 50 0000 C CNN
-F 2 "otter:PinHeader_1x04_P2.54mm_Horizontal" H 3050 6100 50 0001 C CNN
-F 3 "~" H 3050 6100 50 0001 C CNN
- 1 3050 6100
- 1 0 0 -1
-$EndComp
-Text GLabel 3250 6100 2 50 Input ~ 0
-SWDIO
-Text GLabel 3250 6200 2 50 Input ~ 0
-SWCLK
-$Comp
-L power:GND #PWR0129
-U 1 1 5CC927D6
-P 3250 6300
-F 0 "#PWR0129" H 3250 6050 50 0001 C CNN
-F 1 "GND" V 3255 6172 50 0000 R CNN
-F 2 "" H 3250 6300 50 0001 C CNN
-F 3 "" H 3250 6300 50 0001 C CNN
- 1 3250 6300
- 0 -1 -1 0
-$EndComp
-$Comp
-L power:+3V3 #PWR0130
-U 1 1 5CC92E42
-P 3250 6000
-F 0 "#PWR0130" H 3250 5850 50 0001 C CNN
-F 1 "+3V3" V 3265 6128 50 0000 L CNN
-F 2 "" H 3250 6000 50 0001 C CNN
-F 3 "" H 3250 6000 50 0001 C CNN
- 1 3250 6000
- 0 1 1 0
-$EndComp
-$Comp
-L power:GND #PWR0131
-U 1 1 5CC749DE
-P 8200 2000
-F 0 "#PWR0131" H 8200 1750 50 0001 C CNN
-F 1 "GND" H 8205 1827 50 0000 C CNN
-F 2 "" H 8200 2000 50 0001 C CNN
-F 3 "" H 8200 2000 50 0001 C CNN
- 1 8200 2000
- 1 0 0 -1
-$EndComp
-$Comp
-L Interface_USB:FUSB302BMPX U4
-U 1 1 5CC75360
-P 3450 1800
-F 0 "U4" H 3800 1300 50 0000 C CNN
-F 1 "FUSB302BMPX" H 3800 1400 50 0000 C CNN
-F 2 "Package_DFN_QFN:WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm" H 3450 1300 50 0001 C CNN
-F 3 "http://www.onsemi.com/pub/Collateral/FUSB302B-D.PDF" H 3550 1400 50 0001 C CNN
- 1 3450 1800
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0132
-U 1 1 5CC75E62
-P 3450 2200
-F 0 "#PWR0132" H 3450 1950 50 0001 C CNN
-F 1 "GND" H 3455 2027 50 0000 C CNN
-F 2 "" H 3450 2200 50 0001 C CNN
-F 3 "" H 3450 2200 50 0001 C CNN
- 1 3450 2200
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+3V3 #PWR0133
-U 1 1 5CC76807
-P 3350 1400
-F 0 "#PWR0133" H 3350 1250 50 0001 C CNN
-F 1 "+3V3" H 3365 1573 50 0000 C CNN
-F 2 "" H 3350 1400 50 0001 C CNN
-F 3 "" H 3350 1400 50 0001 C CNN
- 1 3350 1400
- 1 0 0 -1
-$EndComp
-$Comp
-L power:VBUS #PWR0134
-U 1 1 5CC77243
-P 3950 1700
-F 0 "#PWR0134" H 3950 1550 50 0001 C CNN
-F 1 "VBUS" V 3965 1828 50 0000 L CNN
-F 2 "" H 3950 1700 50 0001 C CNN
-F 3 "" H 3950 1700 50 0001 C CNN
- 1 3950 1700
- 0 1 1 0
-$EndComp
-Text GLabel 2950 1700 0 50 Input ~ 0
-SDA
-Text GLabel 2950 1800 0 50 Input ~ 0
-SCL
-Text GLabel 2950 1900 0 50 Input ~ 0
-INT_N
-Text GLabel 4150 1800 2 50 Input ~ 0
-CC1
-Text GLabel 4150 1900 2 50 Input ~ 0
-CC2
-$Comp
-L Device:R_Small R8
-U 1 1 5CC7AF23
-P 4050 1800
-F 0 "R8" V 3854 1800 50 0000 C CNN
-F 1 "0" V 3945 1800 50 0000 C CNN
-F 2 "Resistor_SMD:R_0402_1005Metric" H 4050 1800 50 0001 C CNN
-F 3 "~" H 4050 1800 50 0001 C CNN
- 1 4050 1800
- 0 1 1 0
-$EndComp
-$Comp
-L Device:R_Small R9
-U 1 1 5CC7B6DA
-P 4050 1900
-F 0 "R9" V 4154 1900 50 0000 C CNN
-F 1 "0" V 4245 1900 50 0000 C CNN
-F 2 "Resistor_SMD:R_0402_1005Metric" H 4050 1900 50 0001 C CNN
-F 3 "~" H 4050 1900 50 0001 C CNN
- 1 4050 1900
- 0 1 1 0
-$EndComp
-Text GLabel 3150 3050 0 50 Input ~ 0
-CC1
-Text GLabel 3150 2950 0 50 Input ~ 0
-CC2
-Text GLabel 1950 2050 2 50 Input ~ 0
-CC1
-Text GLabel 1950 2150 2 50 Input ~ 0
-CC2
-Text GLabel 1400 4600 0 50 Input ~ 0
-CC1
-Text GLabel 1400 4500 0 50 Input ~ 0
-CC2
-Text GLabel 6100 5100 0 50 Input ~ 0
-SDA
-Text GLabel 6100 5000 0 50 Input ~ 0
-SCL
-Text GLabel 6100 5200 0 50 Input ~ 0
-INT_N
-NoConn ~ 1950 2950
-NoConn ~ 1950 3050
-$Comp
-L Device:C_Small C6
-U 1 1 5CC98A03
-P 1000 7150
-F 0 "C6" H 1092 7196 50 0000 L CNN
-F 1 "100n 50V" H 1092 7105 50 0000 L CNN
-F 2 "Capacitor_SMD:C_0402_1005Metric" H 1000 7150 50 0001 C CNN
-F 3 "~" H 1000 7150 50 0001 C CNN
- 1 1000 7150
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+3V3 #PWR0135
-U 1 1 5CC98A0A
-P 1000 7050
-F 0 "#PWR0135" H 1000 6900 50 0001 C CNN
-F 1 "+3V3" H 1015 7223 50 0000 C CNN
-F 2 "" H 1000 7050 50 0001 C CNN
-F 3 "" H 1000 7050 50 0001 C CNN
- 1 1000 7050
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0136
-U 1 1 5CC98A11
-P 1000 7250
-F 0 "#PWR0136" H 1000 7000 50 0001 C CNN
-F 1 "GND" H 1005 7077 50 0000 C CNN
-F 2 "" H 1000 7250 50 0001 C CNN
-F 3 "" H 1000 7250 50 0001 C CNN
- 1 1000 7250
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C7
-U 1 1 5CC9A6D0
-P 1550 7150
-F 0 "C7" H 1642 7196 50 0000 L CNN
-F 1 "100n 50V" H 1642 7105 50 0000 L CNN
-F 2 "Capacitor_SMD:C_0402_1005Metric" H 1550 7150 50 0001 C CNN
-F 3 "~" H 1550 7150 50 0001 C CNN
- 1 1550 7150
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+3V3 #PWR0137
-U 1 1 5CC9A6D6
-P 1550 7050
-F 0 "#PWR0137" H 1550 6900 50 0001 C CNN
-F 1 "+3V3" H 1565 7223 50 0000 C CNN
-F 2 "" H 1550 7050 50 0001 C CNN
-F 3 "" H 1550 7050 50 0001 C CNN
- 1 1550 7050
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0138
-U 1 1 5CC9A6DC
-P 1550 7250
-F 0 "#PWR0138" H 1550 7000 50 0001 C CNN
-F 1 "GND" H 1555 7077 50 0000 C CNN
-F 2 "" H 1550 7250 50 0001 C CNN
-F 3 "" H 1550 7250 50 0001 C CNN
- 1 1550 7250
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C8
-U 1 1 5CC9B0EC
-P 2100 7150
-F 0 "C8" H 2192 7196 50 0000 L CNN
-F 1 "100n 50V" H 2192 7105 50 0000 L CNN
-F 2 "Capacitor_SMD:C_0402_1005Metric" H 2100 7150 50 0001 C CNN
-F 3 "~" H 2100 7150 50 0001 C CNN
- 1 2100 7150
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+3V3 #PWR0139
-U 1 1 5CC9B0F2
-P 2100 7050
-F 0 "#PWR0139" H 2100 6900 50 0001 C CNN
-F 1 "+3V3" H 2115 7223 50 0000 C CNN
-F 2 "" H 2100 7050 50 0001 C CNN
-F 3 "" H 2100 7050 50 0001 C CNN
- 1 2100 7050
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0140
-U 1 1 5CC9B0F8
-P 2100 7250
-F 0 "#PWR0140" H 2100 7000 50 0001 C CNN
-F 1 "GND" H 2105 7077 50 0000 C CNN
-F 2 "" H 2100 7250 50 0001 C CNN
-F 3 "" H 2100 7250 50 0001 C CNN
- 1 2100 7250
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C9
-U 1 1 5CC9BB08
-P 2650 7150
-F 0 "C9" H 2742 7196 50 0000 L CNN
-F 1 "100n 50V" H 2742 7105 50 0000 L CNN
-F 2 "Capacitor_SMD:C_0402_1005Metric" H 2650 7150 50 0001 C CNN
-F 3 "~" H 2650 7150 50 0001 C CNN
- 1 2650 7150
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+3V3 #PWR0141
-U 1 1 5CC9BB0E
-P 2650 7050
-F 0 "#PWR0141" H 2650 6900 50 0001 C CNN
-F 1 "+3V3" H 2665 7223 50 0000 C CNN
-F 2 "" H 2650 7050 50 0001 C CNN
-F 3 "" H 2650 7050 50 0001 C CNN
- 1 2650 7050
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0142
-U 1 1 5CC9BB14
-P 2650 7250
-F 0 "#PWR0142" H 2650 7000 50 0001 C CNN
-F 1 "GND" H 2655 7077 50 0000 C CNN
-F 2 "" H 2650 7250 50 0001 C CNN
-F 3 "" H 2650 7250 50 0001 C CNN
- 1 2650 7250
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C10
-U 1 1 5CC9C524
-P 3200 7150
-F 0 "C10" H 3292 7196 50 0000 L CNN
-F 1 "100n 50V" H 3292 7105 50 0000 L CNN
-F 2 "Capacitor_SMD:C_0402_1005Metric" H 3200 7150 50 0001 C CNN
-F 3 "~" H 3200 7150 50 0001 C CNN
- 1 3200 7150
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0143
-U 1 1 5CC9C530
-P 3200 7250
-F 0 "#PWR0143" H 3200 7000 50 0001 C CNN
-F 1 "GND" H 3205 7077 50 0000 C CNN
-F 2 "" H 3200 7250 50 0001 C CNN
-F 3 "" H 3200 7250 50 0001 C CNN
- 1 3200 7250
- 1 0 0 -1
-$EndComp
-$Comp
-L power:VBUS #PWR0144
-U 1 1 5CC9D5C7
-P 3200 7050
-F 0 "#PWR0144" H 3200 6900 50 0001 C CNN
-F 1 "VBUS" H 3215 7223 50 0000 C CNN
-F 2 "" H 3200 7050 50 0001 C CNN
-F 3 "" H 3200 7050 50 0001 C CNN
- 1 3200 7050
- 1 0 0 -1
-$EndComp
-Text GLabel 2550 4600 0 50 Input ~ 0
-SDA
-Text GLabel 2550 4500 0 50 Input ~ 0
-SCL
-$Comp
-L Device:R_Small R10
-U 1 1 5CCA53EF
-P 2750 4500
-F 0 "R10" V 2554 4500 50 0000 C CNN
-F 1 "3k3" V 2645 4500 50 0000 C CNN
-F 2 "Resistor_SMD:R_0402_1005Metric" H 2750 4500 50 0001 C CNN
-F 3 "~" H 2750 4500 50 0001 C CNN
- 1 2750 4500
- 0 1 1 0
-$EndComp
-Wire Wire Line
- 2850 4600 2950 4600
-Wire Wire Line
- 2850 4500 2850 4600
-$Comp
-L power:+3.3V #PWR0145
-U 1 1 5CCA7D73
-P 2950 4600
-F 0 "#PWR0145" H 2950 4450 50 0001 C CNN
-F 1 "+3.3V" V 2965 4728 50 0000 L CNN
-F 2 "" H 2950 4600 50 0001 C CNN
-F 3 "" H 2950 4600 50 0001 C CNN
- 1 2950 4600
- 0 1 1 0
-$EndComp
-Text GLabel 10150 3750 0 50 Input ~ 0
-VIN
-Text GLabel 10150 5150 0 50 Input ~ 0
-PB13
-Text GLabel 10150 4950 0 50 Input ~ 0
-PA8
-Text GLabel 10150 4850 0 50 Input ~ 0
-PB1
-Text GLabel 10150 4750 0 50 Input ~ 0
-PB8
-Text GLabel 10150 4650 0 50 Input ~ 0
-PA15
-Text GLabel 10150 4550 0 50 Input ~ 0
-PB2
-Text GLabel 10150 4450 0 50 Input ~ 0
-PB7
-Text GLabel 10150 4350 0 50 Input ~ 0
-PB6
-Text GLabel 10150 4250 0 50 Input ~ 0
-PB3
-Text GLabel 10150 4150 0 50 Input ~ 0
-PB4
-Text GLabel 10150 3950 0 50 Input ~ 0
-PB5
-Text GLabel 8950 5150 2 50 Input ~ 0
-PB14
-Text GLabel 8950 5050 2 50 Input ~ 0
-PB15
-Text GLabel 8950 4950 2 50 Input ~ 0
-PB0
-Text GLabel 8950 4750 2 50 Input ~ 0
-PA7
-Text GLabel 8950 4650 2 50 Input ~ 0
-PA6
-Text GLabel 8950 4550 2 50 Input ~ 0
-PA5
-Text GLabel 8950 4450 2 50 Input ~ 0
-PA4
-Text GLabel 8950 4350 2 50 Input ~ 0
-PA3
-Text GLabel 8950 4250 2 50 Input ~ 0
-PA2
-Text GLabel 8950 4150 2 50 Input ~ 0
-PA1
-Text GLabel 8950 3950 2 50 Input ~ 0
-PB9
-Text GLabel 8950 3850 2 50 Input ~ 0
-PA10
-Text GLabel 8950 3750 2 50 Input ~ 0
-PA9
-Text GLabel 6100 5300 0 50 Input ~ 0
-PB13
-Text GLabel 7400 4800 2 50 Input ~ 0
-PA8
-Text GLabel 6100 4100 0 50 Input ~ 0
-PB1
-Text GLabel 6100 4800 0 50 Input ~ 0
-PB8
-Text GLabel 7400 5500 2 50 Input ~ 0
-PA15
-Text GLabel 6100 4200 0 50 Input ~ 0
-PB2
-Text GLabel 6100 4700 0 50 Input ~ 0
-PB7
-Text GLabel 1850 5450 1 50 Input ~ 0
-PB13
-Text GLabel 6100 4600 0 50 Input ~ 0
-PB6
-Text GLabel 6100 4300 0 50 Input ~ 0
-PB3
-Text GLabel 6100 4400 0 50 Input ~ 0
-PB4
-Text GLabel 6100 4500 0 50 Input ~ 0
-PB5
-Text GLabel 7400 4900 2 50 Input ~ 0
-PA9
-Text GLabel 7400 5000 2 50 Input ~ 0
-PA10
-Text GLabel 6100 5400 0 50 Input ~ 0
-PB14
-Text GLabel 6100 5500 0 50 Input ~ 0
-PB15
-Text GLabel 6100 4000 0 50 Input ~ 0
-PB0
-Text GLabel 7400 4000 2 50 Input ~ 0
-PA0
-Text GLabel 7400 4700 2 50 Input ~ 0
-PA7
-Text GLabel 7400 4600 2 50 Input ~ 0
-PA6
-Text GLabel 7400 4500 2 50 Input ~ 0
-PA5
-Text GLabel 7400 4400 2 50 Input ~ 0
-PA4
-Text GLabel 7400 4300 2 50 Input ~ 0
-PA3
-Text GLabel 7400 4200 2 50 Input ~ 0
-PA2
-Text GLabel 7400 4100 2 50 Input ~ 0
-PA1
-Text GLabel 6100 4900 0 50 Input ~ 0
-PB9
-Text GLabel 8950 4850 2 50 Input ~ 0
-INT_N
-Connection ~ 5850 3100
-Wire Wire Line
- 5850 3100 5450 3100
-Wire Wire Line
- 6100 3600 5850 3600
-Wire Wire Line
- 5850 3600 5850 3100
-$Comp
-L Device:R_Small R11
-U 1 1 5CCA53F5
-P 2750 4600
-F 0 "R11" V 2854 4600 50 0000 C CNN
-F 1 "3k3" V 2945 4600 50 0000 C CNN
-F 2 "Resistor_SMD:R_0402_1005Metric" H 2750 4600 50 0001 C CNN
-F 3 "~" H 2750 4600 50 0001 C CNN
- 1 2750 4600
- 0 1 1 0
-$EndComp
-Wire Wire Line
- 2550 4500 2650 4500
-Wire Wire Line
- 2650 4600 2550 4600
-$Comp
-L power:+3V3 #PWR0109
-U 1 1 5DA7B56B
-P 3750 2950
-F 0 "#PWR0109" H 3750 2800 50 0001 C CNN
-F 1 "+3V3" H 3765 3123 50 0000 C CNN
-F 2 "" H 3750 2950 50 0001 C CNN
-F 3 "" H 3750 2950 50 0001 C CNN
- 1 3750 2950
- 1 0 0 -1
-$EndComp
-$Comp
-L MCU_ST_STM32F0:STM32F072C8Tx U2
-U 1 1 5DAB6EF4
-P 6800 4200
-F 0 "U2" H 6750 2614 50 0000 C CNN
-F 1 "STM32F072C8Tx" H 6750 2450 50 0000 C CNN
-F 2 "Package_QFP:LQFP-48_7x7mm_P0.5mm" H 6200 2800 50 0001 R CNN
-F 3 "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00090510.pdf" H 6800 4200 50 0001 C CNN
- 1 6800 4200
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 6900 5700 7000 5700
-Wire Wire Line
- 6900 5700 6800 5700
-Connection ~ 6900 5700
-Connection ~ 6700 5700
-Wire Wire Line
- 6700 5700 6600 5700
-Connection ~ 6800 5700
-Wire Wire Line
- 6800 5700 6700 5700
-Wire Wire Line
- 7000 2700 6900 2700
-Connection ~ 6600 2700
-Connection ~ 6700 2700
-Wire Wire Line
- 6700 2700 6600 2700
-Connection ~ 6800 2700
-Wire Wire Line
- 6800 2700 6700 2700
-Connection ~ 6900 2700
-Wire Wire Line
- 6900 2700 6800 2700
-$Comp
-L Connector:Conn_01x01_Female J2
-U 1 1 5DABAE9C
-P 3400 5250
-F 0 "J2" H 3428 5276 50 0000 L CNN
-F 1 "VBUS" H 3428 5185 50 0000 L CNN
-F 2 "Connector_PinHeader_2.54mm:PinHeader_1x01_P2.54mm_Vertical" H 3400 5250 50 0001 C CNN
-F 3 "~" H 3400 5250 50 0001 C CNN
- 1 3400 5250
- 1 0 0 -1
-$EndComp
-$Comp
-L Connector:Conn_01x01_Female J6
-U 1 1 5DABB007
-P 3400 5450
-F 0 "J6" H 3427 5476 50 0000 L CNN
-F 1 "GND" H 3427 5385 50 0000 L CNN
-F 2 "Connector_PinHeader_2.54mm:PinHeader_1x01_P2.54mm_Vertical" H 3400 5450 50 0001 C CNN
-F 3 "~" H 3400 5450 50 0001 C CNN
- 1 3400 5450
- 1 0 0 -1
-$EndComp
-$Comp
-L power:VBUS #PWR0110
-U 1 1 5DABB4D2
-P 3200 5250
-F 0 "#PWR0110" H 3200 5100 50 0001 C CNN
-F 1 "VBUS" V 3215 5377 50 0000 L CNN
-F 2 "" H 3200 5250 50 0001 C CNN
-F 3 "" H 3200 5250 50 0001 C CNN
- 1 3200 5250
- 0 -1 -1 0
-$EndComp
-$Comp
-L power:GND #PWR0111
-U 1 1 5DABE7C4
-P 3200 5450
-F 0 "#PWR0111" H 3200 5200 50 0001 C CNN
-F 1 "GND" V 3205 5322 50 0000 R CNN
-F 2 "" H 3200 5450 50 0001 C CNN
-F 3 "" H 3200 5450 50 0001 C CNN
- 1 3200 5450
- 0 1 1 0
-$EndComp
-$Comp
-L otter:JW5026 U3
-U 1 1 5DAC78AF
-P 8200 1500
-F 0 "U3" H 8000 2050 50 0000 C CNN
-F 1 "SY8201" H 8000 1950 50 0000 C CNN
-F 2 "Package_TO_SOT_SMD:SOT-23-6" H 8200 1400 50 0001 C CNN
-F 3 "" H 8200 1400 50 0001 C CNN
- 1 8200 1500
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 8400 1000 8200 1000
-Wire Wire Line
- 8200 1000 8200 1050
-Wire Wire Line
- 8600 1250 8650 1250
-Wire Wire Line
- 8650 1250 8650 1000
-Wire Wire Line
- 8650 1000 8600 1000
-Connection ~ 8650 1250
-Wire Wire Line
- 8650 1250 8700 1250
-Wire Wire Line
- 8900 1250 9050 1250
-Connection ~ 9050 1250
-Wire Wire Line
- 9050 1250 9050 1350
-Wire Wire Line
- 8600 1600 9050 1600
-$Comp
-L Device:R_Small R12
-U 1 1 5DAD7369
-P 7650 1400
-F 0 "R12" H 7709 1446 50 0000 L CNN
-F 1 "100k" H 7709 1355 50 0000 L CNN
-F 2 "Resistor_SMD:R_0402_1005Metric" H 7650 1400 50 0001 C CNN
-F 3 "~" H 7650 1400 50 0001 C CNN
- 1 7650 1400
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 7800 1600 7650 1600
-Wire Wire Line
- 7650 1600 7650 1500
-Wire Wire Line
- 7650 1250 7650 1300
-Wire Wire Line
- 7650 1250 7800 1250
-Wire Wire Line
- 6750 1250 7150 1250
-Wire Wire Line
- 6750 1300 6750 1250
-Connection ~ 6750 1250
-Wire Wire Line
- 6750 1250 6750 1200
-Wire Wire Line
- 7150 1300 7150 1250
-Connection ~ 7150 1250
-Wire Wire Line
- 9050 1250 9350 1250
-Wire Wire Line
- 9350 1300 9350 1250
-Wire Wire Line
- 10350 1300 10350 1250
-Connection ~ 10350 1250
-$Comp
-L Device:C_Small C11
-U 1 1 5DAE2987
-P 9850 1400
-F 0 "C11" H 9942 1446 50 0000 L CNN
-F 1 "10u 25V" H 9942 1355 50 0000 L CNN
-F 2 "Capacitor_SMD:C_0805_2012Metric" H 9850 1400 50 0001 C CNN
-F 3 "~" H 9850 1400 50 0001 C CNN
-F 4 "C15850" H 9850 1400 50 0001 C CNN "LCSC"
- 1 9850 1400
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0113
-U 1 1 5DAE298E
-P 9850 1500
-F 0 "#PWR0113" H 9850 1250 50 0001 C CNN
-F 1 "GND" H 9855 1327 50 0000 C CNN
-F 2 "" H 9850 1500 50 0001 C CNN
-F 3 "" H 9850 1500 50 0001 C CNN
- 1 9850 1500
- 1 0 0 -1
-$EndComp
-Connection ~ 9350 1250
-Wire Wire Line
- 9350 1250 9850 1250
-Wire Wire Line
- 9850 1300 9850 1250
-Connection ~ 9850 1250
-Wire Wire Line
- 9850 1250 10350 1250
-Wire Wire Line
- 7150 1250 7650 1250
-Connection ~ 7650 1250
-Connection ~ 2850 4600
-$Comp
-L power:VBUS #PWR0106
-U 1 1 5CC6FC9E
-P 1950 1850
-F 0 "#PWR0106" H 1950 1700 50 0001 C CNN
-F 1 "VBUS" H 1965 2023 50 0000 C CNN
-F 2 "" H 1950 1850 50 0001 C CNN
-F 3 "" H 1950 1850 50 0001 C CNN
- 1 1950 1850
- 1 0 0 -1
-$EndComp
-Text GLabel 6950 1250 1 50 Input ~ 0
-VIN
-$Comp
-L Connector:Conn_01x01_Female J7
-U 1 1 5DB0ECB3
-P 5200 3100
-F 0 "J7" H 5094 2875 50 0000 C CNN
-F 1 "PAD" H 5094 2966 50 0000 C CNN
-F 2 "TestPoint:TestPoint_Pad_1.0x1.0mm" H 5200 3100 50 0001 C CNN
-F 3 "~" H 5200 3100 50 0001 C CNN
- 1 5200 3100
- -1 0 0 1
-$EndComp
-Wire Wire Line
- 5400 3100 5450 3100
-$Comp
-L Device:R_Small R13
-U 1 1 5DB13626
-P 5450 3000
-F 0 "R13" H 5509 3046 50 0000 L CNN
-F 1 "100" H 5509 2955 50 0000 L CNN
-F 2 "Resistor_SMD:R_0402_1005Metric" H 5450 3000 50 0001 C CNN
-F 3 "~" H 5450 3000 50 0001 C CNN
- 1 5450 3000
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR?
-U 1 1 5E05102B
-P 1350 6200
-F 0 "#PWR?" H 1350 5950 50 0001 C CNN
-F 1 "GND" H 1355 6027 50 0000 C CNN
-F 2 "" H 1350 6200 50 0001 C CNN
-F 3 "" H 1350 6200 50 0001 C CNN
- 1 1350 6200
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 1350 6200 1350 6150
-Wire Wire Line
- 1850 6200 1850 6150
-$EndSCHEMATC
+EESchema Schematic File Version 4
+EELAYER 30 0
+EELAYER END
+$Descr A4 11693 8268
+encoding utf-8
+Sheet 1 1
+Title ""
+Date ""
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+$Comp
+L power:GND #PWR0101
+U 1 1 5CC6A3F1
+P 7000 5700
+F 0 "#PWR0101" H 7000 5450 50 0001 C CNN
+F 1 "GND" H 7005 5527 50 0000 C CNN
+F 2 "" H 7000 5700 50 0001 C CNN
+F 3 "" H 7000 5700 50 0001 C CNN
+ 1 7000 5700
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:+3V3 #PWR0102
+U 1 1 5CC6AAE2
+P 6600 2700
+F 0 "#PWR0102" H 6600 2550 50 0001 C CNN
+F 1 "+3V3" H 6615 2873 50 0000 C CNN
+F 2 "" H 6600 2700 50 0001 C CNN
+F 3 "" H 6600 2700 50 0001 C CNN
+ 1 6600 2700
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 6100 3100 5850 3100
+$Comp
+L Device:R_Small R5
+U 1 1 5CC6B319
+P 5450 3200
+F 0 "R5" H 5509 3246 50 0000 L CNN
+F 1 "3k3" H 5509 3155 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 5450 3200 50 0001 C CNN
+F 3 "~" H 5450 3200 50 0001 C CNN
+ 1 5450 3200
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0103
+U 1 1 5CC6B524
+P 5450 3300
+F 0 "#PWR0103" H 5450 3050 50 0001 C CNN
+F 1 "GND" H 5455 3127 50 0000 C CNN
+F 2 "" H 5450 3300 50 0001 C CNN
+F 3 "" H 5450 3300 50 0001 C CNN
+ 1 5450 3300
+ 1 0 0 -1
+$EndComp
+$Comp
+L Switch:SW_Push SW1
+U 1 1 5CC6C487
+P 5450 2700
+F 0 "SW1" V 5496 2652 50 0000 R CNN
+F 1 "DFU" V 5405 2652 50 0000 R CNN
+F 2 "Button_Switch_SMD:SW_SPST_PTS810" H 5450 2900 50 0001 C CNN
+F 3 "~" H 5450 2900 50 0001 C CNN
+ 1 5450 2700
+ 0 -1 -1 0
+$EndComp
+Connection ~ 5450 3100
+$Comp
+L power:+3V3 #PWR0104
+U 1 1 5CC6C830
+P 5450 2500
+F 0 "#PWR0104" H 5450 2350 50 0001 C CNN
+F 1 "+3V3" H 5465 2673 50 0000 C CNN
+F 2 "" H 5450 2500 50 0001 C CNN
+F 3 "" H 5450 2500 50 0001 C CNN
+ 1 5450 2500
+ 1 0 0 -1
+$EndComp
+$Comp
+L Connector:USB_C_Receptacle_USB2.0 J1
+U 1 1 5CC6CE04
+P 1150 3000
+F 0 "J1" H 1257 3867 50 0000 C CNN
+F 1 "USB-c" H 1257 3776 50 0000 C CNN
+F 2 "otter:USB-C 16Pin" H 1300 3000 50 0001 C CNN
+F 3 "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" H 1300 3000 50 0001 C CNN
+ 1 1150 3000
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 1750 3200 1750 3100
+Wire Wire Line
+ 1750 3100 1800 3100
+Connection ~ 1750 3100
+Wire Wire Line
+ 1750 2900 1750 3000
+Wire Wire Line
+ 1800 3000 1750 3000
+Connection ~ 1750 3000
+$Comp
+L Device:R_Small R1
+U 1 1 5CC6DFF9
+P 1650 4500
+F 0 "R1" V 1454 4500 50 0000 C CNN
+F 1 "DNP" V 1545 4500 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 1650 4500 50 0001 C CNN
+F 3 "~" H 1650 4500 50 0001 C CNN
+ 1 1650 4500
+ 0 1 1 0
+$EndComp
+$Comp
+L Device:R_Small R2
+U 1 1 5CC6E27F
+P 1650 4600
+F 0 "R2" V 1754 4600 50 0000 C CNN
+F 1 "DNP" V 1845 4600 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 1650 4600 50 0001 C CNN
+F 3 "~" H 1650 4600 50 0001 C CNN
+ 1 1650 4600
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 1550 4600 1400 4600
+Wire Wire Line
+ 1400 4500 1550 4500
+Wire Wire Line
+ 1750 4500 1750 4600
+$Comp
+L power:GND #PWR0105
+U 1 1 5CC6F139
+P 1950 4600
+F 0 "#PWR0105" H 1950 4350 50 0001 C CNN
+F 1 "GND" H 1955 4427 50 0000 C CNN
+F 2 "" H 1950 4600 50 0001 C CNN
+F 3 "" H 1950 4600 50 0001 C CNN
+ 1 1950 4600
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 1950 4600 1750 4600
+Connection ~ 1750 4600
+Text GLabel 1800 3000 2 50 Input ~ 0
+USB_N
+Text GLabel 1800 3100 2 50 Input ~ 0
+USB_P
+$Comp
+L power:GND #PWR0107
+U 1 1 5CC7041D
+P 1150 3900
+F 0 "#PWR0107" H 1150 3650 50 0001 C CNN
+F 1 "GND" H 1155 3727 50 0000 C CNN
+F 2 "" H 1150 3900 50 0001 C CNN
+F 3 "" H 1150 3900 50 0001 C CNN
+ 1 1150 3900
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0108
+U 1 1 5CC706DC
+P 850 3900
+F 0 "#PWR0108" H 850 3650 50 0001 C CNN
+F 1 "GND" H 855 3727 50 0000 C CNN
+F 2 "" H 850 3900 50 0001 C CNN
+F 3 "" H 850 3900 50 0001 C CNN
+ 1 850 3900
+ 1 0 0 -1
+$EndComp
+Text GLabel 7400 5100 2 50 Input ~ 0
+USB_N
+Text GLabel 7400 5200 2 50 Input ~ 0
+USB_P
+$Comp
+L Device:C_Small C2
+U 1 1 5CC7B488
+P 4250 1200
+F 0 "C2" H 4342 1246 50 0000 L CNN
+F 1 "100n 50V" H 4342 1155 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric" H 4250 1200 50 0001 C CNN
+F 3 "~" H 4250 1200 50 0001 C CNN
+ 1 4250 1200
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:C_Small C1
+U 1 1 5CC7B876
+P 3850 1200
+F 0 "C1" H 3942 1246 50 0000 L CNN
+F 1 "10u 25V" H 3942 1155 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0805_2012Metric" H 3850 1200 50 0001 C CNN
+F 3 "~" H 3850 1200 50 0001 C CNN
+F 4 "C15850" H 3850 1200 50 0001 C CNN "LCSC"
+ 1 3850 1200
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:C_Small C3
+U 1 1 5CC7BFF7
+P 5600 800
+F 0 "C3" V 5829 800 50 0000 C CNN
+F 1 "100n 50V" V 5738 800 50 0000 C CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 5600 800 50 0001 C CNN
+F 3 "~" H 5600 800 50 0001 C CNN
+ 1 5600 800
+ 0 -1 -1 0
+$EndComp
+$Comp
+L Device:C_Small C4
+U 1 1 5CC7C363
+P 6450 1200
+F 0 "C4" H 6542 1246 50 0000 L CNN
+F 1 "10u 25V" H 6542 1155 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0805_2012Metric" H 6450 1200 50 0001 C CNN
+F 3 "~" H 6450 1200 50 0001 C CNN
+F 4 "C15850" H 6450 1200 50 0001 C CNN "LCSC"
+ 1 6450 1200
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:C_Small C5
+U 1 1 5CC7C738
+P 7450 1200
+F 0 "C5" H 7542 1246 50 0000 L CNN
+F 1 "100n 50V" H 7542 1155 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 7450 1200 50 0001 C CNN
+F 3 "~" H 7450 1200 50 0001 C CNN
+ 1 7450 1200
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:L_Small L1
+U 1 1 5CC7CFF2
+P 5900 1050
+F 0 "L1" V 6085 1050 50 0000 C CNN
+F 1 "4u7" V 5994 1050 50 0000 C CNN
+F 2 "Inductor_SMD:L_1210_3225Metric" H 5900 1050 50 0001 C CNN
+F 3 "~" H 5900 1050 50 0001 C CNN
+F 4 "C86070" V 5900 1050 50 0001 C CNN "LCSC"
+ 1 5900 1050
+ 0 -1 -1 0
+$EndComp
+$Comp
+L Device:R_Small R6
+U 1 1 5CC7E81D
+P 6150 1250
+F 0 "R6" H 6209 1296 50 0000 L CNN
+F 1 "100k" H 6209 1205 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 6150 1250 50 0001 C CNN
+F 3 "~" H 6150 1250 50 0001 C CNN
+ 1 6150 1250
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:R_Small R7
+U 1 1 5CC7EDDA
+P 6150 1550
+F 0 "R7" H 6209 1596 50 0000 L CNN
+F 1 "22k" H 6209 1505 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 6150 1550 50 0001 C CNN
+F 3 "~" H 6150 1550 50 0001 C CNN
+ 1 6150 1550
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 6150 1450 6150 1400
+Connection ~ 6150 1400
+Wire Wire Line
+ 6150 1400 6150 1350
+$Comp
+L power:+3V3 #PWR0116
+U 1 1 5CC81BF3
+P 7450 1050
+F 0 "#PWR0116" H 7450 900 50 0001 C CNN
+F 1 "+3V3" H 7465 1223 50 0000 C CNN
+F 2 "" H 7450 1050 50 0001 C CNN
+F 3 "" H 7450 1050 50 0001 C CNN
+ 1 7450 1050
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:VBUS #PWR0117
+U 1 1 5CC82F71
+P 3850 1000
+F 0 "#PWR0117" H 3850 850 50 0001 C CNN
+F 1 "VBUS" H 3865 1173 50 0000 C CNN
+F 2 "" H 3850 1000 50 0001 C CNN
+F 3 "" H 3850 1000 50 0001 C CNN
+ 1 3850 1000
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0118
+U 1 1 5CC833B2
+P 3850 1300
+F 0 "#PWR0118" H 3850 1050 50 0001 C CNN
+F 1 "GND" H 3855 1127 50 0000 C CNN
+F 2 "" H 3850 1300 50 0001 C CNN
+F 3 "" H 3850 1300 50 0001 C CNN
+ 1 3850 1300
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0119
+U 1 1 5CC837F0
+P 4250 1300
+F 0 "#PWR0119" H 4250 1050 50 0001 C CNN
+F 1 "GND" H 4255 1127 50 0000 C CNN
+F 2 "" H 4250 1300 50 0001 C CNN
+F 3 "" H 4250 1300 50 0001 C CNN
+ 1 4250 1300
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0120
+U 1 1 5CC84358
+P 6150 1650
+F 0 "#PWR0120" H 6150 1400 50 0001 C CNN
+F 1 "GND" H 6155 1477 50 0000 C CNN
+F 2 "" H 6150 1650 50 0001 C CNN
+F 3 "" H 6150 1650 50 0001 C CNN
+ 1 6150 1650
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0122
+U 1 1 5CC84C54
+P 6450 1300
+F 0 "#PWR0122" H 6450 1050 50 0001 C CNN
+F 1 "GND" H 6455 1127 50 0000 C CNN
+F 2 "" H 6450 1300 50 0001 C CNN
+F 3 "" H 6450 1300 50 0001 C CNN
+ 1 6450 1300
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0123
+U 1 1 5CC8507E
+P 7450 1300
+F 0 "#PWR0123" H 7450 1050 50 0001 C CNN
+F 1 "GND" H 7455 1127 50 0000 C CNN
+F 2 "" H 7450 1300 50 0001 C CNN
+F 3 "" H 7450 1300 50 0001 C CNN
+ 1 7450 1300
+ 1 0 0 -1
+$EndComp
+Text GLabel 7400 5300 2 50 Input ~ 0
+SWDIO
+Text GLabel 7400 5400 2 50 Input ~ 0
+SWCLK
+$Comp
+L Connector:Conn_01x04_Male J5
+U 1 1 5CC91817
+P 3050 6100
+F 0 "J5" H 3158 6381 50 0000 C CNN
+F 1 "SWD" H 3158 6290 50 0000 C CNN
+F 2 "otter:PinHeader_1x04_P2.54mm_Horizontal" H 3050 6100 50 0001 C CNN
+F 3 "~" H 3050 6100 50 0001 C CNN
+ 1 3050 6100
+ 1 0 0 -1
+$EndComp
+Text GLabel 3250 6100 2 50 Input ~ 0
+SWDIO
+Text GLabel 3250 6200 2 50 Input ~ 0
+SWCLK
+$Comp
+L power:GND #PWR0129
+U 1 1 5CC927D6
+P 3250 6300
+F 0 "#PWR0129" H 3250 6050 50 0001 C CNN
+F 1 "GND" V 3255 6172 50 0000 R CNN
+F 2 "" H 3250 6300 50 0001 C CNN
+F 3 "" H 3250 6300 50 0001 C CNN
+ 1 3250 6300
+ 0 -1 -1 0
+$EndComp
+$Comp
+L power:+3V3 #PWR0130
+U 1 1 5CC92E42
+P 3250 6000
+F 0 "#PWR0130" H 3250 5850 50 0001 C CNN
+F 1 "+3V3" V 3265 6128 50 0000 L CNN
+F 2 "" H 3250 6000 50 0001 C CNN
+F 3 "" H 3250 6000 50 0001 C CNN
+ 1 3250 6000
+ 0 1 1 0
+$EndComp
+$Comp
+L power:GND #PWR0131
+U 1 1 5CC749DE
+P 5300 1800
+F 0 "#PWR0131" H 5300 1550 50 0001 C CNN
+F 1 "GND" H 5305 1627 50 0000 C CNN
+F 2 "" H 5300 1800 50 0001 C CNN
+F 3 "" H 5300 1800 50 0001 C CNN
+ 1 5300 1800
+ 1 0 0 -1
+$EndComp
+Text GLabel 1750 2600 2 50 Input ~ 0
+CC1
+Text GLabel 1750 2700 2 50 Input ~ 0
+CC2
+Text GLabel 1400 4600 0 50 Input ~ 0
+CC1
+Text GLabel 1400 4500 0 50 Input ~ 0
+CC2
+Text GLabel 6100 5100 0 50 Input ~ 0
+SDA
+Text GLabel 6100 5000 0 50 Input ~ 0
+SCL
+Text GLabel 6100 5200 0 50 Input ~ 0
+INT_N
+NoConn ~ 1750 3500
+NoConn ~ 1750 3600
+$Comp
+L Device:C_Small C6
+U 1 1 5CC98A03
+P 1000 7150
+F 0 "C6" H 1092 7196 50 0000 L CNN
+F 1 "100n 50V" H 1092 7105 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 1000 7150 50 0001 C CNN
+F 3 "~" H 1000 7150 50 0001 C CNN
+ 1 1000 7150
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:+3V3 #PWR0135
+U 1 1 5CC98A0A
+P 1000 7050
+F 0 "#PWR0135" H 1000 6900 50 0001 C CNN
+F 1 "+3V3" H 1015 7223 50 0000 C CNN
+F 2 "" H 1000 7050 50 0001 C CNN
+F 3 "" H 1000 7050 50 0001 C CNN
+ 1 1000 7050
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0136
+U 1 1 5CC98A11
+P 1000 7250
+F 0 "#PWR0136" H 1000 7000 50 0001 C CNN
+F 1 "GND" H 1005 7077 50 0000 C CNN
+F 2 "" H 1000 7250 50 0001 C CNN
+F 3 "" H 1000 7250 50 0001 C CNN
+ 1 1000 7250
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:C_Small C7
+U 1 1 5CC9A6D0
+P 1550 7150
+F 0 "C7" H 1642 7196 50 0000 L CNN
+F 1 "100n 50V" H 1642 7105 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 1550 7150 50 0001 C CNN
+F 3 "~" H 1550 7150 50 0001 C CNN
+ 1 1550 7150
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:+3V3 #PWR0137
+U 1 1 5CC9A6D6
+P 1550 7050
+F 0 "#PWR0137" H 1550 6900 50 0001 C CNN
+F 1 "+3V3" H 1565 7223 50 0000 C CNN
+F 2 "" H 1550 7050 50 0001 C CNN
+F 3 "" H 1550 7050 50 0001 C CNN
+ 1 1550 7050
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0138
+U 1 1 5CC9A6DC
+P 1550 7250
+F 0 "#PWR0138" H 1550 7000 50 0001 C CNN
+F 1 "GND" H 1555 7077 50 0000 C CNN
+F 2 "" H 1550 7250 50 0001 C CNN
+F 3 "" H 1550 7250 50 0001 C CNN
+ 1 1550 7250
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:C_Small C8
+U 1 1 5CC9B0EC
+P 2100 7150
+F 0 "C8" H 2192 7196 50 0000 L CNN
+F 1 "100n 50V" H 2192 7105 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 2100 7150 50 0001 C CNN
+F 3 "~" H 2100 7150 50 0001 C CNN
+ 1 2100 7150
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:+3V3 #PWR0139
+U 1 1 5CC9B0F2
+P 2100 7050
+F 0 "#PWR0139" H 2100 6900 50 0001 C CNN
+F 1 "+3V3" H 2115 7223 50 0000 C CNN
+F 2 "" H 2100 7050 50 0001 C CNN
+F 3 "" H 2100 7050 50 0001 C CNN
+ 1 2100 7050
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0140
+U 1 1 5CC9B0F8
+P 2100 7250
+F 0 "#PWR0140" H 2100 7000 50 0001 C CNN
+F 1 "GND" H 2105 7077 50 0000 C CNN
+F 2 "" H 2100 7250 50 0001 C CNN
+F 3 "" H 2100 7250 50 0001 C CNN
+ 1 2100 7250
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:C_Small C9
+U 1 1 5CC9BB08
+P 2650 7150
+F 0 "C9" H 2742 7196 50 0000 L CNN
+F 1 "100n 50V" H 2742 7105 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 2650 7150 50 0001 C CNN
+F 3 "~" H 2650 7150 50 0001 C CNN
+ 1 2650 7150
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:+3V3 #PWR0141
+U 1 1 5CC9BB0E
+P 2650 7050
+F 0 "#PWR0141" H 2650 6900 50 0001 C CNN
+F 1 "+3V3" H 2665 7223 50 0000 C CNN
+F 2 "" H 2650 7050 50 0001 C CNN
+F 3 "" H 2650 7050 50 0001 C CNN
+ 1 2650 7050
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0142
+U 1 1 5CC9BB14
+P 2650 7250
+F 0 "#PWR0142" H 2650 7000 50 0001 C CNN
+F 1 "GND" H 2655 7077 50 0000 C CNN
+F 2 "" H 2650 7250 50 0001 C CNN
+F 3 "" H 2650 7250 50 0001 C CNN
+ 1 2650 7250
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:C_Small C10
+U 1 1 5CC9C524
+P 3200 7150
+F 0 "C10" H 3292 7196 50 0000 L CNN
+F 1 "100n 50V" H 3292 7105 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 3200 7150 50 0001 C CNN
+F 3 "~" H 3200 7150 50 0001 C CNN
+ 1 3200 7150
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0143
+U 1 1 5CC9C530
+P 3200 7250
+F 0 "#PWR0143" H 3200 7000 50 0001 C CNN
+F 1 "GND" H 3205 7077 50 0000 C CNN
+F 2 "" H 3200 7250 50 0001 C CNN
+F 3 "" H 3200 7250 50 0001 C CNN
+ 1 3200 7250
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:VBUS #PWR0144
+U 1 1 5CC9D5C7
+P 3200 7050
+F 0 "#PWR0144" H 3200 6900 50 0001 C CNN
+F 1 "VBUS" H 3215 7223 50 0000 C CNN
+F 2 "" H 3200 7050 50 0001 C CNN
+F 3 "" H 3200 7050 50 0001 C CNN
+ 1 3200 7050
+ 1 0 0 -1
+$EndComp
+Text GLabel 2550 4600 0 50 Input ~ 0
+SDA
+Text GLabel 2550 4500 0 50 Input ~ 0
+SCL
+$Comp
+L Device:R_Small R10
+U 1 1 5CCA53EF
+P 2750 4500
+F 0 "R10" V 2554 4500 50 0000 C CNN
+F 1 "3k3" V 2645 4500 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 2750 4500 50 0001 C CNN
+F 3 "~" H 2750 4500 50 0001 C CNN
+ 1 2750 4500
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 2850 4600 2950 4600
+Wire Wire Line
+ 2850 4500 2850 4600
+$Comp
+L power:+3.3V #PWR0145
+U 1 1 5CCA7D73
+P 2950 4600
+F 0 "#PWR0145" H 2950 4450 50 0001 C CNN
+F 1 "+3.3V" V 2965 4728 50 0000 L CNN
+F 2 "" H 2950 4600 50 0001 C CNN
+F 3 "" H 2950 4600 50 0001 C CNN
+ 1 2950 4600
+ 0 1 1 0
+$EndComp
+Text GLabel 9350 1700 1 50 Input ~ 0
+PA9
+Text GLabel 6100 5300 0 50 Input ~ 0
+PB13
+Text GLabel 6100 4100 0 50 Input ~ 0
+PB1
+Text GLabel 6100 4800 0 50 Input ~ 0
+PB8
+Text GLabel 7400 5500 2 50 Input ~ 0
+PA15
+Text GLabel 6100 4200 0 50 Input ~ 0
+PB2
+Text GLabel 6100 4700 0 50 Input ~ 0
+PB7
+Text GLabel 6100 4600 0 50 Input ~ 0
+PB6
+Text GLabel 6100 4300 0 50 Input ~ 0
+PB3
+Text GLabel 7400 5000 2 50 Input ~ 0
+PA10
+Text GLabel 6100 5400 0 50 Input ~ 0
+PB14
+Text GLabel 6100 5500 0 50 Input ~ 0
+PB15
+Text GLabel 6100 4000 0 50 Input ~ 0
+PB0
+Text GLabel 7400 4000 2 50 Input ~ 0
+PA0
+Text GLabel 7400 4700 2 50 Input ~ 0
+PA7
+Text GLabel 7400 4600 2 50 Input ~ 0
+PA6
+Text GLabel 7400 4500 2 50 Input ~ 0
+PA5
+Text GLabel 7400 4300 2 50 Input ~ 0
+PA3
+Text GLabel 7400 4200 2 50 Input ~ 0
+PA2
+Text GLabel 6100 4900 0 50 Input ~ 0
+PB9
+Connection ~ 5850 3100
+Wire Wire Line
+ 5850 3100 5450 3100
+Wire Wire Line
+ 6100 3600 5850 3600
+Wire Wire Line
+ 5850 3600 5850 3100
+$Comp
+L Device:R_Small R11
+U 1 1 5CCA53F5
+P 2750 4600
+F 0 "R11" V 2854 4600 50 0000 C CNN
+F 1 "3k3" V 2945 4600 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 2750 4600 50 0001 C CNN
+F 3 "~" H 2750 4600 50 0001 C CNN
+ 1 2750 4600
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 2550 4500 2650 4500
+Wire Wire Line
+ 2650 4600 2550 4600
+$Comp
+L MCU_ST_STM32F0:STM32F072C8Tx U2
+U 1 1 5DAB6EF4
+P 6800 4200
+F 0 "U2" H 6750 2614 50 0000 C CNN
+F 1 "STM32F072C8Tx" H 6750 2450 50 0000 C CNN
+F 2 "Package_QFP:LQFP-48_7x7mm_P0.5mm" H 6200 2800 50 0001 R CNN
+F 3 "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00090510.pdf" H 6800 4200 50 0001 C CNN
+ 1 6800 4200
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 6900 5700 7000 5700
+Wire Wire Line
+ 6900 5700 6800 5700
+Connection ~ 6900 5700
+Connection ~ 6700 5700
+Wire Wire Line
+ 6700 5700 6600 5700
+Connection ~ 6800 5700
+Wire Wire Line
+ 6800 5700 6700 5700
+Wire Wire Line
+ 7000 2700 6900 2700
+Connection ~ 6600 2700
+Connection ~ 6700 2700
+Wire Wire Line
+ 6700 2700 6600 2700
+Connection ~ 6800 2700
+Wire Wire Line
+ 6800 2700 6700 2700
+Connection ~ 6900 2700
+Wire Wire Line
+ 6900 2700 6800 2700
+$Comp
+L OtterPill-rescue:JW5026-otter U3
+U 1 1 5DAC78AF
+P 5300 1300
+F 0 "U3" H 5100 1850 50 0000 C CNN
+F 1 "SY8201" H 5100 1750 50 0000 C CNN
+F 2 "Package_TO_SOT_SMD:SOT-23-6" H 5300 1200 50 0001 C CNN
+F 3 "" H 5300 1200 50 0001 C CNN
+ 1 5300 1300
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 5500 800 5300 800
+Wire Wire Line
+ 5300 800 5300 850
+Wire Wire Line
+ 5700 1050 5750 1050
+Wire Wire Line
+ 5750 1050 5750 800
+Wire Wire Line
+ 5750 800 5700 800
+Connection ~ 5750 1050
+Wire Wire Line
+ 5750 1050 5800 1050
+Wire Wire Line
+ 6000 1050 6150 1050
+Connection ~ 6150 1050
+Wire Wire Line
+ 6150 1050 6150 1150
+Wire Wire Line
+ 5700 1400 6150 1400
+$Comp
+L Device:R_Small R12
+U 1 1 5DAD7369
+P 4750 1200
+F 0 "R12" H 4809 1246 50 0000 L CNN
+F 1 "100k" H 4809 1155 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 4750 1200 50 0001 C CNN
+F 3 "~" H 4750 1200 50 0001 C CNN
+ 1 4750 1200
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 4900 1400 4750 1400
+Wire Wire Line
+ 4750 1400 4750 1300
+Wire Wire Line
+ 4750 1050 4750 1100
+Wire Wire Line
+ 4750 1050 4900 1050
+Wire Wire Line
+ 3850 1050 4250 1050
+Wire Wire Line
+ 3850 1100 3850 1050
+Connection ~ 3850 1050
+Wire Wire Line
+ 3850 1050 3850 1000
+Wire Wire Line
+ 4250 1100 4250 1050
+Connection ~ 4250 1050
+Wire Wire Line
+ 6150 1050 6450 1050
+Wire Wire Line
+ 6450 1100 6450 1050
+Wire Wire Line
+ 7450 1100 7450 1050
+Connection ~ 7450 1050
+$Comp
+L Device:C_Small C11
+U 1 1 5DAE2987
+P 6950 1200
+F 0 "C11" H 7042 1246 50 0000 L CNN
+F 1 "10u 25V" H 7042 1155 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0805_2012Metric" H 6950 1200 50 0001 C CNN
+F 3 "~" H 6950 1200 50 0001 C CNN
+F 4 "C15850" H 6950 1200 50 0001 C CNN "LCSC"
+ 1 6950 1200
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0113
+U 1 1 5DAE298E
+P 6950 1300
+F 0 "#PWR0113" H 6950 1050 50 0001 C CNN
+F 1 "GND" H 6955 1127 50 0000 C CNN
+F 2 "" H 6950 1300 50 0001 C CNN
+F 3 "" H 6950 1300 50 0001 C CNN
+ 1 6950 1300
+ 1 0 0 -1
+$EndComp
+Connection ~ 6450 1050
+Wire Wire Line
+ 6450 1050 6950 1050
+Wire Wire Line
+ 6950 1100 6950 1050
+Connection ~ 6950 1050
+Wire Wire Line
+ 6950 1050 7450 1050
+Wire Wire Line
+ 4250 1050 4750 1050
+Connection ~ 4750 1050
+Connection ~ 2850 4600
+$Comp
+L power:VBUS #PWR0106
+U 1 1 5CC6FC9E
+P 1750 2400
+F 0 "#PWR0106" H 1750 2250 50 0001 C CNN
+F 1 "VBUS" H 1765 2573 50 0000 C CNN
+F 2 "" H 1750 2400 50 0001 C CNN
+F 3 "" H 1750 2400 50 0001 C CNN
+ 1 1750 2400
+ 1 0 0 -1
+$EndComp
+Text GLabel 4050 1050 1 50 Input ~ 0
+VIN
+$Comp
+L Connector:Conn_01x01_Female J7
+U 1 1 5DB0ECB3
+P 5200 3100
+F 0 "J7" H 5094 2875 50 0000 C CNN
+F 1 "PAD" H 5094 2966 50 0000 C CNN
+F 2 "TestPoint:TestPoint_Pad_1.0x1.0mm" H 5200 3100 50 0001 C CNN
+F 3 "~" H 5200 3100 50 0001 C CNN
+ 1 5200 3100
+ -1 0 0 1
+$EndComp
+Wire Wire Line
+ 5400 3100 5450 3100
+$Comp
+L Device:R_Small R13
+U 1 1 5DB13626
+P 5450 3000
+F 0 "R13" H 5509 3046 50 0000 L CNN
+F 1 "100" H 5509 2955 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 5450 3000 50 0001 C CNN
+F 3 "~" H 5450 3000 50 0001 C CNN
+ 1 5450 3000
+ 1 0 0 -1
+$EndComp
+Text GLabel 9150 1700 1 50 Input ~ 0
+PA8
+$Comp
+L New_Library:Rotary_Encoder_Switch_with_gnd SW7
+U 1 1 5EAFECBB
+P 10250 2900
+F 0 "SW7" V 10250 3244 50 0000 L CNN
+F 1 " BOURNS PEC12R-4230F-S0024 " H 10250 3176 50 0001 C CNN
+F 2 "footprints:Bourns_PEC12R_4xxxF-Sxxxx_horizontal_sw" H 10100 3060 50 0001 C CNN
+F 3 "~" H 10250 3160 50 0001 C CNN
+ 1 10250 2900
+ 0 1 1 0
+$EndComp
+Text GLabel 10350 1700 1 50 Input ~ 0
+PB4
+Text GLabel 10150 1700 1 50 Input ~ 0
+PB5
+$Comp
+L power:GND #PWR0111
+U 1 1 5EAFFBD0
+P 10250 2600
+F 0 "#PWR0111" H 10250 2350 50 0001 C CNN
+F 1 "GND" V 10255 2472 50 0000 R CNN
+F 2 "" H 10250 2600 50 0001 C CNN
+F 3 "" H 10250 2600 50 0001 C CNN
+ 1 10250 2600
+ -1 0 0 1
+$EndComp
+$Comp
+L power:GND #PWR0121
+U 1 1 5EAFFF41
+P 9250 2600
+F 0 "#PWR0121" H 9250 2350 50 0001 C CNN
+F 1 "GND" V 9255 2472 50 0000 R CNN
+F 2 "" H 9250 2600 50 0001 C CNN
+F 3 "" H 9250 2600 50 0001 C CNN
+ 1 9250 2600
+ -1 0 0 1
+$EndComp
+Wire Wire Line
+ 9150 3200 9050 3200
+Wire Wire Line
+ 9450 3200 9350 3200
+Text GLabel 7400 4400 2 50 Input ~ 0
+PA4
+Text GLabel 7400 4100 2 50 Input ~ 0
+PA1
+Text GLabel 6100 4500 0 50 Input ~ 0
+PB5
+Text GLabel 6100 4400 0 50 Input ~ 0
+PB4
+Text GLabel 7400 4900 2 50 Input ~ 0
+PA9
+Text GLabel 7400 4800 2 50 Input ~ 0
+PA8
+Text GLabel 9750 3000 1 50 Input ~ 0
+PB2
+Connection ~ 9050 3850
+Wire Wire Line
+ 9050 3200 9050 3850
+$Comp
+L Switch:SW_Push SW4
+U 1 1 5EB07BBF
+P 9250 4550
+F 0 "SW4" H 9250 4835 50 0000 C CNN
+F 1 "SW_Push" H 9250 4744 50 0000 C CNN
+F 2 "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" H 9250 4750 50 0001 C CNN
+F 3 "~" H 9250 4750 50 0001 C CNN
+ 1 9250 4550
+ -1 0 0 -1
+$EndComp
+Wire Wire Line
+ 9050 4550 9050 5250
+Connection ~ 9050 4550
+Wire Wire Line
+ 9050 3850 9050 4550
+$Comp
+L Switch:SW_Push SW5
+U 1 1 5EB08167
+P 9250 5250
+F 0 "SW5" H 9250 5535 50 0000 C CNN
+F 1 "SW_Push" H 9250 5444 50 0000 C CNN
+F 2 "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" H 9250 5450 50 0001 C CNN
+F 3 "~" H 9250 5450 50 0001 C CNN
+ 1 9250 5250
+ -1 0 0 -1
+$EndComp
+$Comp
+L Switch:SW_Push SW9
+U 1 1 5EB0972B
+P 10250 4550
+F 0 "SW9" H 10250 4835 50 0000 C CNN
+F 1 "SW_Push" H 10250 4744 50 0000 C CNN
+F 2 "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" H 10250 4750 50 0001 C CNN
+F 3 "~" H 10250 4750 50 0001 C CNN
+ 1 10250 4550
+ 1 0 0 -1
+$EndComp
+$Comp
+L Switch:SW_Push SW10
+U 1 1 5EB09A9A
+P 10250 5250
+F 0 "SW10" H 10250 5535 50 0000 C CNN
+F 1 "SW_Push" H 10250 5444 50 0000 C CNN
+F 2 "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" H 10250 5450 50 0001 C CNN
+F 3 "~" H 10250 5450 50 0001 C CNN
+ 1 10250 5250
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 10450 3850 10450 4550
+Connection ~ 10450 4550
+Wire Wire Line
+ 10450 4550 10450 5250
+$Comp
+L Switch:SW_Push SW3
+U 1 1 5EB06BA6
+P 9250 3850
+F 0 "SW3" H 9250 4135 50 0000 C CNN
+F 1 "SW_Push" H 9250 4044 50 0000 C CNN
+F 2 "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" H 9250 4050 50 0001 C CNN
+F 3 "~" H 9250 4050 50 0001 C CNN
+ 1 9250 3850
+ -1 0 0 -1
+$EndComp
+Connection ~ 9050 5250
+Connection ~ 10450 5250
+$Comp
+L Switch:SW_Push SW6
+U 1 1 5EB08551
+P 9250 5950
+F 0 "SW6" H 9250 6235 50 0000 C CNN
+F 1 "SW_Push" H 9250 6144 50 0000 C CNN
+F 2 "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" H 9250 6150 50 0001 C CNN
+F 3 "~" H 9250 6150 50 0001 C CNN
+ 1 9250 5950
+ -1 0 0 -1
+$EndComp
+Text GLabel 9050 6150 3 50 Input ~ 0
+PA1
+Text GLabel 10450 6150 3 50 Input ~ 0
+PA4
+Connection ~ 9050 5950
+Wire Wire Line
+ 9050 5950 9050 6150
+Wire Wire Line
+ 9050 5250 9050 5950
+Wire Wire Line
+ 10450 5950 10450 6150
+Connection ~ 10450 5950
+Wire Wire Line
+ 10450 5250 10450 5950
+$Comp
+L Switch:SW_Push SW11
+U 1 1 5EB09C47
+P 10250 5950
+F 0 "SW11" H 10250 6235 50 0000 C CNN
+F 1 "SW_Push" H 10250 6144 50 0000 C CNN
+F 2 "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" H 10250 6150 50 0001 C CNN
+F 3 "~" H 10250 6150 50 0001 C CNN
+ 1 10250 5950
+ 1 0 0 -1
+$EndComp
+Text GLabel 9750 3650 1 50 Input ~ 0
+PB8
+Text GLabel 9750 4350 1 50 Input ~ 0
+PB1
+Text GLabel 9750 5050 1 50 Input ~ 0
+PA15
+Text GLabel 9750 5750 1 50 Input ~ 0
+PA0
+$Comp
+L Device:C_Small C15
+U 1 1 5EB62715
+P 10550 2200
+F 0 "C15" V 10321 2200 50 0000 C CNN
+F 1 "10n" V 10412 2200 50 0000 C CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 10550 2200 50 0001 C CNN
+F 3 "~" H 10550 2200 50 0001 C CNN
+ 1 10550 2200
+ 0 1 1 0
+$EndComp
+$Comp
+L Device:C_Small C14
+U 1 1 5EB631FD
+P 9950 2200
+F 0 "C14" V 9721 2200 50 0000 C CNN
+F 1 "10n" V 9812 2200 50 0000 C CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 9950 2200 50 0001 C CNN
+F 3 "~" H 9950 2200 50 0001 C CNN
+ 1 9950 2200
+ 0 1 1 0
+$EndComp
+$Comp
+L Device:C_Small C13
+U 1 1 5EB636A4
+P 9550 2200
+F 0 "C13" V 9321 2200 50 0000 C CNN
+F 1 "10n" V 9412 2200 50 0000 C CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 9550 2200 50 0001 C CNN
+F 3 "~" H 9550 2200 50 0001 C CNN
+ 1 9550 2200
+ 0 1 1 0
+$EndComp
+$Comp
+L Device:C_Small C12
+U 1 1 5EB63940
+P 8950 2200
+F 0 "C12" V 8721 2200 50 0000 C CNN
+F 1 "10n" V 8812 2200 50 0000 C CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 8950 2200 50 0001 C CNN
+F 3 "~" H 8950 2200 50 0001 C CNN
+ 1 8950 2200
+ 0 1 1 0
+$EndComp
+$Comp
+L power:+3V3 #PWR0109
+U 1 1 5DA7B56B
+P 3500 3700
+F 0 "#PWR0109" H 3500 3550 50 0001 C CNN
+F 1 "+3V3" H 3515 3873 50 0000 C CNN
+F 2 "" H 3500 3700 50 0001 C CNN
+F 3 "" H 3500 3700 50 0001 C CNN
+ 1 3500 3700
+ 1 0 0 -1
+$EndComp
+Text GLabel 2900 3700 0 50 Input ~ 0
+CC2
+Text GLabel 2900 3800 0 50 Input ~ 0
+CC1
+$Comp
+L Device:R_Small R9
+U 1 1 5CC7B6DA
+P 3800 2650
+F 0 "R9" V 3904 2650 50 0000 C CNN
+F 1 "0" V 3995 2650 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 3800 2650 50 0001 C CNN
+F 3 "~" H 3800 2650 50 0001 C CNN
+ 1 3800 2650
+ 0 1 1 0
+$EndComp
+$Comp
+L Device:R_Small R8
+U 1 1 5CC7AF23
+P 3800 2550
+F 0 "R8" V 3604 2550 50 0000 C CNN
+F 1 "0" V 3695 2550 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 3800 2550 50 0001 C CNN
+F 3 "~" H 3800 2550 50 0001 C CNN
+ 1 3800 2550
+ 0 1 1 0
+$EndComp
+Text GLabel 3900 2650 2 50 Input ~ 0
+CC2
+Text GLabel 3900 2550 2 50 Input ~ 0
+CC1
+Text GLabel 2700 2650 0 50 Input ~ 0
+INT_N
+Text GLabel 2700 2550 0 50 Input ~ 0
+SCL
+Text GLabel 2700 2450 0 50 Input ~ 0
+SDA
+$Comp
+L power:VBUS #PWR0134
+U 1 1 5CC77243
+P 3700 2450
+F 0 "#PWR0134" H 3700 2300 50 0001 C CNN
+F 1 "VBUS" V 3715 2578 50 0000 L CNN
+F 2 "" H 3700 2450 50 0001 C CNN
+F 3 "" H 3700 2450 50 0001 C CNN
+ 1 3700 2450
+ 0 1 1 0
+$EndComp
+$Comp
+L power:+3V3 #PWR0133
+U 1 1 5CC76807
+P 3100 2150
+F 0 "#PWR0133" H 3100 2000 50 0001 C CNN
+F 1 "+3V3" H 3115 2323 50 0000 C CNN
+F 2 "" H 3100 2150 50 0001 C CNN
+F 3 "" H 3100 2150 50 0001 C CNN
+ 1 3100 2150
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0132
+U 1 1 5CC75E62
+P 3200 2950
+F 0 "#PWR0132" H 3200 2700 50 0001 C CNN
+F 1 "GND" H 3205 2777 50 0000 C CNN
+F 2 "" H 3200 2950 50 0001 C CNN
+F 3 "" H 3200 2950 50 0001 C CNN
+ 1 3200 2950
+ 1 0 0 -1
+$EndComp
+$Comp
+L Interface_USB:FUSB302BMPX U4
+U 1 1 5CC75360
+P 3200 2550
+F 0 "U4" H 3550 2050 50 0000 C CNN
+F 1 "FUSB302BMPX" H 3550 2150 50 0000 C CNN
+F 2 "Package_DFN_QFN:WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm" H 3200 2050 50 0001 C CNN
+F 3 "http://www.onsemi.com/pub/Collateral/FUSB302B-D.PDF" H 3300 2150 50 0001 C CNN
+ 1 3200 2550
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0112
+U 1 1 5CC740A9
+P 2900 3900
+F 0 "#PWR0112" H 2900 3650 50 0001 C CNN
+F 1 "GND" H 2905 3727 50 0000 C CNN
+F 2 "" H 2900 3900 50 0001 C CNN
+F 3 "" H 2900 3900 50 0001 C CNN
+ 1 2900 3900
+ 1 0 0 -1
+$EndComp
+Text GLabel 3500 3800 2 50 Input ~ 0
+USB_P
+Text GLabel 3500 3900 2 50 Input ~ 0
+USB_N
+$Comp
+L OtterPill-rescue:USBLC6-4-otter U1
+U 1 1 5CC734BE
+P 3200 3800
+F 0 "U1" H 3200 4125 50 0000 C CNN
+F 1 "USBLC6-4" H 3200 4034 50 0000 C CNN
+F 2 "Package_TO_SOT_SMD:SOT-23-6" H 3200 3800 50 0001 C CNN
+F 3 "" H 3200 3800 50 0001 C CNN
+ 1 3200 3800
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0124
+U 1 1 5EB8714F
+P 9750 2250
+F 0 "#PWR0124" H 9750 2000 50 0001 C CNN
+F 1 "GND" V 9755 2122 50 0000 R CNN
+F 2 "" H 9750 2250 50 0001 C CNN
+F 3 "" H 9750 2250 50 0001 C CNN
+ 1 9750 2250
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 9750 2250 9750 2200
+Wire Wire Line
+ 9750 2200 9650 2200
+Wire Wire Line
+ 9750 2200 9850 2200
+Connection ~ 9750 2200
+$Comp
+L power:GND #PWR0125
+U 1 1 5EB8AA6C
+P 8800 2250
+F 0 "#PWR0125" H 8800 2000 50 0001 C CNN
+F 1 "GND" V 8805 2122 50 0000 R CNN
+F 2 "" H 8800 2250 50 0001 C CNN
+F 3 "" H 8800 2250 50 0001 C CNN
+ 1 8800 2250
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 8800 2250 8800 2200
+Wire Wire Line
+ 8800 2200 8850 2200
+Wire Wire Line
+ 10700 2250 10700 2200
+Wire Wire Line
+ 10700 2200 10650 2200
+$Comp
+L power:GND #PWR0126
+U 1 1 5EB8E5B3
+P 10700 2250
+F 0 "#PWR0126" H 10700 2000 50 0001 C CNN
+F 1 "GND" V 10705 2122 50 0000 R CNN
+F 2 "" H 10700 2250 50 0001 C CNN
+F 3 "" H 10700 2250 50 0001 C CNN
+ 1 10700 2250
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:R_Small R20
+U 1 1 5EB8F42E
+P 10350 2400
+F 0 "R20" H 10409 2446 50 0000 L CNN
+F 1 "10k" H 10409 2355 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 10350 2400 50 0001 C CNN
+F 3 "~" H 10350 2400 50 0001 C CNN
+ 1 10350 2400
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:R_Small R19
+U 1 1 5EB9012C
+P 10150 2400
+F 0 "R19" H 10091 2354 50 0000 R CNN
+F 1 "10k" H 10091 2445 50 0000 R CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 10150 2400 50 0001 C CNN
+F 3 "~" H 10150 2400 50 0001 C CNN
+ 1 10150 2400
+ 1 0 0 1
+$EndComp
+$Comp
+L Device:R_Small R16
+U 1 1 5EB902B4
+P 9350 2400
+F 0 "R16" H 9409 2446 50 0000 L CNN
+F 1 "10k" H 9409 2355 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 9350 2400 50 0001 C CNN
+F 3 "~" H 9350 2400 50 0001 C CNN
+ 1 9350 2400
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:R_Small R15
+U 1 1 5EB90930
+P 9150 2400
+F 0 "R15" H 9091 2354 50 0000 R CNN
+F 1 "10k" H 9091 2445 50 0000 R CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 9150 2400 50 0001 C CNN
+F 3 "~" H 9150 2400 50 0001 C CNN
+ 1 9150 2400
+ 1 0 0 1
+$EndComp
+Wire Wire Line
+ 10350 2600 10350 2500
+Wire Wire Line
+ 10150 2600 10150 2500
+Wire Wire Line
+ 10150 2300 10150 2200
+Wire Wire Line
+ 10050 2200 10150 2200
+Connection ~ 10150 2200
+Wire Wire Line
+ 10150 2200 10150 1900
+Wire Wire Line
+ 10350 2300 10350 2200
+Wire Wire Line
+ 10350 2200 10450 2200
+Connection ~ 10350 2200
+Wire Wire Line
+ 10350 2200 10350 1900
+Wire Wire Line
+ 9350 2300 9350 2200
+Wire Wire Line
+ 9350 2200 9450 2200
+Connection ~ 9350 2200
+Wire Wire Line
+ 9350 2200 9350 1900
+Wire Wire Line
+ 9150 2300 9150 2200
+Wire Wire Line
+ 9050 2200 9150 2200
+Connection ~ 9150 2200
+Wire Wire Line
+ 9150 2200 9150 1900
+Wire Wire Line
+ 9150 2600 9150 2500
+Wire Wire Line
+ 9350 2600 9350 2500
+$Comp
+L Device:R_Small R14
+U 1 1 5EBC5408
+P 8950 1900
+F 0 "R14" V 8754 1900 50 0000 C CNN
+F 1 "10k" V 8845 1900 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 8950 1900 50 0001 C CNN
+F 3 "~" H 8950 1900 50 0001 C CNN
+ 1 8950 1900
+ 0 -1 1 0
+$EndComp
+$Comp
+L Device:R_Small R17
+U 1 1 5EBC58C6
+P 9550 1900
+F 0 "R17" V 9354 1900 50 0000 C CNN
+F 1 "10k" V 9445 1900 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 9550 1900 50 0001 C CNN
+F 3 "~" H 9550 1900 50 0001 C CNN
+ 1 9550 1900
+ 0 -1 1 0
+$EndComp
+$Comp
+L Device:R_Small R18
+U 1 1 5EBC5CE3
+P 9950 1900
+F 0 "R18" V 9754 1900 50 0000 C CNN
+F 1 "10k" V 9845 1900 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 9950 1900 50 0001 C CNN
+F 3 "~" H 9950 1900 50 0001 C CNN
+ 1 9950 1900
+ 0 -1 1 0
+$EndComp
+$Comp
+L Device:R_Small R21
+U 1 1 5EBC5F30
+P 10550 1900
+F 0 "R21" V 10354 1900 50 0000 C CNN
+F 1 "10k" V 10445 1900 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 10550 1900 50 0001 C CNN
+F 3 "~" H 10550 1900 50 0001 C CNN
+ 1 10550 1900
+ 0 -1 1 0
+$EndComp
+Wire Wire Line
+ 9050 1900 9150 1900
+Connection ~ 9150 1900
+Wire Wire Line
+ 9150 1900 9150 1700
+Wire Wire Line
+ 9350 1900 9450 1900
+Connection ~ 9350 1900
+Wire Wire Line
+ 9350 1900 9350 1700
+Wire Wire Line
+ 9650 1900 9750 1900
+Wire Wire Line
+ 10050 1900 10150 1900
+Connection ~ 10150 1900
+Wire Wire Line
+ 10150 1900 10150 1700
+Wire Wire Line
+ 10350 1900 10450 1900
+Connection ~ 10350 1900
+Wire Wire Line
+ 10350 1900 10350 1700
+$Comp
+L power:+3V3 #PWR0127
+U 1 1 5EBD4CF5
+P 8800 1850
+F 0 "#PWR0127" H 8800 1700 50 0001 C CNN
+F 1 "+3V3" H 8815 2023 50 0000 C CNN
+F 2 "" H 8800 1850 50 0001 C CNN
+F 3 "" H 8800 1850 50 0001 C CNN
+ 1 8800 1850
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 8800 1850 8800 1900
+Wire Wire Line
+ 8800 1900 8850 1900
+$Comp
+L power:+3V3 #PWR0128
+U 1 1 5EBD815A
+P 9750 1850
+F 0 "#PWR0128" H 9750 1700 50 0001 C CNN
+F 1 "+3V3" H 9765 2023 50 0000 C CNN
+F 2 "" H 9750 1850 50 0001 C CNN
+F 3 "" H 9750 1850 50 0001 C CNN
+ 1 9750 1850
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:+3V3 #PWR0146
+U 1 1 5EBD85B9
+P 10700 1850
+F 0 "#PWR0146" H 10700 1700 50 0001 C CNN
+F 1 "+3V3" H 10715 2023 50 0000 C CNN
+F 2 "" H 10700 1850 50 0001 C CNN
+F 3 "" H 10700 1850 50 0001 C CNN
+ 1 10700 1850
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 10700 1850 10700 1900
+Wire Wire Line
+ 10700 1900 10650 1900
+Wire Wire Line
+ 9750 1850 9750 1900
+Connection ~ 9750 1900
+Wire Wire Line
+ 9750 1900 9850 1900
+$Comp
+L Device:D_x2_ACom_KKA D3
+U 1 1 5EC07ACF
+P 9750 3200
+F 0 "D3" H 9750 3323 50 0000 C CNN
+F 1 "BAV23AQ-7-F " H 9750 3414 50 0000 C CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 9750 3200 50 0001 C CNN
+F 3 "~" H 9750 3200 50 0001 C CNN
+F 4 "C443822" H 9750 3200 50 0001 C CNN "LCSC"
+ 1 9750 3200
+ -1 0 0 1
+$EndComp
+$Comp
+L Device:D_x2_ACom_KKA D4
+U 1 1 5EC08FDE
+P 9750 3850
+F 0 "D4" H 9750 3973 50 0000 C CNN
+F 1 "BAV23AQ-7-F " H 9750 4064 50 0000 C CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 9750 3850 50 0001 C CNN
+F 3 "~" H 9750 3850 50 0001 C CNN
+F 4 "C443822" H 9750 3850 50 0001 C CNN "LCSC"
+ 1 9750 3850
+ -1 0 0 1
+$EndComp
+$Comp
+L Device:D_x2_ACom_KKA D5
+U 1 1 5EC09777
+P 9750 4550
+F 0 "D5" H 9750 4673 50 0000 C CNN
+F 1 "BAV23AQ-7-F " H 9750 4764 50 0000 C CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 9750 4550 50 0001 C CNN
+F 3 "~" H 9750 4550 50 0001 C CNN
+F 4 "C443822" H 9750 4550 50 0001 C CNN "LCSC"
+ 1 9750 4550
+ 1 0 0 1
+$EndComp
+$Comp
+L Device:D_x2_ACom_KKA D6
+U 1 1 5EC09E56
+P 9750 5250
+F 0 "D6" H 9750 5373 50 0000 C CNN
+F 1 "BAV23AQ-7-F " H 9750 5464 50 0000 C CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 9750 5250 50 0001 C CNN
+F 3 "~" H 9750 5250 50 0001 C CNN
+F 4 "C443822" H 9750 5250 50 0001 C CNN "LCSC"
+ 1 9750 5250
+ -1 0 0 1
+$EndComp
+$Comp
+L New_Library:Rotary_Encoder_Switch_with_gnd SW2
+U 1 1 5EAEE6DD
+P 9250 2900
+F 0 "SW2" H 9250 3267 50 0000 C CNN
+F 1 " BOURNS PEC12R-4230F-S0024 " H 9650 2500 50 0000 C CNN
+F 2 "footprints:Bourns_PEC12R_4xxxF-Sxxxx_horizontal_sw" H 9100 3060 50 0001 C CNN
+F 3 "~" H 9250 3160 50 0001 C CNN
+ 1 9250 2900
+ 0 1 1 0
+$EndComp
+$Comp
+L power:GND #PWR0147
+U 1 1 5EC3498F
+P 8950 2900
+F 0 "#PWR0147" H 8950 2650 50 0001 C CNN
+F 1 "GND" V 8955 2772 50 0000 R CNN
+F 2 "" H 8950 2900 50 0001 C CNN
+F 3 "" H 8950 2900 50 0001 C CNN
+ 1 8950 2900
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0148
+U 1 1 5EC34ED9
+P 9550 2900
+F 0 "#PWR0148" H 9550 2650 50 0001 C CNN
+F 1 "GND" V 9555 2772 50 0000 R CNN
+F 2 "" H 9550 2900 50 0001 C CNN
+F 3 "" H 9550 2900 50 0001 C CNN
+ 1 9550 2900
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0149
+U 1 1 5EC351F8
+P 9950 2900
+F 0 "#PWR0149" H 9950 2650 50 0001 C CNN
+F 1 "GND" V 9955 2772 50 0000 R CNN
+F 2 "" H 9950 2900 50 0001 C CNN
+F 3 "" H 9950 2900 50 0001 C CNN
+ 1 9950 2900
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0150
+U 1 1 5EC354C1
+P 10550 2900
+F 0 "#PWR0150" H 10550 2650 50 0001 C CNN
+F 1 "GND" V 10555 2772 50 0000 R CNN
+F 2 "" H 10550 2900 50 0001 C CNN
+F 3 "" H 10550 2900 50 0001 C CNN
+ 1 10550 2900
+ 1 0 0 -1
+$EndComp
+$Comp
+L Mechanical:MountingHole H1
+U 1 1 5EC8DBFD
+P 4450 6400
+F 0 "H1" H 4550 6446 50 0000 L CNN
+F 1 "MountingHole" H 4550 6355 50 0000 L CNN
+F 2 "MountingHole:MountingHole_3.2mm_M3_DIN965" H 4450 6400 50 0001 C CNN
+F 3 "~" H 4450 6400 50 0001 C CNN
+ 1 4450 6400
+ 1 0 0 -1
+$EndComp
+$Comp
+L Mechanical:MountingHole H2
+U 1 1 5EC8F214
+P 4450 6600
+F 0 "H2" H 4550 6646 50 0000 L CNN
+F 1 "MountingHole" H 4550 6555 50 0000 L CNN
+F 2 "MountingHole:MountingHole_3.2mm_M3_DIN965" H 4450 6600 50 0001 C CNN
+F 3 "~" H 4450 6600 50 0001 C CNN
+ 1 4450 6600
+ 1 0 0 -1
+$EndComp
+$Comp
+L Mechanical:MountingHole H3
+U 1 1 5EC925EC
+P 4450 6800
+F 0 "H3" H 4550 6846 50 0000 L CNN
+F 1 "MountingHole" H 4550 6755 50 0000 L CNN
+F 2 "MountingHole:MountingHole_3.2mm_M3_DIN965" H 4450 6800 50 0001 C CNN
+F 3 "~" H 4450 6800 50 0001 C CNN
+ 1 4450 6800
+ 1 0 0 -1
+$EndComp
+$Comp
+L Mechanical:MountingHole H4
+U 1 1 5EC926F2
+P 4450 7000
+F 0 "H4" H 4550 7046 50 0000 L CNN
+F 1 "MountingHole" H 4550 6955 50 0000 L CNN
+F 2 "MountingHole:MountingHole_3.2mm_M3_DIN965" H 4450 7000 50 0001 C CNN
+F 3 "~" H 4450 7000 50 0001 C CNN
+ 1 4450 7000
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:D_x2_ACom_KKA D7
+U 1 1 5EC0A355
+P 9750 5950
+F 0 "D7" H 9750 6073 50 0000 C CNN
+F 1 "BAV23AQ-7-F " H 9750 6164 50 0000 C CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 9750 5950 50 0001 C CNN
+F 3 "~" H 9750 5950 50 0001 C CNN
+F 4 "C443822" H 9750 5950 50 0001 C CNN "LCSC"
+ 1 9750 5950
+ 1 0 0 1
+$EndComp
+$Comp
+L Switch:SW_Push SW8
+U 1 1 5EB08AA9
+P 10250 3850
+F 0 "SW8" H 10250 4135 50 0000 C CNN
+F 1 "SW_Push" H 10250 4044 50 0000 C CNN
+F 2 "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" H 10250 4050 50 0001 C CNN
+F 3 "~" H 10250 4050 50 0001 C CNN
+ 1 10250 3850
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 10450 3850 10450 3300
+Wire Wire Line
+ 10450 3300 10150 3300
+Wire Wire Line
+ 10150 3300 10150 3200
+Connection ~ 10450 3850
+Wire Wire Line
+ 10050 3200 10050 3400
+Wire Wire Line
+ 10050 3400 10350 3400
+Wire Wire Line
+ 10350 3400 10350 3200
+Text GLabel 6100 3700 0 50 Input ~ 0
+PC14
+Text GLabel 6100 3800 0 50 Input ~ 0
+PC15
+$EndSCHEMATC