summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorjaseg <git@jaseg.net>2020-10-14 12:44:32 +0200
committerjaseg <git@jaseg.net>2020-10-14 12:44:32 +0200
commit491b2acaaa9db1798445f3e174e68ada7424fc33 (patch)
tree39a44776217f40a33d5a46037aa361c785d3ac80
parent2628932a40d769d8d0180ba6fed1e7b9b2718982 (diff)
downloadminikbd-491b2acaaa9db1798445f3e174e68ada7424fc33.tar.gz
minikbd-491b2acaaa9db1798445f3e174e68ada7424fc33.tar.bz2
minikbd-491b2acaaa9db1798445f3e174e68ada7424fc33.zip
v2: Simplify USB circuitry, add config switch
-rw-r--r--pcb/.gitignore1
-rw-r--r--pcb/OtterPill.kicad_pcb35026
-rw-r--r--pcb/OtterPill.kicad_prl72
-rw-r--r--pcb/OtterPill.kicad_pro423
-rw-r--r--pcb/OtterPill.kicad_sch3622
-rw-r--r--pcb/footprints.pretty/Reichelt_RND_210-00137.kicad_mod43
-rw-r--r--pcb/gerber/OtterPill-B_Cu.gbl21760
-rw-r--r--pcb/gerber/OtterPill-B_Mask.gbs1922
-rw-r--r--pcb/gerber/OtterPill-B_Paste.gbp257
-rw-r--r--pcb/gerber/OtterPill-B_SilkS.gbo3196
-rw-r--r--pcb/gerber/OtterPill-B_Silkscreen.gbo1924
-rw-r--r--pcb/gerber/OtterPill-Edge_Cuts.gko39
-rw-r--r--pcb/gerber/OtterPill-Edge_Cuts.gm146
-rw-r--r--pcb/gerber/OtterPill-F_Cu.gtl27754
-rw-r--r--pcb/gerber/OtterPill-F_Mask.gts6824
-rw-r--r--pcb/gerber/OtterPill-F_Paste.gtp9236
-rw-r--r--pcb/gerber/OtterPill-F_SilkS.gto2641
-rw-r--r--pcb/gerber/OtterPill-F_Silkscreen.gto830
-rw-r--r--pcb/gerber/OtterPill-NPTH.drl44
-rw-r--r--pcb/gerber/OtterPill-PTH.drl359
-rw-r--r--pcb/gerber/OtterPill-bottom-pos.csv1
-rw-r--r--pcb/gerber/OtterPill-gerber-v1.2.zipbin228938 -> 0 bytes
-rw-r--r--pcb/gerber/OtterPill-top-pos.csv33
-rw-r--r--pcb/gerber/OtterPill.csv18
-rw-r--r--pcb/minikbd_v2_gerber.zipbin0 -> 216463 bytes
-rwxr-xr-xpcb/production/OtterPill-gerber-v1.2-x2.zipbin895804 -> 0 bytes
-rwxr-xr-xpcb/production/OtterPill-gerber-v1.2-x4.zipbin1824636 -> 0 bytes
-rw-r--r--pcb/production/OtterPill-gerber-v1.2.zipbin228938 -> 0 bytes
-rw-r--r--pcb/production/OtterPill-top-pos-x2.csv65
-rw-r--r--pcb/production/OtterPill-top-pos-x4.csv129
-rw-r--r--pcb/production/OtterPill-top-pos.csv33
-rw-r--r--pcb/production/OtterPillBOM.csv19
32 files changed, 65152 insertions, 51165 deletions
diff --git a/pcb/.gitignore b/pcb/.gitignore
new file mode 100644
index 0000000..0a0d121
--- /dev/null
+++ b/pcb/.gitignore
@@ -0,0 +1 @@
+*-backups
diff --git a/pcb/OtterPill.kicad_pcb b/pcb/OtterPill.kicad_pcb
index 79d514c..c2cb6cc 100644
--- a/pcb/OtterPill.kicad_pcb
+++ b/pcb/OtterPill.kicad_pcb
@@ -1,5738 +1,29288 @@
-(kicad_pcb (version 20171130) (host pcbnew "(5.1.5)-3")
-
- (general
- (thickness 1.6)
- (drawings 12)
- (tracks 705)
- (zones 0)
- (modules 62)
- (nets 69)
- )
-
- (page A4)
- (layers
- (0 F.Cu signal)
- (31 B.Cu signal)
- (32 B.Adhes user)
- (33 F.Adhes user)
- (34 B.Paste user)
- (35 F.Paste user)
- (36 B.SilkS user)
- (37 F.SilkS user)
- (38 B.Mask user)
- (39 F.Mask user)
- (40 Dwgs.User user)
- (41 Cmts.User user)
- (42 Eco1.User user)
- (43 Eco2.User user)
- (44 Edge.Cuts user)
- (45 Margin user)
- (46 B.CrtYd user)
- (47 F.CrtYd user)
- (48 B.Fab user hide)
- (49 F.Fab user hide)
- )
-
- (setup
- (last_trace_width 0.2)
- (user_trace_width 0.157)
- (user_trace_width 0.2)
- (user_trace_width 0.4)
- (user_trace_width 0.6)
- (user_trace_width 0.8)
- (trace_clearance 0.157)
- (zone_clearance 0.157)
- (zone_45_only no)
- (trace_min 0.157)
- (via_size 0.6)
- (via_drill 0.3)
- (via_min_size 0.4)
- (via_min_drill 0.3)
- (user_via 0.6 0.3)
- (uvia_size 0.3)
- (uvia_drill 0.1)
- (uvias_allowed no)
- (uvia_min_size 0.2)
- (uvia_min_drill 0.1)
- (edge_width 0.05)
- (segment_width 0.1)
- (pcb_text_width 0.3)
- (pcb_text_size 1.5 1.5)
- (mod_edge_width 0.1)
- (mod_text_size 0.45 0.45)
- (mod_text_width 0.1125)
- (pad_size 5 5)
- (pad_drill 0)
- (pad_to_mask_clearance 0.01)
- (solder_mask_min_width 0.01)
- (aux_axis_origin 0 0)
- (grid_origin 180.2 68.5)
- (visible_elements 7FFFFFFF)
- (pcbplotparams
- (layerselection 0x010fc_ffffffff)
- (usegerberextensions true)
- (usegerberattributes false)
- (usegerberadvancedattributes false)
- (creategerberjobfile false)
- (excludeedgelayer false)
- (linewidth 0.100000)
- (plotframeref false)
- (viasonmask false)
- (mode 1)
- (useauxorigin false)
- (hpglpennumber 1)
- (hpglpenspeed 20)
- (hpglpendiameter 15.000000)
- (psnegative false)
- (psa4output false)
- (plotreference true)
- (plotvalue true)
- (plotinvisibletext false)
- (padsonsilk false)
- (subtractmaskfromsilk false)
- (outputformat 1)
- (mirror false)
- (drillshape 0)
- (scaleselection 1)
- (outputdirectory "gerber/"))
- )
-
- (net 0 "")
- (net 1 GND)
- (net 2 "Net-(C3-Pad2)")
- (net 3 "Net-(C3-Pad1)")
- (net 4 +3V3)
- (net 5 "Net-(J1-PadA8)")
- (net 6 "Net-(J1-PadB8)")
- (net 7 "Net-(R6-Pad2)")
- (net 8 "Net-(U2-Pad7)")
- (net 9 "Net-(U2-Pad6)")
- (net 10 "Net-(U2-Pad5)")
- (net 11 "Net-(U2-Pad4)")
- (net 12 "Net-(U2-Pad3)")
- (net 13 SWCLK)
- (net 14 SWDIO)
- (net 15 CC2)
- (net 16 CC1)
- (net 17 "Net-(R8-Pad2)")
- (net 18 "Net-(R9-Pad2)")
- (net 19 INT_N)
- (net 20 SDA)
- (net 21 SCL)
- (net 22 "Net-(U4-Pad13)")
- (net 23 "Net-(U4-Pad12)")
- (net 24 USB_P)
- (net 25 USB_N)
- (net 26 VIN)
- (net 27 "Net-(R12-Pad2)")
- (net 28 "Net-(J7-Pad1)")
- (net 29 "Net-(R13-Pad1)")
- (net 30 PB13)
- (net 31 PA9)
- (net 32 PA10)
- (net 33 PB9)
- (net 34 PA1)
- (net 35 PA2)
- (net 36 PA3)
- (net 37 PA4)
- (net 38 PA5)
- (net 39 PA6)
- (net 40 PA7)
- (net 41 PB0)
- (net 42 PB15)
- (net 43 PB14)
- (net 44 PB5)
- (net 45 PB4)
- (net 46 PB3)
- (net 47 PB6)
- (net 48 PB7)
- (net 49 PB2)
- (net 50 PA15)
- (net 51 PB8)
- (net 52 PB1)
- (net 53 PA8)
- (net 54 PA0)
- (net 55 "Net-(D3-Pad1)")
- (net 56 "Net-(D4-Pad1)")
- (net 57 "Net-(D5-Pad1)")
- (net 58 "Net-(D6-Pad1)")
- (net 59 "Net-(D7-Pad1)")
- (net 60 "Net-(R15-Pad1)")
- (net 61 "Net-(R16-Pad2)")
- (net 62 "Net-(R19-Pad1)")
- (net 63 "Net-(R20-Pad2)")
- (net 64 "Net-(D3-Pad2)")
- (net 65 "Net-(D4-Pad2)")
- (net 66 "Net-(D5-Pad2)")
- (net 67 "Net-(D6-Pad2)")
- (net 68 "Net-(D7-Pad2)")
-
- (net_class Default "This is the default net class."
- (clearance 0.157)
- (trace_width 0.157)
- (via_dia 0.6)
- (via_drill 0.3)
- (uvia_dia 0.3)
- (uvia_drill 0.1)
- (add_net +3V3)
- (add_net CC1)
- (add_net CC2)
- (add_net GND)
- (add_net INT_N)
- (add_net "Net-(C3-Pad1)")
- (add_net "Net-(C3-Pad2)")
- (add_net "Net-(D3-Pad1)")
- (add_net "Net-(D3-Pad2)")
- (add_net "Net-(D4-Pad1)")
- (add_net "Net-(D4-Pad2)")
- (add_net "Net-(D5-Pad1)")
- (add_net "Net-(D5-Pad2)")
- (add_net "Net-(D6-Pad1)")
- (add_net "Net-(D6-Pad2)")
- (add_net "Net-(D7-Pad1)")
- (add_net "Net-(D7-Pad2)")
- (add_net "Net-(J1-PadA8)")
- (add_net "Net-(J1-PadB8)")
- (add_net "Net-(J7-Pad1)")
- (add_net "Net-(R12-Pad2)")
- (add_net "Net-(R13-Pad1)")
- (add_net "Net-(R15-Pad1)")
- (add_net "Net-(R16-Pad2)")
- (add_net "Net-(R19-Pad1)")
- (add_net "Net-(R20-Pad2)")
- (add_net "Net-(R6-Pad2)")
- (add_net "Net-(R8-Pad2)")
- (add_net "Net-(R9-Pad2)")
- (add_net "Net-(U2-Pad3)")
- (add_net "Net-(U2-Pad4)")
- (add_net "Net-(U2-Pad5)")
- (add_net "Net-(U2-Pad6)")
- (add_net "Net-(U2-Pad7)")
- (add_net "Net-(U4-Pad12)")
- (add_net "Net-(U4-Pad13)")
- (add_net PA0)
- (add_net PA1)
- (add_net PA10)
- (add_net PA15)
- (add_net PA2)
- (add_net PA3)
- (add_net PA4)
- (add_net PA5)
- (add_net PA6)
- (add_net PA7)
- (add_net PA8)
- (add_net PA9)
- (add_net PB0)
- (add_net PB1)
- (add_net PB13)
- (add_net PB14)
- (add_net PB15)
- (add_net PB2)
- (add_net PB3)
- (add_net PB4)
- (add_net PB5)
- (add_net PB6)
- (add_net PB7)
- (add_net PB8)
- (add_net PB9)
- (add_net SCL)
- (add_net SDA)
- (add_net SWCLK)
- (add_net SWDIO)
- (add_net USB_N)
- (add_net USB_P)
- (add_net VIN)
- )
-
- (net_class signal ""
- (clearance 0.157)
- (trace_width 0.157)
- (via_dia 0.6)
- (via_drill 0.3)
- (uvia_dia 0.3)
- (uvia_drill 0.1)
- )
-
- (module MountingHole:MountingHole_3.2mm_M3_DIN965 (layer F.Cu) (tedit 56D1B4CB) (tstamp 5EAFDD79)
- (at 221.2 47.5)
- (descr "Mounting Hole 3.2mm, no annular, M3, DIN965")
- (tags "mounting hole 3.2mm no annular m3 din965")
- (path /5EC926F2)
- (attr virtual)
- (fp_text reference H4 (at 0 -3.8) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value MountingHole (at 0 3.8) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 3.05 0) (layer F.CrtYd) (width 0.05))
- (fp_circle (center 0 0) (end 2.8 0) (layer Cmts.User) (width 0.15))
- (fp_text user %R (at 0.3 0) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 1 np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Mask))
- )
-
- (module MountingHole:MountingHole_3.2mm_M3_DIN965 (layer F.Cu) (tedit 56D1B4CB) (tstamp 5EAFE54B)
- (at 139.2 89.5)
- (descr "Mounting Hole 3.2mm, no annular, M3, DIN965")
- (tags "mounting hole 3.2mm no annular m3 din965")
- (path /5EC925EC)
- (attr virtual)
- (fp_text reference H3 (at 0 -3.8) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value MountingHole (at 0 3.8) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 3.05 0) (layer F.CrtYd) (width 0.05))
- (fp_circle (center 0 0) (end 2.8 0) (layer Cmts.User) (width 0.15))
- (fp_text user %R (at 0.3 0) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 1 np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Mask))
- )
-
- (module MountingHole:MountingHole_3.2mm_M3_DIN965 (layer F.Cu) (tedit 56D1B4CB) (tstamp 5EAFDD69)
- (at 139.2 47.5)
- (descr "Mounting Hole 3.2mm, no annular, M3, DIN965")
- (tags "mounting hole 3.2mm no annular m3 din965")
- (path /5EC8F214)
- (attr virtual)
- (fp_text reference H2 (at 0 -3.8) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value MountingHole (at 0 3.8) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 3.05 0) (layer F.CrtYd) (width 0.05))
- (fp_circle (center 0 0) (end 2.8 0) (layer Cmts.User) (width 0.15))
- (fp_text user %R (at 0.3 0) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 1 np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Mask))
- )
-
- (module MountingHole:MountingHole_3.2mm_M3_DIN965 (layer F.Cu) (tedit 56D1B4CB) (tstamp 5EAFDD61)
- (at 221.2 89.5)
- (descr "Mounting Hole 3.2mm, no annular, M3, DIN965")
- (tags "mounting hole 3.2mm no annular m3 din965")
- (path /5EC8DBFD)
- (attr virtual)
- (fp_text reference H1 (at 0 -3.8) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value MountingHole (at 0 3.8) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 3.05 0) (layer F.CrtYd) (width 0.05))
- (fp_circle (center 0 0) (end 2.8 0) (layer Cmts.User) (width 0.15))
- (fp_text user %R (at 0.3 0) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 1 np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Mask))
- )
-
- (module Package_TO_SOT_SMD:SOT-23 (layer B.Cu) (tedit 5A02FF57) (tstamp 5EAF751B)
- (at 170.7 68.4)
- (descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5EC0A355)
- (attr smd)
- (fp_text reference D7 (at 0 2.5) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value "BAV23AQ-7-F " (at 0 -2.5) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end -1.4 1.58) (layer B.SilkS) (width 0.12))
- (fp_line (start -1.7 -1.75) (end -1.7 1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end -1.7 -1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end 1.7 -1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.7 1.75) (end 1.7 1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.7 -1.52) (end 0.7 -1.52) (layer B.Fab) (width 0.1))
- (fp_line (start 0.7 1.52) (end 0.7 -1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.7 0.95) (end -0.15 1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.15 1.52) (end 0.7 1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.7 0.95) (end -0.7 -1.5) (layer B.Fab) (width 0.1))
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror))
- )
- (pad 3 smd rect (at 1 0) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 54 PA0))
- (pad 2 smd rect (at -1 -0.95) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 68 "Net-(D7-Pad2)"))
- (pad 1 smd rect (at -1 0.95) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 59 "Net-(D7-Pad1)"))
- (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Package_TO_SOT_SMD:SOT-23 (layer B.Cu) (tedit 5A02FF57) (tstamp 5EAF819A)
- (at 195.2 68.5 180)
- (descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5EC09E56)
- (attr smd)
- (fp_text reference D6 (at 0 2.5) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value "BAV23AQ-7-F " (at 0 -2.5) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end -1.4 1.58) (layer B.SilkS) (width 0.12))
- (fp_line (start -1.7 -1.75) (end -1.7 1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end -1.7 -1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end 1.7 -1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.7 1.75) (end 1.7 1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.7 -1.52) (end 0.7 -1.52) (layer B.Fab) (width 0.1))
- (fp_line (start 0.7 1.52) (end 0.7 -1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.7 0.95) (end -0.15 1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.15 1.52) (end 0.7 1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.7 0.95) (end -0.7 -1.5) (layer B.Fab) (width 0.1))
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror))
- )
- (pad 3 smd rect (at 1 0 180) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 50 PA15))
- (pad 2 smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 67 "Net-(D6-Pad2)"))
- (pad 1 smd rect (at -1 0.95 180) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 58 "Net-(D6-Pad1)"))
- (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Package_TO_SOT_SMD:SOT-23 (layer B.Cu) (tedit 5A02FF57) (tstamp 5EAF74F1)
- (at 144.7 68.5)
- (descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5EC09777)
- (attr smd)
- (fp_text reference D5 (at 0 2.5) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value "BAV23AQ-7-F " (at 0 -2.5) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end -1.4 1.58) (layer B.SilkS) (width 0.12))
- (fp_line (start -1.7 -1.75) (end -1.7 1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end -1.7 -1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end 1.7 -1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.7 1.75) (end 1.7 1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.7 -1.52) (end 0.7 -1.52) (layer B.Fab) (width 0.1))
- (fp_line (start 0.7 1.52) (end 0.7 -1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.7 0.95) (end -0.15 1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.15 1.52) (end 0.7 1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.7 0.95) (end -0.7 -1.5) (layer B.Fab) (width 0.1))
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror))
- )
- (pad 3 smd rect (at 1 0) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 52 PB1))
- (pad 2 smd rect (at -1 -0.95) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 66 "Net-(D5-Pad2)"))
- (pad 1 smd rect (at -1 0.95) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 57 "Net-(D5-Pad1)"))
- (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Package_TO_SOT_SMD:SOT-23 (layer B.Cu) (tedit 5A02FF57) (tstamp 5EAF74DC)
- (at 216.7 77 180)
- (descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5EC08FDE)
- (attr smd)
- (fp_text reference D4 (at 0 2.5) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value "BAV23AQ-7-F " (at 0 -2.5) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end -1.4 1.58) (layer B.SilkS) (width 0.12))
- (fp_line (start -1.7 -1.75) (end -1.7 1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end -1.7 -1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end 1.7 -1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.7 1.75) (end 1.7 1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.7 -1.52) (end 0.7 -1.52) (layer B.Fab) (width 0.1))
- (fp_line (start 0.7 1.52) (end 0.7 -1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.7 0.95) (end -0.15 1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.15 1.52) (end 0.7 1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.7 0.95) (end -0.7 -1.5) (layer B.Fab) (width 0.1))
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror))
- )
- (pad 3 smd rect (at 1 0 180) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 51 PB8))
- (pad 2 smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 65 "Net-(D4-Pad2)"))
- (pad 1 smd rect (at -1 0.95 180) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 56 "Net-(D4-Pad1)"))
- (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Package_TO_SOT_SMD:SOT-23 (layer B.Cu) (tedit 5A02FF57) (tstamp 5EAF74C7)
- (at 173.2 64.5 90)
- (descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5EC07ACF)
- (attr smd)
- (fp_text reference D3 (at 0 2.5 270) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value "BAV23AQ-7-F " (at 0 -2.5 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end -1.4 1.58) (layer B.SilkS) (width 0.12))
- (fp_line (start -1.7 -1.75) (end -1.7 1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end -1.7 -1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end 1.7 -1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.7 1.75) (end 1.7 1.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.7 -1.52) (end 0.7 -1.52) (layer B.Fab) (width 0.1))
- (fp_line (start 0.7 1.52) (end 0.7 -1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.7 0.95) (end -0.15 1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.15 1.52) (end 0.7 1.52) (layer B.Fab) (width 0.1))
- (fp_line (start -0.7 0.95) (end -0.7 -1.5) (layer B.Fab) (width 0.1))
- (fp_text user %R (at 0 0) (layer B.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror))
- )
- (pad 3 smd rect (at 1 0 90) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 49 PB2))
- (pad 2 smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 64 "Net-(D3-Pad2)"))
- (pad 1 smd rect (at -1 0.95 90) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
- (net 55 "Net-(D3-Pad1)"))
- (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Package_QFP:LQFP-48_7x7mm_P0.5mm (layer B.Cu) (tedit 5C18330E) (tstamp 5EB02AA4)
- (at 180.2 68.5 45)
- (descr "LQFP, 48 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ltc2358-16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
- (tags "LQFP QFP")
- (path /5DAB6EF4)
- (attr smd)
- (fp_text reference U2 (at -5.635605 2.538549 90) (layer B.SilkS)
- (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
- )
- (fp_text value STM32F072C8Tx (at 0 -5.85 45) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start 3.16 -3.61) (end 3.61 -3.61) (layer B.SilkS) (width 0.12))
- (fp_line (start 3.61 -3.61) (end 3.61 -3.16) (layer B.SilkS) (width 0.12))
- (fp_line (start -3.16 -3.61) (end -3.61 -3.61) (layer B.SilkS) (width 0.12))
- (fp_line (start -3.61 -3.61) (end -3.61 -3.16) (layer B.SilkS) (width 0.12))
- (fp_line (start 3.16 3.61) (end 3.61 3.61) (layer B.SilkS) (width 0.12))
- (fp_line (start 3.61 3.61) (end 3.61 3.16) (layer B.SilkS) (width 0.12))
- (fp_line (start -3.16 3.61) (end -3.61 3.61) (layer B.SilkS) (width 0.12))
- (fp_line (start -3.61 3.61) (end -3.61 3.16) (layer B.SilkS) (width 0.12))
- (fp_line (start -3.61 3.16) (end -4.9 3.16) (layer B.SilkS) (width 0.12))
- (fp_line (start -2.5 3.5) (end 3.5 3.5) (layer B.Fab) (width 0.1))
- (fp_line (start 3.5 3.5) (end 3.5 -3.5) (layer B.Fab) (width 0.1))
- (fp_line (start 3.5 -3.5) (end -3.5 -3.5) (layer B.Fab) (width 0.1))
- (fp_line (start -3.5 -3.5) (end -3.5 2.5) (layer B.Fab) (width 0.1))
- (fp_line (start -3.5 2.5) (end -2.5 3.5) (layer B.Fab) (width 0.1))
- (fp_line (start 0 5.15) (end -3.15 5.15) (layer B.CrtYd) (width 0.05))
- (fp_line (start -3.15 5.15) (end -3.15 3.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start -3.15 3.75) (end -3.75 3.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start -3.75 3.75) (end -3.75 3.15) (layer B.CrtYd) (width 0.05))
- (fp_line (start -3.75 3.15) (end -5.15 3.15) (layer B.CrtYd) (width 0.05))
- (fp_line (start -5.15 3.15) (end -5.15 0) (layer B.CrtYd) (width 0.05))
- (fp_line (start 0 5.15) (end 3.15 5.15) (layer B.CrtYd) (width 0.05))
- (fp_line (start 3.15 5.15) (end 3.15 3.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 3.15 3.75) (end 3.75 3.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 3.75 3.75) (end 3.75 3.15) (layer B.CrtYd) (width 0.05))
- (fp_line (start 3.75 3.15) (end 5.15 3.15) (layer B.CrtYd) (width 0.05))
- (fp_line (start 5.15 3.15) (end 5.15 0) (layer B.CrtYd) (width 0.05))
- (fp_line (start 0 -5.15) (end -3.15 -5.15) (layer B.CrtYd) (width 0.05))
- (fp_line (start -3.15 -5.15) (end -3.15 -3.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start -3.15 -3.75) (end -3.75 -3.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start -3.75 -3.75) (end -3.75 -3.15) (layer B.CrtYd) (width 0.05))
- (fp_line (start -3.75 -3.15) (end -5.15 -3.15) (layer B.CrtYd) (width 0.05))
- (fp_line (start -5.15 -3.15) (end -5.15 0) (layer B.CrtYd) (width 0.05))
- (fp_line (start 0 -5.15) (end 3.15 -5.15) (layer B.CrtYd) (width 0.05))
- (fp_line (start 3.15 -5.15) (end 3.15 -3.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 3.15 -3.75) (end 3.75 -3.75) (layer B.CrtYd) (width 0.05))
- (fp_line (start 3.75 -3.75) (end 3.75 -3.15) (layer B.CrtYd) (width 0.05))
- (fp_line (start 3.75 -3.15) (end 5.15 -3.15) (layer B.CrtYd) (width 0.05))
- (fp_line (start 5.15 -3.15) (end 5.15 0) (layer B.CrtYd) (width 0.05))
- (fp_text user %R (at 0 0 45) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (pad 1 smd roundrect (at -4.1625 2.75 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (pad 2 smd roundrect (at -4.1625 2.25 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 28 "Net-(J7-Pad1)"))
- (pad 3 smd roundrect (at -4.1625 1.75 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 12 "Net-(U2-Pad3)"))
- (pad 4 smd roundrect (at -4.1625 1.249999 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 11 "Net-(U2-Pad4)"))
- (pad 5 smd roundrect (at -4.1625 0.75 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 10 "Net-(U2-Pad5)"))
- (pad 6 smd roundrect (at -4.1625 0.25 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 9 "Net-(U2-Pad6)"))
- (pad 7 smd roundrect (at -4.1625 -0.25 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 8 "Net-(U2-Pad7)"))
- (pad 8 smd roundrect (at -4.1625 -0.75 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 9 smd roundrect (at -4.1625 -1.249999 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (pad 10 smd roundrect (at -4.1625 -1.75 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 54 PA0))
- (pad 11 smd roundrect (at -4.1625 -2.25 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 34 PA1))
- (pad 12 smd roundrect (at -4.1625 -2.75 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 35 PA2))
- (pad 13 smd roundrect (at -2.75 -4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 36 PA3))
- (pad 14 smd roundrect (at -2.25 -4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 37 PA4))
- (pad 15 smd roundrect (at -1.75 -4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 38 PA5))
- (pad 16 smd roundrect (at -1.249999 -4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 39 PA6))
- (pad 17 smd roundrect (at -0.75 -4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 40 PA7))
- (pad 18 smd roundrect (at -0.25 -4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 41 PB0))
- (pad 19 smd roundrect (at 0.25 -4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 52 PB1))
- (pad 20 smd roundrect (at 0.75 -4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 49 PB2))
- (pad 21 smd roundrect (at 1.249999 -4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 21 SCL))
- (pad 22 smd roundrect (at 1.75 -4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 20 SDA))
- (pad 23 smd roundrect (at 2.25 -4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 24 smd roundrect (at 2.75 -4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (pad 25 smd roundrect (at 4.1625 -2.75 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 19 INT_N))
- (pad 26 smd roundrect (at 4.1625 -2.25 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 30 PB13))
- (pad 27 smd roundrect (at 4.1625 -1.75 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 43 PB14))
- (pad 28 smd roundrect (at 4.1625 -1.249999 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 42 PB15))
- (pad 29 smd roundrect (at 4.1625 -0.75 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 53 PA8))
- (pad 30 smd roundrect (at 4.1625 -0.25 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 31 PA9))
- (pad 31 smd roundrect (at 4.1625 0.25 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 32 PA10))
- (pad 32 smd roundrect (at 4.1625 0.75 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 25 USB_N))
- (pad 33 smd roundrect (at 4.1625 1.249999 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 24 USB_P))
- (pad 34 smd roundrect (at 4.1625 1.75 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 14 SWDIO))
- (pad 35 smd roundrect (at 4.1625 2.25 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 36 smd roundrect (at 4.1625 2.75 45) (size 1.475 0.3) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (pad 37 smd roundrect (at 2.75 4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 13 SWCLK))
- (pad 38 smd roundrect (at 2.25 4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 50 PA15))
- (pad 39 smd roundrect (at 1.75 4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 46 PB3))
- (pad 40 smd roundrect (at 1.249999 4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 45 PB4))
- (pad 41 smd roundrect (at 0.75 4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 44 PB5))
- (pad 42 smd roundrect (at 0.25 4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 47 PB6))
- (pad 43 smd roundrect (at -0.25 4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 48 PB7))
- (pad 44 smd roundrect (at -0.75 4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 28 "Net-(J7-Pad1)"))
- (pad 45 smd roundrect (at -1.249999 4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 51 PB8))
- (pad 46 smd roundrect (at -1.75 4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 33 PB9))
- (pad 47 smd roundrect (at -2.25 4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 48 smd roundrect (at -2.75 4.1625 45) (size 0.3 1.475) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Package_QFP.3dshapes/LQFP-48_7x7mm_P0.5mm.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB (layer F.Cu) (tedit 5A02FE24) (tstamp 5EAF5FA9)
- (at 165.12 55.96 90)
- (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
- (tags "Cherry MX keyswitch 1.00u PCB")
- (path /5EB09C47)
- (fp_text reference SW11 (at -2.54 -2.794 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SW_Push (at -2.54 12.954 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1))
- (fp_text user %R (at -2.54 -2.794 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "" np_thru_hole circle (at 2.54 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -7.62 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -2.54 5.08 90) (size 4 4) (drill 4) (layers *.Cu *.Mask))
- (pad 2 thru_hole circle (at -6.35 2.54 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 37 PA4))
- (pad 1 thru_hole circle (at 0 0 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 68 "Net-(D7-Pad2)"))
- (model ${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB (layer F.Cu) (tedit 5A02FE24) (tstamp 5EAF5F8F)
- (at 195.28 61.04 270)
- (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
- (tags "Cherry MX keyswitch 1.00u PCB")
- (path /5EB09A9A)
- (fp_text reference SW10 (at -2.54 -2.794 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SW_Push (at -2.54 12.954 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1))
- (fp_text user %R (at -2.54 -2.794 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "" np_thru_hole circle (at 2.54 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -7.62 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -2.54 5.08 270) (size 4 4) (drill 4) (layers *.Cu *.Mask))
- (pad 2 thru_hole circle (at -6.35 2.54 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 37 PA4))
- (pad 1 thru_hole circle (at 0 0 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 58 "Net-(D6-Pad1)"))
- (model ${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB (layer F.Cu) (tedit 5A02FE24) (tstamp 5EAF5F75)
- (at 145.12 55.96 90)
- (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
- (tags "Cherry MX keyswitch 1.00u PCB")
- (path /5EB0972B)
- (fp_text reference SW9 (at -2.54 -2.794 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SW_Push (at -2.54 12.954 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1))
- (fp_text user %R (at -2.54 -2.794 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "" np_thru_hole circle (at 2.54 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -7.62 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -2.54 5.08 90) (size 4 4) (drill 4) (layers *.Cu *.Mask))
- (pad 2 thru_hole circle (at -6.35 2.54 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 37 PA4))
- (pad 1 thru_hole circle (at 0 0 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 66 "Net-(D5-Pad2)"))
- (model ${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB (layer F.Cu) (tedit 5A02FE24) (tstamp 5EAF5F5B)
- (at 215.28 61.04 270)
- (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
- (tags "Cherry MX keyswitch 1.00u PCB")
- (path /5EB08AA9)
- (fp_text reference SW8 (at -2.54 -2.794 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SW_Push (at -2.54 12.954 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1))
- (fp_text user %R (at -2.54 -2.794 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "" np_thru_hole circle (at 2.54 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -7.62 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -2.54 5.08 270) (size 4 4) (drill 4) (layers *.Cu *.Mask))
- (pad 2 thru_hole circle (at -6.35 2.54 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 37 PA4))
- (pad 1 thru_hole circle (at 0 0 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 56 "Net-(D4-Pad1)"))
- (model ${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module footprints:Bourns_PEC12R_4xxxF-Sxxxx_horizontal_sw (layer F.Cu) (tedit 5EAEEB02) (tstamp 5EAF5F41)
- (at 200.2 68.5 90)
- (path /5EAFECBB)
- (fp_text reference SW7 (at 0 0.5 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value " BOURNS PEC12R-4230F-S0024 " (at 0 -0.5 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -6.5 -2.5) (end -6.5 -7) (layer F.CrtYd) (width 0.12))
- (fp_line (start -8.5 -2.5) (end -6.5 -2.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start -8.5 2) (end -8.5 -2.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start -6.5 2) (end -8.5 2) (layer F.CrtYd) (width 0.12))
- (fp_line (start -6.5 7) (end -6.5 2) (layer F.CrtYd) (width 0.12))
- (fp_line (start -4 7) (end -6.5 7) (layer F.CrtYd) (width 0.12))
- (fp_line (start -4 9) (end -4 7) (layer F.CrtYd) (width 0.12))
- (fp_line (start 4.5 9) (end -4 9) (layer F.CrtYd) (width 0.12))
- (fp_line (start 4.5 7) (end 4.5 9) (layer F.CrtYd) (width 0.12))
- (fp_line (start 6.5 7) (end 4.5 7) (layer F.CrtYd) (width 0.12))
- (fp_line (start 6.5 2) (end 6.5 7) (layer F.CrtYd) (width 0.12))
- (fp_line (start 8.5 2) (end 6.5 2) (layer F.CrtYd) (width 0.12))
- (fp_line (start 8.5 -2.5) (end 8.5 2) (layer F.CrtYd) (width 0.12))
- (fp_line (start 6.5 -2.5) (end 8.5 -2.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start 6.5 -7) (end 6.5 -2.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start 4.5 -7) (end 6.5 -7) (layer F.CrtYd) (width 0.12))
- (fp_line (start 4.5 -8.5) (end 4.5 -7) (layer F.CrtYd) (width 0.12))
- (fp_line (start 4 -8.5) (end 4.5 -8.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start -4.5 -8.5) (end 4 -8.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start -4.5 -7) (end -4.5 -8.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start -6.5 -7) (end -4.5 -7) (layer F.CrtYd) (width 0.12))
- (fp_line (start -6.2 6.7) (end -6.2 -6.7) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.2 6.7) (end -6.2 6.7) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.2 -6.7) (end 6.2 6.7) (layer F.SilkS) (width 0.12))
- (fp_line (start -6.2 -6.7) (end 6.2 -6.7) (layer F.SilkS) (width 0.12))
- (pad 7 thru_hole oval (at -6.6 -0.2 90) (size 3.5 4.5) (drill oval 2 2.6) (layers *.Cu *.Mask)
- (net 1 GND))
- (pad 6 thru_hole oval (at 6.6 -0.2 90) (size 3.5 4.5) (drill oval 2 2.6) (layers *.Cu *.Mask)
- (net 1 GND))
- (pad 5 thru_hole circle (at 2.5 -7 90) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
- (net 37 PA4))
- (pad 4 thru_hole circle (at -2.5 -7 90) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
- (net 55 "Net-(D3-Pad1)"))
- (pad 3 thru_hole oval (at 2.5 7.5 90) (size 2 2.4) (drill 1.2) (layers *.Cu *.Mask)
- (net 62 "Net-(R19-Pad1)"))
- (pad 2 thru_hole oval (at 0 7.5 90) (size 2 2.4) (drill 1.2) (layers *.Cu *.Mask)
- (net 1 GND))
- (pad 1 thru_hole oval (at -2.5 7.5 90) (size 2 2.4) (drill 1.2) (layers *.Cu *.Mask)
- (net 63 "Net-(R20-Pad2)"))
- )
-
- (module Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB (layer F.Cu) (tedit 5A02FE24) (tstamp 5EAFE780)
- (at 165.12 75.96 90)
- (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
- (tags "Cherry MX keyswitch 1.00u PCB")
- (path /5EB08551)
- (fp_text reference SW6 (at -2.54 -2.794 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SW_Push (at -2.54 12.954 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1))
- (fp_text user %R (at -2.54 -2.794 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "" np_thru_hole circle (at 2.54 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -7.62 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -2.54 5.08 90) (size 4 4) (drill 4) (layers *.Cu *.Mask))
- (pad 2 thru_hole circle (at -6.35 2.54 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 34 PA1))
- (pad 1 thru_hole circle (at 0 0 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 59 "Net-(D7-Pad1)"))
- (model ${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB (layer F.Cu) (tedit 5A02FE24) (tstamp 5EAF7E2B)
- (at 195.28 81.04 270)
- (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
- (tags "Cherry MX keyswitch 1.00u PCB")
- (path /5EB08167)
- (fp_text reference SW5 (at -2.54 -2.794 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SW_Push (at -2.54 12.954 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1))
- (fp_text user %R (at -2.54 -2.794 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "" np_thru_hole circle (at 2.54 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -7.62 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -2.54 5.08 270) (size 4 4) (drill 4) (layers *.Cu *.Mask))
- (pad 2 thru_hole circle (at -6.35 2.54 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 34 PA1))
- (pad 1 thru_hole circle (at 0 0 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 67 "Net-(D6-Pad2)"))
- (model ${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB (layer F.Cu) (tedit 5A02FE24) (tstamp 5EAF5EE9)
- (at 145.12 75.96 90)
- (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
- (tags "Cherry MX keyswitch 1.00u PCB")
- (path /5EB07BBF)
- (fp_text reference SW4 (at -2.54 -2.794 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SW_Push (at -2.54 12.954 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1))
- (fp_text user %R (at -2.54 -2.794 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "" np_thru_hole circle (at 2.54 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -7.62 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -2.54 5.08 90) (size 4 4) (drill 4) (layers *.Cu *.Mask))
- (pad 2 thru_hole circle (at -6.35 2.54 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 34 PA1))
- (pad 1 thru_hole circle (at 0 0 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 57 "Net-(D5-Pad1)"))
- (model ${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB (layer F.Cu) (tedit 5A02FE24) (tstamp 5EAF7F2F)
- (at 215.28 81.04 270)
- (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
- (tags "Cherry MX keyswitch 1.00u PCB")
- (path /5EB06BA6)
- (fp_text reference SW3 (at -2.54 -2.794 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SW_Push (at -2.54 12.954 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer F.SilkS) (width 0.12))
- (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer F.SilkS) (width 0.12))
- (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer Dwgs.User) (width 0.15))
- (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer Dwgs.User) (width 0.15))
- (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer F.CrtYd) (width 0.05))
- (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer F.CrtYd) (width 0.05))
- (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer F.Fab) (width 0.1))
- (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1))
- (fp_text user %R (at -2.54 -2.794 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "" np_thru_hole circle (at 2.54 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -7.62 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -2.54 5.08 270) (size 4 4) (drill 4) (layers *.Cu *.Mask))
- (pad 2 thru_hole circle (at -6.35 2.54 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 34 PA1))
- (pad 1 thru_hole circle (at 0 0 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
- (net 65 "Net-(D4-Pad2)"))
- (model ${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module footprints:Bourns_PEC12R_4xxxF-Sxxxx_horizontal_sw (layer F.Cu) (tedit 5EAEEB02) (tstamp 5EAF5EB5)
- (at 160.2 68.5 270)
- (path /5EAEE6DD)
- (fp_text reference SW2 (at 0 0.5 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value " BOURNS PEC12R-4230F-S0024 " (at 0 -0.5 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -6.5 -2.5) (end -6.5 -7) (layer F.CrtYd) (width 0.12))
- (fp_line (start -8.5 -2.5) (end -6.5 -2.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start -8.5 2) (end -8.5 -2.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start -6.5 2) (end -8.5 2) (layer F.CrtYd) (width 0.12))
- (fp_line (start -6.5 7) (end -6.5 2) (layer F.CrtYd) (width 0.12))
- (fp_line (start -4 7) (end -6.5 7) (layer F.CrtYd) (width 0.12))
- (fp_line (start -4 9) (end -4 7) (layer F.CrtYd) (width 0.12))
- (fp_line (start 4.5 9) (end -4 9) (layer F.CrtYd) (width 0.12))
- (fp_line (start 4.5 7) (end 4.5 9) (layer F.CrtYd) (width 0.12))
- (fp_line (start 6.5 7) (end 4.5 7) (layer F.CrtYd) (width 0.12))
- (fp_line (start 6.5 2) (end 6.5 7) (layer F.CrtYd) (width 0.12))
- (fp_line (start 8.5 2) (end 6.5 2) (layer F.CrtYd) (width 0.12))
- (fp_line (start 8.5 -2.5) (end 8.5 2) (layer F.CrtYd) (width 0.12))
- (fp_line (start 6.5 -2.5) (end 8.5 -2.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start 6.5 -7) (end 6.5 -2.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start 4.5 -7) (end 6.5 -7) (layer F.CrtYd) (width 0.12))
- (fp_line (start 4.5 -8.5) (end 4.5 -7) (layer F.CrtYd) (width 0.12))
- (fp_line (start 4 -8.5) (end 4.5 -8.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start -4.5 -8.5) (end 4 -8.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start -4.5 -7) (end -4.5 -8.5) (layer F.CrtYd) (width 0.12))
- (fp_line (start -6.5 -7) (end -4.5 -7) (layer F.CrtYd) (width 0.12))
- (fp_line (start -6.2 6.7) (end -6.2 -6.7) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.2 6.7) (end -6.2 6.7) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.2 -6.7) (end 6.2 6.7) (layer F.SilkS) (width 0.12))
- (fp_line (start -6.2 -6.7) (end 6.2 -6.7) (layer F.SilkS) (width 0.12))
- (pad 7 thru_hole oval (at -6.6 -0.2 270) (size 3.5 4.5) (drill oval 2 2.6) (layers *.Cu *.Mask)
- (net 1 GND))
- (pad 6 thru_hole oval (at 6.6 -0.2 270) (size 3.5 4.5) (drill oval 2 2.6) (layers *.Cu *.Mask)
- (net 1 GND))
- (pad 5 thru_hole circle (at 2.5 -7 270) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
- (net 34 PA1))
- (pad 4 thru_hole circle (at -2.5 -7 270) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
- (net 64 "Net-(D3-Pad2)"))
- (pad 3 thru_hole oval (at 2.5 7.5 270) (size 2 2.4) (drill 1.2) (layers *.Cu *.Mask)
- (net 60 "Net-(R15-Pad1)"))
- (pad 2 thru_hole oval (at 0 7.5 270) (size 2 2.4) (drill 1.2) (layers *.Cu *.Mask)
- (net 1 GND))
- (pad 1 thru_hole oval (at -2.5 7.5 270) (size 2 2.4) (drill 1.2) (layers *.Cu *.Mask)
- (net 61 "Net-(R16-Pad2)"))
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EAF5E59)
- (at 200.2 69.5 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5EBC5F30)
- (attr smd)
- (fp_text reference R21 (at 0 1.43) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 10k (at 0 -1.43) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 45 PB4))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EAF5E48)
- (at 204.2 71.5)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5EB8F42E)
- (attr smd)
- (fp_text reference R20 (at 0 1.43) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 10k (at 0 -1.43) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 63 "Net-(R20-Pad2)"))
- (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 45 PB4))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EAF5E37)
- (at 204.2 65.5 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5EB9012C)
- (attr smd)
- (fp_text reference R19 (at 0 1.43) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 10k (at 0 -1.43) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 44 PB5))
- (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 62 "Net-(R19-Pad1)"))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EB078BF)
- (at 200.2 67.5)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5EBC5CE3)
- (attr smd)
- (fp_text reference R18 (at 0 1.43) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 10k (at 0 -1.43) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 44 PB5))
- (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EAF5E15)
- (at 145 67.5 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5EBC58C6)
- (attr smd)
- (fp_text reference R17 (at 0 -1.43) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10k (at 0 1.43) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
- (net 31 PA9))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EAF5E04)
- (at 148.7 65.5)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5EB902B4)
- (attr smd)
- (fp_text reference R16 (at 0 -1.43) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10k (at 0 1.43) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
- (net 61 "Net-(R16-Pad2)"))
- (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
- (net 31 PA9))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EAF5DF3)
- (at 148.7 71.5 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5EB90930)
- (attr smd)
- (fp_text reference R15 (at 0 -1.43) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10k (at 0 1.43) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
- (net 53 PA8))
- (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
- (net 60 "Net-(R15-Pad1)"))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EAF5DE2)
- (at 145 69.5)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5EBC5408)
- (attr smd)
- (fp_text reference R14 (at 0 -1.43) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10k (at 0 1.43) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
- (net 53 PA8))
- (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5DBF22F5)
- (at 189.2 49.625 90)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5DB13626)
- (attr smd)
- (fp_text reference R13 (at 0 1.43 270) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 100 (at 0 -1.43 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 28 "Net-(J7-Pad1)"))
- (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 29 "Net-(R13-Pad1)"))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5DC73D54)
- (at 200.7 50.25 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5DAD7369)
- (attr smd)
- (fp_text reference R12 (at 0 1.43) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 100k (at 0 -1.43) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 27 "Net-(R12-Pad2)"))
- (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 26 VIN))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EAFB1C9)
- (at 177.5 60.9 270)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5CCA53F5)
- (attr smd)
- (fp_text reference R11 (at 0 1.43 270) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 3k3 (at 0 -1.43 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 20 SDA))
- (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EAFB319)
- (at 175.8 60.9 270)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5CCA53EF)
- (attr smd)
- (fp_text reference R10 (at 0 1.43 270) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 3k3 (at 0 -1.43 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 21 SCL))
- (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EAFB2B9)
- (at 185 54.4)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5CC7B6DA)
- (attr smd)
- (fp_text reference R9 (at 0 1.43) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 0 (at 0 -1.43) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 18 "Net-(R9-Pad2)"))
- (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 15 CC2))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EAFB229)
- (at 178.575 57.25)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5CC7AF23)
- (attr smd)
- (fp_text reference R8 (at 0 1.43) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 0 (at 0 -1.43) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 17 "Net-(R8-Pad2)"))
- (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 16 CC1))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5CC7AA99)
- (at 199.7 57.5 270)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5CC7EDDA)
- (attr smd)
- (fp_text reference R7 (at 0 1.43 270) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 22k (at 0 -1.43 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 7 "Net-(R6-Pad2)"))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5CC7A92B)
- (at 197.95 57.5 90)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5CC7E81D)
- (attr smd)
- (fp_text reference R6 (at 0 1.43 270) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 100k (at 0 -1.43 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 7 "Net-(R6-Pad2)"))
- (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5CC7AA6F)
- (at 189.2 46 90)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5CC6B319)
- (attr smd)
- (fp_text reference R5 (at 0 1.43 270) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 3k3 (at 0 -1.43 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 28 "Net-(J7-Pad1)"))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EAFB259)
- (at 175.95 54.25 90)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5CC6E27F)
- (attr smd)
- (fp_text reference R2 (at 0 1.43 90) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value DNP (at 0 -1.43 90) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 90) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 16 CC1))
- (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EAFB1F9)
- (at 182.2 53.5 90)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path /5CC6DFF9)
- (attr smd)
- (fp_text reference R1 (at 0 1.43 90) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value DNP (at 0 -1.43 90) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 90) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 15 CC2))
- (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBE) (tstamp 5EAF5B4A)
- (at 204.2 69.5 180)
- (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "capacitor handsolder")
- (path /5EB62715)
- (attr smd)
- (fp_text reference C15 (at 0 1.43) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 10n (at 0 -1.43) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 45 PB4))
- (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBE) (tstamp 5EAF5B39)
- (at 204.2 67.5)
- (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "capacitor handsolder")
- (path /5EB631FD)
- (attr smd)
- (fp_text reference C14 (at 0 1.43) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value 10n (at 0 -1.43) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 44 PB5))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5EAF5B28)
- (at 148.7 67.5 180)
- (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "capacitor handsolder")
- (path /5EB636A4)
- (attr smd)
- (fp_text reference C13 (at 0 -1.43) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10n (at 0 1.43) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
- (net 31 PA9))
- (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5EAF5B17)
- (at 148.7 69.5)
- (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "capacitor handsolder")
- (path /5EB63940)
- (attr smd)
- (fp_text reference C12 (at 0 -1.43) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10n (at 0 1.43) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
- (net 53 PA8))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBE) (tstamp 5EAFB349)
- (at 187.6 54.5 90)
- (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "capacitor handsolder")
- (path /5CC9C524)
- (attr smd)
- (fp_text reference C10 (at 0 1.43 270) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value "100n 50V" (at 0 -1.43 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 26 VIN))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBE) (tstamp 5DBE6399)
- (at 174.5 73 270)
- (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "capacitor handsolder")
- (path /5CC9BB08)
- (attr smd)
- (fp_text reference C9 (at 0 1.43 270) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value "100n 50V" (at 0 -1.43 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBE) (tstamp 5EAFB379)
- (at 186.9 61.5 270)
- (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "capacitor handsolder")
- (path /5CC9B0EC)
- (attr smd)
- (fp_text reference C8 (at 0 1.43 270) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value "100n 50V" (at 0 -1.43 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBE) (tstamp 5EAFB3A9)
- (at 185.2 61.5 270)
- (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "capacitor handsolder")
- (path /5CC9A6D0)
- (attr smd)
- (fp_text reference C7 (at 0 1.43 270) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value "100n 50V" (at 0 -1.43 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBE) (tstamp 5CC77A7E)
- (at 188.1 69)
- (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "capacitor handsolder")
- (path /5CC98A03)
- (attr smd)
- (fp_text reference C6 (at 0 1.43 180) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value "100n 50V" (at 0 -1.43 180) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 180) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBE) (tstamp 5DBFB2DF)
- (at 200.7 46.25 180)
- (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "capacitor handsolder")
- (path /5CC7C738)
- (attr smd)
- (fp_text reference C5 (at 0 1.43 180) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value "100n 50V" (at 0 -1.43 180) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 180) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBE) (tstamp 5CC7A9A9)
- (at 204.22 53.38 90)
- (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "capacitor handsolder")
- (path /5CC7BFF7)
- (attr smd)
- (fp_text reference C3 (at 0 1.43 270) (layer B.SilkS)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value "100n 50V" (at 0 -1.43 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 2 "Net-(C3-Pad2)"))
- (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 3 "Net-(C3-Pad1)"))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5DB0E9FB) (tstamp 5DCBA123)
- (at 198.45 53.75 270)
- (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags capacitor)
- (path /5CC7B488)
- (attr smd)
- (fp_text reference C2 (at 1.62 0.36) (layer B.SilkS)
- (effects (font (size 0.4 0.4) (thickness 0.1)) (justify mirror))
- )
- (fp_text value "100n 50V" (at 0 -1.43 90) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer B.SilkS) (width 0.12))
- (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05))
- (fp_text user %R (at 0 0 90) (layer B.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
- )
- (pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 26 VIN))
- (pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module otter:PinHeader_1x04_P2.54mm_Horizontal (layer B.Cu) (tedit 5DB0E1FD) (tstamp 5DCB66E8)
- (at 214.2 71.5)
- (descr "Through hole angled pin header, 1x04, 2.54mm pitch, 6mm pin length, single row")
- (tags "Through hole angled pin header THT 1x04 2.54mm single row")
- (path /5CC91817)
- (fp_text reference J5 (at 4.385 2.27 180) (layer B.SilkS) hide
- (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
- )
- (fp_text value SWD (at 4.385 -9.89 180) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 2.77 -3.81 90) (layer B.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror))
- )
- (fp_line (start 10.55 1.8) (end -1.8 1.8) (layer B.CrtYd) (width 0.05))
- (fp_line (start 10.55 -9.4) (end 10.55 1.8) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.8 -9.4) (end 10.55 -9.4) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.8 1.8) (end -1.8 -9.4) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.042929 -8) (end 1.44 -8) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.042929 -7.24) (end 1.44 -7.24) (layer B.SilkS) (width 0.12))
- (fp_line (start 10.1 -8) (end 4.1 -8) (layer B.SilkS) (width 0.12))
- (fp_line (start 10.1 -7.24) (end 10.1 -8) (layer B.SilkS) (width 0.12))
- (fp_line (start 4.1 -7.24) (end 10.1 -7.24) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.44 -6.35) (end 4.1 -6.35) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.042929 -5.46) (end 1.44 -5.46) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.042929 -4.7) (end 1.44 -4.7) (layer B.SilkS) (width 0.12))
- (fp_line (start 10.1 -5.46) (end 4.1 -5.46) (layer B.SilkS) (width 0.12))
- (fp_line (start 10.1 -4.7) (end 10.1 -5.46) (layer B.SilkS) (width 0.12))
- (fp_line (start 4.1 -4.7) (end 10.1 -4.7) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.44 -3.81) (end 4.1 -3.81) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.042929 -2.92) (end 1.44 -2.92) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.042929 -2.16) (end 1.44 -2.16) (layer B.SilkS) (width 0.12))
- (fp_line (start 10.1 -2.92) (end 4.1 -2.92) (layer B.SilkS) (width 0.12))
- (fp_line (start 10.1 -2.16) (end 10.1 -2.92) (layer B.SilkS) (width 0.12))
- (fp_line (start 4.1 -2.16) (end 10.1 -2.16) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.44 -1.27) (end 4.1 -1.27) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.11 -0.38) (end 1.44 -0.38) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.11 0.38) (end 1.44 0.38) (layer B.SilkS) (width 0.12))
- (fp_line (start 4.1 -0.28) (end 10.1 -0.28) (layer B.SilkS) (width 0.12))
- (fp_line (start 4.1 -0.16) (end 10.1 -0.16) (layer B.SilkS) (width 0.12))
- (fp_line (start 4.1 -0.04) (end 10.1 -0.04) (layer B.SilkS) (width 0.12))
- (fp_line (start 4.1 0.08) (end 10.1 0.08) (layer B.SilkS) (width 0.12))
- (fp_line (start 4.1 0.2) (end 10.1 0.2) (layer B.SilkS) (width 0.12))
- (fp_line (start 4.1 0.32) (end 10.1 0.32) (layer B.SilkS) (width 0.12))
- (fp_line (start 10.1 -0.38) (end 4.1 -0.38) (layer B.SilkS) (width 0.12))
- (fp_line (start 10.1 0.38) (end 10.1 -0.38) (layer B.SilkS) (width 0.12))
- (fp_line (start 4.1 0.38) (end 10.1 0.38) (layer B.SilkS) (width 0.12))
- (fp_line (start 4.1 1.33) (end 1.44 1.33) (layer B.SilkS) (width 0.12))
- (fp_line (start 4.1 -8.95) (end 4.1 1.33) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.44 -8.95) (end 4.1 -8.95) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.44 1.33) (end 1.44 -8.95) (layer B.SilkS) (width 0.12))
- (fp_line (start 4.04 -7.94) (end 10.04 -7.94) (layer B.Fab) (width 0.1))
- (fp_line (start 10.04 -7.3) (end 10.04 -7.94) (layer B.Fab) (width 0.1))
- (fp_line (start 4.04 -7.3) (end 10.04 -7.3) (layer B.Fab) (width 0.1))
- (fp_line (start -0.32 -7.94) (end 1.5 -7.94) (layer B.Fab) (width 0.1))
- (fp_line (start -0.32 -7.3) (end -0.32 -7.94) (layer B.Fab) (width 0.1))
- (fp_line (start -0.32 -7.3) (end 1.5 -7.3) (layer B.Fab) (width 0.1))
- (fp_line (start 4.04 -5.4) (end 10.04 -5.4) (layer B.Fab) (width 0.1))
- (fp_line (start 10.04 -4.76) (end 10.04 -5.4) (layer B.Fab) (width 0.1))
- (fp_line (start 4.04 -4.76) (end 10.04 -4.76) (layer B.Fab) (width 0.1))
- (fp_line (start -0.32 -5.4) (end 1.5 -5.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.32 -4.76) (end -0.32 -5.4) (layer B.Fab) (width 0.1))
- (fp_line (start -0.32 -4.76) (end 1.5 -4.76) (layer B.Fab) (width 0.1))
- (fp_line (start 4.04 -2.86) (end 10.04 -2.86) (layer B.Fab) (width 0.1))
- (fp_line (start 10.04 -2.22) (end 10.04 -2.86) (layer B.Fab) (width 0.1))
- (fp_line (start 4.04 -2.22) (end 10.04 -2.22) (layer B.Fab) (width 0.1))
- (fp_line (start -0.32 -2.86) (end 1.5 -2.86) (layer B.Fab) (width 0.1))
- (fp_line (start -0.32 -2.22) (end -0.32 -2.86) (layer B.Fab) (width 0.1))
- (fp_line (start -0.32 -2.22) (end 1.5 -2.22) (layer B.Fab) (width 0.1))
- (fp_line (start 4.04 -0.32) (end 10.04 -0.32) (layer B.Fab) (width 0.1))
- (fp_line (start 10.04 0.32) (end 10.04 -0.32) (layer B.Fab) (width 0.1))
- (fp_line (start 4.04 0.32) (end 10.04 0.32) (layer B.Fab) (width 0.1))
- (fp_line (start -0.32 -0.32) (end 1.5 -0.32) (layer B.Fab) (width 0.1))
- (fp_line (start -0.32 0.32) (end -0.32 -0.32) (layer B.Fab) (width 0.1))
- (fp_line (start -0.32 0.32) (end 1.5 0.32) (layer B.Fab) (width 0.1))
- (fp_line (start 1.5 0.635) (end 2.135 1.27) (layer B.Fab) (width 0.1))
- (fp_line (start 1.5 -8.89) (end 1.5 0.635) (layer B.Fab) (width 0.1))
- (fp_line (start 4.04 -8.89) (end 1.5 -8.89) (layer B.Fab) (width 0.1))
- (fp_line (start 4.04 1.27) (end 4.04 -8.89) (layer B.Fab) (width 0.1))
- (fp_line (start 2.135 1.27) (end 4.04 1.27) (layer B.Fab) (width 0.1))
- (pad 4 thru_hole oval (at 0 -7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 1 GND))
- (pad 3 thru_hole oval (at 0 -5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 13 SWCLK))
- (pad 2 thru_hole oval (at 0 -2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 14 SWDIO))
- (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Horizontal.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module TestPoint:TestPoint_Pad_1.0x1.0mm (layer B.Cu) (tedit 5DB0E111) (tstamp 5DBE5A0F)
- (at 187.3 47.8 270)
- (descr "SMD rectangular pad as test Point, square 1.0mm side length")
- (tags "test point SMD pad rectangle square")
- (path /5DB0ECB3)
- (attr virtual)
- (fp_text reference J7 (at 0 1.448 270) (layer B.SilkS) hide
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value PAD (at 0 -1.55 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 1.45 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start -0.7 0.7) (end 0.7 0.7) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.7 0.7) (end 0.7 -0.7) (layer B.SilkS) (width 0.12))
- (fp_line (start 0.7 -0.7) (end -0.7 -0.7) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.7 -0.7) (end -0.7 0.7) (layer B.SilkS) (width 0.12))
- (fp_line (start -1 1) (end 1 1) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1 1) (end -1 -1) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1 -1) (end 1 1) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1 -1) (end -1 -1) (layer B.CrtYd) (width 0.05))
- (pad 1 smd rect (at 0 0 270) (size 1 1) (layers B.Cu B.Mask)
- (net 28 "Net-(J7-Pad1)"))
- )
-
- (module Inductor_SMD:L_1210_3225Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5DBA4AC2)
- (at 204.2 48.75 90)
- (descr "Inductor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags inductor)
- (path /5CC7CFF2)
- (attr smd)
- (fp_text reference L1 (at 0 0) (layer B.SilkS)
- (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
- )
- (fp_text value 4u7 (at 0 -2.28 90) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start -1.6 -1.25) (end -1.6 1.25) (layer B.Fab) (width 0.1))
- (fp_line (start -1.6 1.25) (end 1.6 1.25) (layer B.Fab) (width 0.1))
- (fp_line (start 1.6 1.25) (end 1.6 -1.25) (layer B.Fab) (width 0.1))
- (fp_line (start 1.6 -1.25) (end -1.6 -1.25) (layer B.Fab) (width 0.1))
- (fp_line (start -0.602064 1.36) (end 0.602064 1.36) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.602064 -1.36) (end 0.602064 -1.36) (layer B.SilkS) (width 0.12))
- (fp_line (start -2.28 -1.58) (end -2.28 1.58) (layer B.CrtYd) (width 0.05))
- (fp_line (start -2.28 1.58) (end 2.28 1.58) (layer B.CrtYd) (width 0.05))
- (fp_line (start 2.28 1.58) (end 2.28 -1.58) (layer B.CrtYd) (width 0.05))
- (fp_line (start 2.28 -1.58) (end -2.28 -1.58) (layer B.CrtYd) (width 0.05))
- (fp_text user %R (at 0 0 90) (layer B.Fab)
- (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror))
- )
- (pad 1 smd roundrect (at -1.4 0 90) (size 1.25 2.65) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.2)
- (net 2 "Net-(C3-Pad2)"))
- (pad 2 smd roundrect (at 1.4 0 90) (size 1.25 2.65) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.2)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Inductor_SMD.3dshapes/L_1210_3225Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0805_2012Metric (layer B.Cu) (tedit 5B36C52B) (tstamp 5DC7416A)
- (at 200.7 48.25 180)
- (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
- (tags capacitor)
- (path /5DAE2987)
- (attr smd)
- (fp_text reference C11 (at 1.36 -2.25 90) (layer B.SilkS)
- (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
- )
- (fp_text value "10u 25V" (at 0 -1.65) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start -1 -0.6) (end -1 0.6) (layer B.Fab) (width 0.1))
- (fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.1))
- (fp_line (start 1 0.6) (end 1 -0.6) (layer B.Fab) (width 0.1))
- (fp_line (start 1 -0.6) (end -1 -0.6) (layer B.Fab) (width 0.1))
- (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer B.SilkS) (width 0.12))
- (fp_line (start -1.68 -0.95) (end -1.68 0.95) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.68 0.95) (end 1.68 0.95) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.68 0.95) (end 1.68 -0.95) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.68 -0.95) (end -1.68 -0.95) (layer B.CrtYd) (width 0.05))
- (fp_text user %R (at 0 0) (layer B.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.08)) (justify mirror))
- )
- (pad 1 smd roundrect (at -0.9375 0 180) (size 0.975 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (pad 2 smd roundrect (at 0.9375 0 180) (size 0.975 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Button_Switch_SMD:SW_SPST_PTS810 (layer B.Cu) (tedit 5B0610A8) (tstamp 5CC7AC0F)
- (at 192.2 47.75 270)
- (descr "C&K Components, PTS 810 Series, Microminiature SMT Top Actuated, http://www.ckswitches.com/media/1476/pts810.pdf")
- (tags "SPST Button Switch")
- (path /5CC6C487)
- (attr smd)
- (fp_text reference SW1 (at -0.625 1.288 270) (layer B.SilkS)
- (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
- )
- (fp_text value DFU (at 0 -2.6 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_arc (start 0.4 0) (end 0.4 1.1) (angle -180) (layer B.Fab) (width 0.1))
- (fp_line (start 2.1 -1.6) (end 2.1 1.6) (layer B.Fab) (width 0.1))
- (fp_line (start 2.1 1.6) (end -2.1 1.6) (layer B.Fab) (width 0.1))
- (fp_line (start -2.1 1.6) (end -2.1 -1.6) (layer B.Fab) (width 0.1))
- (fp_line (start -2.1 -1.6) (end 2.1 -1.6) (layer B.Fab) (width 0.1))
- (fp_arc (start -0.4 0) (end -0.4 -1.1) (angle -180) (layer B.Fab) (width 0.1))
- (fp_line (start -0.4 1.1) (end 0.4 1.1) (layer B.Fab) (width 0.1))
- (fp_line (start 0.4 -1.1) (end -0.4 -1.1) (layer B.Fab) (width 0.1))
- (fp_line (start 2.2 1.7) (end -2.2 1.7) (layer B.SilkS) (width 0.12))
- (fp_line (start -2.2 1.7) (end -2.2 1.58) (layer B.SilkS) (width 0.12))
- (fp_line (start -2.2 0.57) (end -2.2 -0.57) (layer B.SilkS) (width 0.12))
- (fp_line (start -2.2 -1.58) (end -2.2 -1.7) (layer B.SilkS) (width 0.12))
- (fp_line (start -2.2 -1.7) (end 2.2 -1.7) (layer B.SilkS) (width 0.12))
- (fp_line (start 2.2 -1.7) (end 2.2 -1.58) (layer B.SilkS) (width 0.12))
- (fp_line (start 2.2 -0.57) (end 2.2 0.57) (layer B.SilkS) (width 0.12))
- (fp_line (start 2.2 1.58) (end 2.2 1.7) (layer B.SilkS) (width 0.12))
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror))
- )
- (fp_line (start 2.85 1.85) (end 2.85 -1.85) (layer B.CrtYd) (width 0.05))
- (fp_line (start 2.85 -1.85) (end -2.85 -1.85) (layer B.CrtYd) (width 0.05))
- (fp_line (start -2.85 -1.85) (end -2.85 1.85) (layer B.CrtYd) (width 0.05))
- (fp_line (start -2.85 1.85) (end 2.85 1.85) (layer B.CrtYd) (width 0.05))
- (pad 2 smd rect (at 2.075 -1.075 270) (size 1.05 0.65) (layers B.Cu B.Paste B.Mask)
- (net 4 +3V3))
- (pad 2 smd rect (at -2.075 -1.075 270) (size 1.05 0.65) (layers B.Cu B.Paste B.Mask)
- (net 4 +3V3))
- (pad 1 smd rect (at 2.075 1.075 270) (size 1.05 0.65) (layers B.Cu B.Paste B.Mask)
- (net 29 "Net-(R13-Pad1)"))
- (pad 1 smd rect (at -2.075 1.075 270) (size 1.05 0.65) (layers B.Cu B.Paste B.Mask)
- (net 29 "Net-(R13-Pad1)"))
- (model ${KISYS3DMOD}/Button_Switch_SMD.3dshapes/SW_SPST_PTS810.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Package_DFN_QFN:WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm (layer B.Cu) (tedit 5C6492E1) (tstamp 5EAFB4B9)
- (at 185.2 57.5 180)
- (descr "WQFN, 14 Pin (https://www.onsemi.com/pub/Collateral/FUSB302B-D.PDF#page=32), generated with kicad-footprint-generator ipc_noLead_generator.py")
- (tags "WQFN NoLead")
- (path /5CC75360)
- (attr smd)
- (fp_text reference U4 (at 1.72 -1.07 270) (layer B.SilkS)
- (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
- )
- (fp_text value FUSB302BMPX (at 0 -2.55 180) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 180) (layer B.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror))
- )
- (fp_line (start 1.85 1.85) (end -1.85 1.85) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.85 -1.85) (end 1.85 1.85) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.85 -1.85) (end 1.85 -1.85) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.85 1.85) (end -1.85 -1.85) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.25 0.625) (end -0.625 1.25) (layer B.Fab) (width 0.1))
- (fp_line (start -1.25 -1.25) (end -1.25 0.625) (layer B.Fab) (width 0.1))
- (fp_line (start 1.25 -1.25) (end -1.25 -1.25) (layer B.Fab) (width 0.1))
- (fp_line (start 1.25 1.25) (end 1.25 -1.25) (layer B.Fab) (width 0.1))
- (fp_line (start -0.625 1.25) (end 1.25 1.25) (layer B.Fab) (width 0.1))
- (fp_line (start -1.135 1.36) (end -1.36 1.36) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.36 -1.36) (end 1.36 -0.885) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.135 -1.36) (end 1.36 -1.36) (layer B.SilkS) (width 0.12))
- (fp_line (start -1.36 -1.36) (end -1.36 -0.885) (layer B.SilkS) (width 0.12))
- (fp_line (start -1.135 -1.36) (end -1.36 -1.36) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.36 1.36) (end 1.36 0.885) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.135 1.36) (end 1.36 1.36) (layer B.SilkS) (width 0.12))
- (pad 14 smd roundrect (at -0.75 1.2625 180) (size 0.25 0.675) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 18 "Net-(R9-Pad2)"))
- (pad 13 smd roundrect (at -0.25 1.2625 180) (size 0.25 0.675) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 22 "Net-(U4-Pad13)"))
- (pad 12 smd roundrect (at 0.25 1.2625 180) (size 0.25 0.675) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 23 "Net-(U4-Pad12)"))
- (pad 11 smd roundrect (at 0.75 1.2625 180) (size 0.25 0.675) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 17 "Net-(R8-Pad2)"))
- (pad 10 smd roundrect (at 1.2625 0.5 180) (size 0.675 0.25) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 17 "Net-(R8-Pad2)"))
- (pad 9 smd roundrect (at 1.2625 0 180) (size 0.675 0.25) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 8 smd roundrect (at 1.2625 -0.5 180) (size 0.675 0.25) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 7 smd roundrect (at 0.75 -1.2625 180) (size 0.25 0.675) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 20 SDA))
- (pad 6 smd roundrect (at 0.25 -1.2625 180) (size 0.25 0.675) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 21 SCL))
- (pad 5 smd roundrect (at -0.25 -1.2625 180) (size 0.25 0.675) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 19 INT_N))
- (pad 4 smd roundrect (at -0.75 -1.2625 180) (size 0.25 0.675) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (pad 3 smd roundrect (at -1.2625 -0.5 180) (size 0.675 0.25) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (pad 2 smd roundrect (at -1.2625 0 180) (size 0.675 0.25) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 26 VIN))
- (pad 1 smd roundrect (at -1.2625 0.5 180) (size 0.675 0.25) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 18 "Net-(R9-Pad2)"))
- (pad "" smd roundrect (at 0.36 -0.36 180) (size 0.58 0.58) (layers B.Paste) (roundrect_rratio 0.25))
- (pad "" smd roundrect (at 0.36 0.36 180) (size 0.58 0.58) (layers B.Paste) (roundrect_rratio 0.25))
- (pad "" smd roundrect (at -0.36 -0.36 180) (size 0.58 0.58) (layers B.Paste) (roundrect_rratio 0.25))
- (pad "" smd roundrect (at -0.36 0.36 180) (size 0.58 0.58) (layers B.Paste) (roundrect_rratio 0.25))
- (pad 15 smd roundrect (at 0 0 180) (size 1.45 1.45) (layers B.Cu B.Mask) (roundrect_rratio 0.172414)
- (net 1 GND))
- (model ${KISYS3DMOD}/Package_DFN_QFN.3dshapes/WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Package_TO_SOT_SMD:SOT-23-6 (layer B.Cu) (tedit 5A02FF57) (tstamp 5CC7AA37)
- (at 201.2 53.75 90)
- (descr "6-pin SOT-23 package")
- (tags SOT-23-6)
- (path /5DAC78AF)
- (attr smd)
- (fp_text reference U3 (at 2.05 0 180) (layer B.SilkS)
- (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
- )
- (fp_text value SY8201 (at 0 -2.9 90) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start 0.9 1.55) (end 0.9 -1.55) (layer B.Fab) (width 0.1))
- (fp_line (start 0.9 -1.55) (end -0.9 -1.55) (layer B.Fab) (width 0.1))
- (fp_line (start -0.9 0.9) (end -0.9 -1.55) (layer B.Fab) (width 0.1))
- (fp_line (start 0.9 1.55) (end -0.25 1.55) (layer B.Fab) (width 0.1))
- (fp_line (start -0.9 0.9) (end -0.25 1.55) (layer B.Fab) (width 0.1))
- (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer B.CrtYd) (width 0.05))
- (fp_line (start 0.9 1.61) (end -1.55 1.61) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.9 -1.61) (end 0.9 -1.61) (layer B.SilkS) (width 0.12))
- (fp_text user %R (at 0 0 180) (layer B.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror))
- )
- (pad 5 smd rect (at 1.1 0 90) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask)
- (net 26 VIN))
- (pad 6 smd rect (at 1.1 0.95 90) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask)
- (net 2 "Net-(C3-Pad2)"))
- (pad 4 smd rect (at 1.1 -0.95 90) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask)
- (net 27 "Net-(R12-Pad2)"))
- (pad 3 smd rect (at -1.1 -0.95 90) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask)
- (net 7 "Net-(R6-Pad2)"))
- (pad 2 smd rect (at -1.1 0 90) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask)
- (net 1 GND))
- (pad 1 smd rect (at -1.1 0.95 90) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask)
- (net 3 "Net-(C3-Pad1)"))
- (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Package_TO_SOT_SMD:SOT-23-6 (layer B.Cu) (tedit 5A02FF57) (tstamp 5EAFB468)
- (at 178.95 54.25 90)
- (descr "6-pin SOT-23 package")
- (tags SOT-23-6)
- (path /5CC734BE)
- (attr smd)
- (fp_text reference U1 (at -1.55 -1.725 270) (layer B.SilkS)
- (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
- )
- (fp_text value USBLC6-4 (at 0 -2.9 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start 0.9 1.55) (end 0.9 -1.55) (layer B.Fab) (width 0.1))
- (fp_line (start 0.9 -1.55) (end -0.9 -1.55) (layer B.Fab) (width 0.1))
- (fp_line (start -0.9 0.9) (end -0.9 -1.55) (layer B.Fab) (width 0.1))
- (fp_line (start 0.9 1.55) (end -0.25 1.55) (layer B.Fab) (width 0.1))
- (fp_line (start -0.9 0.9) (end -0.25 1.55) (layer B.Fab) (width 0.1))
- (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer B.CrtYd) (width 0.05))
- (fp_line (start 0.9 1.61) (end -1.55 1.61) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.9 -1.61) (end 0.9 -1.61) (layer B.SilkS) (width 0.12))
- (fp_text user %R (at 0 0) (layer B.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror))
- )
- (pad 5 smd rect (at 1.1 0 90) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask)
- (net 4 +3V3))
- (pad 6 smd rect (at 1.1 0.95 90) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask)
- (net 24 USB_P))
- (pad 4 smd rect (at 1.1 -0.95 90) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask)
- (net 25 USB_N))
- (pad 3 smd rect (at -1.1 -0.95 90) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask)
- (net 16 CC1))
- (pad 2 smd rect (at -1.1 0 90) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask)
- (net 1 GND))
- (pad 1 smd rect (at -1.1 0.95 90) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask)
- (net 15 CC2))
- (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "otter:USB-C 16Pin" (layer B.Cu) (tedit 5C7932A0) (tstamp 5EAFB41B)
- (at 180.2 50.5)
- (path /5CC6CE04)
- (fp_text reference J1 (at 0 -1.5) (layer B.SilkS) hide
- (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
- )
- (fp_text value USB-c (at 0 -8.5) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start 4 0.5) (end 4.5 0.5) (layer B.SilkS) (width 0.15))
- (fp_line (start -4.5 0.5) (end -4 0.5) (layer B.SilkS) (width 0.15))
- (fp_line (start -4.5 -2) (end -4.5 -4) (layer B.SilkS) (width 0.15))
- (fp_line (start 4.5 -4) (end 4.5 -2) (layer B.SilkS) (width 0.15))
- (fp_line (start 4.5 -6) (end 4.5 -7.695) (layer B.SilkS) (width 0.15))
- (fp_line (start -4.5 -7.695) (end -4.5 -6) (layer B.SilkS) (width 0.15))
- (fp_line (start 4.5 -7.695) (end -4.5 -7.695) (layer B.SilkS) (width 0.15))
- (pad S1 thru_hole oval (at -4.32 -0.915) (size 1 2.1) (drill oval 0.6 1.7) (layers *.Cu *.Mask)
- (net 1 GND))
- (pad S1 thru_hole oval (at 4.32 -0.915) (size 1 2.1) (drill oval 0.6 1.7) (layers *.Cu *.Mask)
- (net 1 GND))
- (pad S1 thru_hole oval (at -4.32 -5.095) (size 1 1.6) (drill oval 0.6 1.2) (layers *.Cu *.Mask)
- (net 1 GND))
- (pad S1 thru_hole oval (at 4.32 -5.095) (size 1 1.6) (drill oval 0.6 1.2) (layers *.Cu *.Mask)
- (net 1 GND))
- (pad "" np_thru_hole circle (at 2.89 -1.445) (size 0.65 0.65) (drill 0.65) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -2.89 -1.445) (size 0.65 0.65) (drill 0.65) (layers *.Cu *.Mask))
- (pad B1 smd rect (at 3.2 0) (size 0.6 1.45) (layers B.Cu B.Paste B.Mask)
- (net 1 GND))
- (pad B4 smd rect (at 2.4 0) (size 0.6 1.45) (layers B.Cu B.Paste B.Mask)
- (net 26 VIN))
- (pad B5 smd rect (at 1.75 0) (size 0.3 1.45) (layers B.Cu B.Paste B.Mask)
- (net 15 CC2))
- (pad A8 smd rect (at 1.25 0) (size 0.3 1.45) (layers B.Cu B.Paste B.Mask)
- (net 5 "Net-(J1-PadA8)"))
- (pad B6 smd rect (at 0.75 0) (size 0.3 1.45) (layers B.Cu B.Paste B.Mask)
- (net 24 USB_P))
- (pad A7 smd rect (at 0.25 0) (size 0.3 1.45) (layers B.Cu B.Paste B.Mask)
- (net 25 USB_N))
- (pad A6 smd rect (at -0.25 0) (size 0.3 1.45) (layers B.Cu B.Paste B.Mask)
- (net 24 USB_P))
- (pad B7 smd rect (at -0.75 0) (size 0.3 1.45) (layers B.Cu B.Paste B.Mask)
- (net 25 USB_N))
- (pad A5 smd rect (at -1.25 0) (size 0.3 1.45) (layers B.Cu B.Paste B.Mask)
- (net 16 CC1))
- (pad B8 smd rect (at -1.75 0) (size 0.3 1.45) (layers B.Cu B.Paste B.Mask)
- (net 6 "Net-(J1-PadB8)"))
- (pad A4 smd rect (at -2.4 0) (size 0.6 1.45) (layers B.Cu B.Paste B.Mask)
- (net 26 VIN))
- (pad A1 smd rect (at -3.2 0) (size 0.6 1.45) (layers B.Cu B.Paste B.Mask)
- (net 1 GND))
- )
-
- (module Capacitor_SMD:C_0805_2012Metric (layer B.Cu) (tedit 5B36C52B) (tstamp 5CC7AACB)
- (at 188.1 67.2)
- (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
- (tags capacitor)
- (path /5CC7C363)
- (attr smd)
- (fp_text reference C4 (at 1.37 -3.01 90) (layer B.SilkS)
- (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
- )
- (fp_text value "10u 25V" (at 0 -1.65) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0) (layer B.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror))
- )
- (fp_line (start 1.68 -0.95) (end -1.68 -0.95) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.68 0.95) (end 1.68 -0.95) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.68 0.95) (end 1.68 0.95) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.68 -0.95) (end -1.68 0.95) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer B.SilkS) (width 0.12))
- (fp_line (start 1 -0.6) (end -1 -0.6) (layer B.Fab) (width 0.1))
- (fp_line (start 1 0.6) (end 1 -0.6) (layer B.Fab) (width 0.1))
- (fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.1))
- (fp_line (start -1 -0.6) (end -1 0.6) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.9375 0) (size 0.975 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 1 smd roundrect (at -0.9375 0) (size 0.975 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 4 +3V3))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module Capacitor_SMD:C_0805_2012Metric (layer B.Cu) (tedit 5B36C52B) (tstamp 5CC7AC63)
- (at 196.45 53.75 270)
- (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
- (tags capacitor)
- (path /5CC7B876)
- (attr smd)
- (fp_text reference C1 (at 1.98 -0.01 90) (layer B.SilkS)
- (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
- )
- (fp_text value "10u 25V" (at 0 -1.65 270) (layer B.Fab)
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text user %R (at 0 0 270) (layer B.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror))
- )
- (fp_line (start 1.68 -0.95) (end -1.68 -0.95) (layer B.CrtYd) (width 0.05))
- (fp_line (start 1.68 0.95) (end 1.68 -0.95) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.68 0.95) (end 1.68 0.95) (layer B.CrtYd) (width 0.05))
- (fp_line (start -1.68 -0.95) (end -1.68 0.95) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer B.SilkS) (width 0.12))
- (fp_line (start 1 -0.6) (end -1 -0.6) (layer B.Fab) (width 0.1))
- (fp_line (start 1 0.6) (end 1 -0.6) (layer B.Fab) (width 0.1))
- (fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.1))
- (fp_line (start -1 -0.6) (end -1 0.6) (layer B.Fab) (width 0.1))
- (pad 2 smd roundrect (at 0.9375 0 270) (size 0.975 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 1 GND))
- (pad 1 smd roundrect (at -0.9375 0 270) (size 0.975 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
- (net 26 VIN))
- (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (dimension 5.5 (width 0.15) (layer Dwgs.User)
- (gr_text "5.500 mm" (at 231 46.25 90) (layer Dwgs.User) (tstamp 5EB08914)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (feature1 (pts (xy 219.7 43.5) (xy 230.286421 43.5)))
- (feature2 (pts (xy 219.7 49) (xy 230.286421 49)))
- (crossbar (pts (xy 229.7 49) (xy 229.7 43.5)))
- (arrow1a (pts (xy 229.7 43.5) (xy 230.286421 44.626504)))
- (arrow1b (pts (xy 229.7 43.5) (xy 229.113579 44.626504)))
- (arrow2a (pts (xy 229.7 49) (xy 230.286421 47.873496)))
- (arrow2b (pts (xy 229.7 49) (xy 229.113579 47.873496)))
- )
- (dimension 40 (width 0.15) (layer Dwgs.User)
- (gr_text "40.000 mm" (at 180.2 100.3) (layer Dwgs.User)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (feature1 (pts (xy 160.2 68.5) (xy 160.2 99.586421)))
- (feature2 (pts (xy 200.2 68.5) (xy 200.2 99.586421)))
- (crossbar (pts (xy 200.2 99) (xy 160.2 99)))
- (arrow1a (pts (xy 160.2 99) (xy 161.326504 98.413579)))
- (arrow1b (pts (xy 160.2 99) (xy 161.326504 99.586421)))
- (arrow2a (pts (xy 200.2 99) (xy 199.073496 98.413579)))
- (arrow2b (pts (xy 200.2 99) (xy 199.073496 99.586421)))
- )
- (dimension 90 (width 0.15) (layer Dwgs.User)
- (gr_text "90.000 mm" (at 180.2 36.2) (layer Dwgs.User)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (feature1 (pts (xy 135.2 48.5) (xy 135.2 36.913579)))
- (feature2 (pts (xy 225.2 48.5) (xy 225.2 36.913579)))
- (crossbar (pts (xy 225.2 37.5) (xy 135.2 37.5)))
- (arrow1a (pts (xy 135.2 37.5) (xy 136.326504 36.913579)))
- (arrow1b (pts (xy 135.2 37.5) (xy 136.326504 38.086421)))
- (arrow2a (pts (xy 225.2 37.5) (xy 224.073496 36.913579)))
- (arrow2b (pts (xy 225.2 37.5) (xy 224.073496 38.086421)))
- )
- (dimension 50 (width 0.15) (layer Dwgs.User)
- (gr_text "50.000 mm" (at 236.5 68.5 270) (layer Dwgs.User)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (feature1 (pts (xy 220.2 93.5) (xy 235.786421 93.5)))
- (feature2 (pts (xy 220.2 43.5) (xy 235.786421 43.5)))
- (crossbar (pts (xy 235.2 43.5) (xy 235.2 93.5)))
- (arrow1a (pts (xy 235.2 93.5) (xy 234.613579 92.373496)))
- (arrow1b (pts (xy 235.2 93.5) (xy 235.786421 92.373496)))
- (arrow2a (pts (xy 235.2 43.5) (xy 234.613579 44.626504)))
- (arrow2b (pts (xy 235.2 43.5) (xy 235.786421 44.626504)))
- )
- (gr_arc (start 140.2 48.5) (end 140.2 43.5) (angle -90) (layer Edge.Cuts) (width 0.05))
- (gr_arc (start 140.2 88.5) (end 135.2 88.5) (angle -90) (layer Edge.Cuts) (width 0.05))
- (gr_arc (start 220.2 88.5) (end 220.2 93.5) (angle -90) (layer Edge.Cuts) (width 0.05))
- (gr_arc (start 220.2 48.5) (end 225.2 48.5) (angle -90) (layer Edge.Cuts) (width 0.05))
- (gr_line (start 135.2 88.5) (end 135.2 48.5) (layer Edge.Cuts) (width 0.05))
- (gr_line (start 220.2 93.5) (end 140.2 93.5) (layer Edge.Cuts) (width 0.05))
- (gr_line (start 225.2 48.5) (end 225.2 88.5) (layer Edge.Cuts) (width 0.05))
- (gr_line (start 140.2 43.5) (end 220.2 43.5) (layer Edge.Cuts) (width 0.05))
-
- (segment (start 202.4 46.1) (end 202.35701 46.1) (width 0.2) (layer B.Cu) (net 0))
- (via (at 185.2 57.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 186.4 65.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 187.2 65.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 179.2 65.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 183.1 73.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 182.4 74.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 175.7 72.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 176.2 72.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 179.9 65) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 188.3 67.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 187.45 70.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 205 68.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 149.6 68.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 200.83 57.62) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 195 54.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 198.8 55.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 199.4 54.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 201.2 56.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 202 56.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 198.5 45.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 198.5 46.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 198.5 47.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 198.5 48) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 198.5 48.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 198.5 49.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 187.8 45.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 187.8 52.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 184.5 52.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 185.3 52.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 186.1 52.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (segment (start 184.5 51.6) (end 184.5 52.6) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 183.4 50.5) (end 184.5 51.6) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 177 50.925) (end 175.925 52) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 177 50.5) (end 177 50.925) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 175.925 52) (end 175.6 52) (width 0.6) (layer B.Cu) (net 1))
- (via (at 174.5 55.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 175.9 56.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 181.2 54.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 182.9 58) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 183.5 58.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 178.9 56.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 180.8 56.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 181.6 56.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 183.2 53.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (segment (start 183.9375 58) (end 182.9 58) (width 0.2) (layer B.Cu) (net 1))
- (segment (start 183.9375 58.4625) (end 183.5 58.9) (width 0.2) (layer B.Cu) (net 1))
- (segment (start 183.9375 58) (end 183.9375 58.4625) (width 0.2) (layer B.Cu) (net 1))
- (via (at 185.8 64.2) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 5EB100CF))
- (via (at 186.1 63.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 5EB100D1))
- (segment (start 184.602818 67.147658) (end 184.10287 67.647606) (width 0.2) (layer B.Cu) (net 1))
- (segment (start 184.734322 67.147658) (end 184.602818 67.147658) (width 0.2) (layer B.Cu) (net 1))
- (segment (start 184.10287 67.647606) (end 183.552394 67.647606) (width 0.2) (layer B.Cu) (net 1))
- (segment (start 183.552394 67.647606) (end 183.385 67.815) (width 0.2) (layer B.Cu) (net 1))
- (segment (start 183.1 68.1) (end 183.1 68.2) (width 0.2) (layer B.Cu) (net 1))
- (segment (start 188.9375 67.3) (end 189.0375 67.2) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 188.3 67.3) (end 188.9375 67.3) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 183.4 57.5) (end 182.9 58) (width 0.2) (layer B.Cu) (net 1))
- (segment (start 183.9375 57.5) (end 183.4 57.5) (width 0.2) (layer B.Cu) (net 1))
- (segment (start 183.9375 57.5) (end 185.2 57.5) (width 0.2) (layer B.Cu) (net 1))
- (segment (start 184.7 58) (end 185.2 57.5) (width 0.2) (layer B.Cu) (net 1))
- (segment (start 183.9375 58) (end 184.7 58) (width 0.2) (layer B.Cu) (net 1))
- (via (at 188.3 68.15) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 5EB19082))
- (segment (start 188.975 68.825) (end 188.3 68.15) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 188.975 69) (end 188.975 68.825) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 189.0375 67.4125) (end 188.3 68.15) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 189.0375 67.2) (end 189.0375 67.4125) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 188.3 67.3) (end 188.3 68.15) (width 0.6) (layer B.Cu) (net 1))
- (via (at 198.24 59.66) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (segment (start 199.7 61.6) (end 200 61.9) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 199.7 58.375) (end 199.7 61.6) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 198.24 59.66) (end 200.93 59.66) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 200.83 59.56) (end 200.83 57.62) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 200.93 59.66) (end 200.83 59.56) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 183.385 67.815) (end 183.1 68.1) (width 0.2) (layer B.Cu) (net 1) (tstamp 5EB1D81F))
- (via (at 183.385 67.815) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 182.75 67.26) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (segment (start 188.45 69) (end 188.412018 69.037982) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 188.412018 69.037982) (end 188.412018 69.680227) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 188.975 69) (end 188.45 69) (width 0.6) (layer B.Cu) (net 1))
- (segment (start 188.412018 69.680227) (end 188.112019 69.980226) (width 0.6) (layer B.Cu) (net 1))
- (via (at 188.112019 69.980226) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 166.14 60.78) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 175.62 64.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 177.25 67.28) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 187.72 72.68) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (via (at 202.16 70.8) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1))
- (segment (start 204.075 52.65) (end 204.22 52.505) (width 0.6) (layer B.Cu) (net 2))
- (segment (start 202.15 52.65) (end 204.075 52.65) (width 0.6) (layer B.Cu) (net 2))
- (segment (start 204.22 50.17) (end 204.2 50.15) (width 0.6) (layer B.Cu) (net 2))
- (segment (start 204.22 52.505) (end 204.22 50.17) (width 0.6) (layer B.Cu) (net 2))
- (segment (start 203.625 54.85) (end 204.22 54.255) (width 0.6) (layer B.Cu) (net 3))
- (segment (start 202.15 54.85) (end 203.625 54.85) (width 0.6) (layer B.Cu) (net 3))
- (via (at 178.9 54.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4))
- (segment (start 178.95 53.15) (end 178.95 54.05) (width 0.4) (layer B.Cu) (net 4))
- (segment (start 178.95 54.05) (end 178.9 54.1) (width 0.4) (layer B.Cu) (net 4))
- (via (at 186.5 58.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4))
- (segment (start 186.0125 58.7) (end 185.95 58.7625) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 186.5 58.7) (end 186.0125 58.7) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 186.5 58.0375) (end 186.4625 58) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 186.5 58.7) (end 186.5 58.0375) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 186.5 57.4) (end 186.5 58.7) (width 0.4) (layer F.Cu) (net 4))
- (segment (start 184.1 55) (end 186.5 57.4) (width 0.4) (layer F.Cu) (net 4))
- (segment (start 178.9 54.1) (end 179.8 55) (width 0.4) (layer F.Cu) (net 4))
- (segment (start 179.8 55) (end 184.1 55) (width 0.4) (layer F.Cu) (net 4))
- (segment (start 201.575 48.1875) (end 201.6375 48.25) (width 0.6) (layer B.Cu) (net 4))
- (segment (start 201.575 46.25) (end 201.575 48.1875) (width 0.6) (layer B.Cu) (net 4))
- (segment (start 201.575 47.275) (end 201.575 46.25) (width 0.6) (layer B.Cu) (net 4))
- (segment (start 204.2 47.35) (end 201.65 47.35) (width 0.6) (layer B.Cu) (net 4))
- (segment (start 201.65 47.35) (end 201.575 47.275) (width 0.6) (layer B.Cu) (net 4))
- (segment (start 193.275 49.1) (end 193.275 45.675) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 193.275 49.825) (end 193.275 49.1) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 200.75 44.95) (end 193.8 44.95) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 193.275 45.475) (end 193.275 45.675) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 193.8 44.95) (end 193.275 45.475) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 201.575 45.775) (end 200.75 44.95) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 201.575 46.25) (end 201.575 45.775) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 187.2 60.625) (end 185.2 60.625) (width 0.6) (layer B.Cu) (net 4))
- (segment (start 201.6375 48.25) (end 201.6375 48.2625) (width 0.6) (layer B.Cu) (net 4))
- (via (at 200.8 49.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4))
- (segment (start 201.6375 48.2625) (end 200.8 49.1) (width 0.6) (layer B.Cu) (net 4))
- (via (at 196.8 58.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4))
- (segment (start 197.925 58.4) (end 197.95 58.375) (width 0.6) (layer B.Cu) (net 4))
- (segment (start 196.8 58.4) (end 197.925 58.4) (width 0.6) (layer B.Cu) (net 4))
- (segment (start 179.7 63.113336) (end 179.201212 63.612124) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 179.7 62.5) (end 179.7 63.113336) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 180.1 72.489088) (end 179.201212 73.387876) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 181.198788 73.387876) (end 181.187876 73.387876) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 181.187876 73.387876) (end 180.289088 72.489088) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 180.289088 72.489088) (end 180.2 72.4) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 180.2 72.4) (end 180.1 72.489088) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 177.532234 69.4) (end 177.7 69.4) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 176.372785 70.559449) (end 177.532234 69.4) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 174.807234 72.125) (end 174.5 72.125) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 176.372785 70.559449) (end 174.807234 72.125) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 175.8 60.025) (end 177.5 60.025) (width 0.2) (layer B.Cu) (net 4))
- (via (at 180.1 62.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4))
- (segment (start 180.1 62.5) (end 179.7 62.5) (width 0.4) (layer B.Cu) (net 4))
- (segment (start 187.2 60.625) (end 187.675 60.625) (width 0.6) (layer B.Cu) (net 4))
- (segment (start 188.6 61.55) (end 188.6 61.8) (width 0.6) (layer B.Cu) (net 4))
- (via (at 188.6 61.8) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4))
- (segment (start 187.675 60.625) (end 188.6 61.55) (width 0.6) (layer B.Cu) (net 4))
- (segment (start 187.225 67.2625) (end 187.1625 67.2) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 187.225 69) (end 187.225 67.2625) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 144.125 67.5) (end 144.125 69.5) (width 0.8) (layer F.Cu) (net 4))
- (segment (start 199.325 69.975) (end 199.325 69.5) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 202.85 73.5) (end 199.325 69.975) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 207.900972 73.5) (end 202.85 73.5) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 214.2 71.5) (end 209.900972 71.5) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 209.900972 71.5) (end 207.900972 73.5) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 199.325 69.5) (end 199.325 67.5) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 177.5 60.025) (end 177.975 60.025) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 179.053687 61.103687) (end 179.053687 61.146309) (width 0.2) (layer B.Cu) (net 4))
- (via (at 179.053687 61.146309) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4))
- (segment (start 177.975 60.025) (end 179.053687 61.103687) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 183.4 62.5) (end 180.1 62.5) (width 0.4) (layer B.Cu) (net 4))
- (segment (start 185.2 60.625) (end 185.2 60.7) (width 0.4) (layer B.Cu) (net 4))
- (segment (start 185.2 60.7) (end 183.4 62.5) (width 0.4) (layer B.Cu) (net 4))
- (segment (start 180.2 71.9) (end 180.2 72.4) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 180.2 71.9) (end 177.7 69.4) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 185.901159 68.301159) (end 184.298841 68.301159) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 187.225 69) (end 186.6 69) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 186.6 69) (end 185.901159 68.301159) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 184.500061 68.301159) (end 184.298841 68.301159) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 185.087876 67.713344) (end 184.500061 68.301159) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 185.087876 67.501212) (end 185.087876 67.713344) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 180.7 71.9) (end 180.2 71.9) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 184.298841 68.301159) (end 180.7 71.9) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 197.05 58.8) (end 197.475 58.375) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 197.05 64.75) (end 197.05 58.8) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 199.325 67.5) (end 199.325 67.025) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 197.475 58.375) (end 197.95 58.375) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 199.325 67.025) (end 197.05 64.75) (width 0.8) (layer B.Cu) (net 4))
- (via (at 172.15 69.65) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4))
- (segment (start 144.125 69.975) (end 144.125 69.5) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 145 70.85) (end 144.125 69.975) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 145.9 70.85) (end 145 70.85) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 146.442991 72.197879) (end 146.44299 71.39299) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 147.042989 74.847879) (end 147.042989 72.797877) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 154.840868 75.764022) (end 147.959132 75.764022) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 161.55489 69.05) (end 154.840868 75.764022) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 171.55 69.05) (end 161.55489 69.05) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 172.15 69.65) (end 171.55 69.05) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 147.042989 72.797877) (end 146.442991 72.197879) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 146.44299 71.39299) (end 145.9 70.85) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 147.959132 75.764022) (end 147.042989 74.847879) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 202.757001 51.057001) (end 201.099999 49.399999) (width 0.6) (layer F.Cu) (net 4))
- (segment (start 197.14 58.74) (end 202.594002 58.74) (width 0.6) (layer F.Cu) (net 4))
- (segment (start 202.594002 58.74) (end 202.76 58.574002) (width 0.6) (layer F.Cu) (net 4))
- (segment (start 202.757001 57.663361) (end 202.757001 51.057001) (width 0.6) (layer F.Cu) (net 4))
- (segment (start 196.8 58.4) (end 197.14 58.74) (width 0.6) (layer F.Cu) (net 4))
- (segment (start 201.099999 49.399999) (end 200.8 49.1) (width 0.6) (layer F.Cu) (net 4))
- (segment (start 202.76 58.574002) (end 202.76 57.78) (width 0.6) (layer F.Cu) (net 4))
- (segment (start 202.76 57.78) (end 202.757001 57.663361) (width 0.6) (layer F.Cu) (net 4))
- (segment (start 180.1 62.5) (end 180.1 61.96) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 179.286309 61.146309) (end 179.053687 61.146309) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 180.1 61.96) (end 179.286309 61.146309) (width 0.2) (layer F.Cu) (net 4))
- (segment (start 186.5 58.7) (end 186.5 59.925) (width 0.4) (layer B.Cu) (net 4))
- (segment (start 186.5 59.925) (end 187.2 60.625) (width 0.4) (layer B.Cu) (net 4))
- (segment (start 188.212989 66.149511) (end 188.212989 62.130001) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 188.212989 62.130001) (end 188.54299 61.8) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 187.1625 67.2) (end 188.212989 66.149511) (width 0.8) (layer B.Cu) (net 4))
- (segment (start 174.025 71.545) (end 174.025 72.125) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 174.025 72.125) (end 174.5 72.125) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 172.15 69.65) (end 172.15 69.67) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 172.15 69.67) (end 174.025 71.545) (width 0.2) (layer B.Cu) (net 4))
- (segment (start 189.024264 61.8) (end 188.6 61.8) (width 0.6) (layer F.Cu) (net 4))
- (segment (start 191.98 61.8) (end 189.024264 61.8) (width 0.6) (layer F.Cu) (net 4))
- (segment (start 196.8 58.4) (end 195.38 58.4) (width 0.6) (layer F.Cu) (net 4))
- (segment (start 195.38 58.4) (end 191.98 61.8) (width 0.6) (layer F.Cu) (net 4))
- (segment (start 197.95 56.625) (end 199.7 56.625) (width 0.8) (layer B.Cu) (net 7))
- (segment (start 200.25 56.075) (end 199.7 56.625) (width 0.6) (layer B.Cu) (net 7))
- (segment (start 200.25 54.85) (end 200.25 56.075) (width 0.6) (layer B.Cu) (net 7))
- (segment (start 185.300008 69.498788) (end 186.660187 70.858967) (width 0.2) (layer B.Cu) (net 13))
- (segment (start 214.2 66.42) (end 211.45 69.17) (width 0.2) (layer F.Cu) (net 13))
- (segment (start 186.660187 70.858967) (end 188.300001 70.858967) (width 0.2) (layer B.Cu) (net 13))
- (segment (start 211.45 69.17) (end 211.45 70) (width 0.2) (layer F.Cu) (net 13))
- (segment (start 208.35 73.1) (end 206 73.1) (width 0.2) (layer F.Cu) (net 13))
- (segment (start 211.45 70) (end 208.35 73.1) (width 0.2) (layer F.Cu) (net 13))
- (segment (start 188.899999 70.150328) (end 188.899999 70.258969) (width 0.2) (layer F.Cu) (net 13))
- (segment (start 203.85 70.95) (end 203.85 69.45) (width 0.2) (layer F.Cu) (net 13))
- (segment (start 206 73.1) (end 203.85 70.95) (width 0.2) (layer F.Cu) (net 13))
- (segment (start 201.192999 68.292999) (end 190.757328 68.292999) (width 0.2) (layer F.Cu) (net 13))
- (segment (start 188.899999 70.258969) (end 188.6 70.558968) (width 0.2) (layer F.Cu) (net 13))
- (segment (start 202.417361 67.992999) (end 201.492999 67.992999) (width 0.2) (layer F.Cu) (net 13))
- (segment (start 190.757328 68.292999) (end 188.899999 70.150328) (width 0.2) (layer F.Cu) (net 13))
- (segment (start 201.492999 67.992999) (end 201.192999 68.292999) (width 0.2) (layer F.Cu) (net 13))
- (segment (start 203.85 69.45) (end 202.417361 67.992999) (width 0.2) (layer F.Cu) (net 13))
- (segment (start 188.300001 70.858967) (end 188.6 70.558968) (width 0.2) (layer B.Cu) (net 13))
- (via (at 188.6 70.558968) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 13))
- (segment (start 185.087876 69.498788) (end 185.300008 69.498788) (width 0.2) (layer B.Cu) (net 13))
- (segment (start 184.380769 66.794105) (end 186.624874 64.55) (width 0.2) (layer B.Cu) (net 14))
- (segment (start 186.624874 64.55) (end 186.925736 64.55) (width 0.2) (layer B.Cu) (net 14))
- (via (at 187.35 64.55) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 14))
- (segment (start 186.925736 64.55) (end 187.35 64.55) (width 0.2) (layer B.Cu) (net 14))
- (via (at 202.05 64.75) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 14))
- (segment (start 202.55 64.75) (end 202.05 64.75) (width 0.2) (layer B.Cu) (net 14))
- (segment (start 202.75 64.55) (end 202.55 64.75) (width 0.2) (layer B.Cu) (net 14))
- (segment (start 206.3 64.55) (end 202.75 64.55) (width 0.2) (layer B.Cu) (net 14))
- (segment (start 210.94 65.7) (end 210.05 65.7) (width 0.2) (layer B.Cu) (net 14))
- (segment (start 214.2 68.96) (end 210.94 65.7) (width 0.2) (layer B.Cu) (net 14))
- (segment (start 210.05 65.7) (end 208.65 64.3) (width 0.2) (layer B.Cu) (net 14))
- (segment (start 206.55 64.3) (end 206.3 64.55) (width 0.2) (layer B.Cu) (net 14))
- (segment (start 208.65 64.3) (end 206.55 64.3) (width 0.2) (layer B.Cu) (net 14))
- (segment (start 197.93 65.44) (end 201.36 65.44) (width 0.2) (layer F.Cu) (net 14))
- (segment (start 197.032999 64.542999) (end 197.93 65.44) (width 0.2) (layer F.Cu) (net 14))
- (segment (start 195.542999 64.542999) (end 197.032999 64.542999) (width 0.2) (layer F.Cu) (net 14))
- (segment (start 194.65 63.65) (end 195.542999 64.542999) (width 0.2) (layer F.Cu) (net 14))
- (segment (start 192.5 63.65) (end 194.65 63.65) (width 0.2) (layer F.Cu) (net 14))
- (segment (start 201.36 65.44) (end 202.05 64.75) (width 0.2) (layer F.Cu) (net 14))
- (segment (start 189.082639 65.632639) (end 190.517361 65.632639) (width 0.2) (layer F.Cu) (net 14))
- (segment (start 188 64.55) (end 189.082639 65.632639) (width 0.2) (layer F.Cu) (net 14))
- (segment (start 187.35 64.55) (end 188 64.55) (width 0.2) (layer F.Cu) (net 14))
- (segment (start 190.517361 65.632639) (end 192.5 63.65) (width 0.2) (layer F.Cu) (net 14))
- (segment (start 181.95 52.375) (end 182.2 52.625) (width 0.2) (layer B.Cu) (net 15))
- (segment (start 181.95 50.5) (end 181.95 52.375) (width 0.2) (layer B.Cu) (net 15))
- (segment (start 179.9 54.8) (end 179.9 55.35) (width 0.2) (layer B.Cu) (net 15))
- (segment (start 182.2 52.625) (end 182.075 52.625) (width 0.2) (layer B.Cu) (net 15))
- (segment (start 182.075 52.625) (end 179.9 54.8) (width 0.2) (layer B.Cu) (net 15))
- (segment (start 180.425 55.35) (end 179.9 55.35) (width 0.2) (layer B.Cu) (net 15))
- (segment (start 184.125 54.875) (end 183.65 55.35) (width 0.2) (layer B.Cu) (net 15))
- (segment (start 183.65 55.35) (end 180.425 55.35) (width 0.2) (layer B.Cu) (net 15))
- (segment (start 184.125 54.4) (end 184.125 54.875) (width 0.2) (layer B.Cu) (net 15))
- (segment (start 178 56.95) (end 177.7 57.25) (width 0.2) (layer B.Cu) (net 16))
- (segment (start 178 55.35) (end 178 56.95) (width 0.2) (layer B.Cu) (net 16))
- (segment (start 178.075 52.3) (end 176.5 52.3) (width 0.2) (layer B.Cu) (net 16))
- (segment (start 175.95 52.85) (end 175.95 53.375) (width 0.2) (layer B.Cu) (net 16))
- (segment (start 176.5 52.3) (end 175.95 52.85) (width 0.2) (layer B.Cu) (net 16))
- (segment (start 178.95 50.5) (end 178.95 51.425) (width 0.2) (layer B.Cu) (net 16))
- (segment (start 178.95 51.425) (end 178.075 52.3) (width 0.2) (layer B.Cu) (net 16))
- (segment (start 175.95 53.55) (end 175.95 53.375) (width 0.2) (layer B.Cu) (net 16))
- (segment (start 178 55.35) (end 177.75 55.35) (width 0.2) (layer B.Cu) (net 16))
- (segment (start 177.75 55.35) (end 175.95 53.55) (width 0.2) (layer B.Cu) (net 16))
- (segment (start 182.79299 57.40701) (end 183.2 57) (width 0.2) (layer B.Cu) (net 17))
- (segment (start 180.13201 57.40701) (end 182.79299 57.40701) (width 0.2) (layer B.Cu) (net 17))
- (segment (start 183.2 57) (end 183.9375 57) (width 0.2) (layer B.Cu) (net 17))
- (segment (start 179.45 57.25) (end 179.975 57.25) (width 0.2) (layer B.Cu) (net 17))
- (segment (start 179.975 57.25) (end 180.13201 57.40701) (width 0.2) (layer B.Cu) (net 17))
- (segment (start 184.45 56.4875) (end 183.9375 57) (width 0.2) (layer B.Cu) (net 17))
- (segment (start 184.45 56.2375) (end 184.45 56.4875) (width 0.2) (layer B.Cu) (net 17))
- (segment (start 185.95 56.4875) (end 186.4625 57) (width 0.2) (layer B.Cu) (net 18))
- (segment (start 185.95 56.2375) (end 185.95 56.4875) (width 0.2) (layer B.Cu) (net 18))
- (segment (start 186 56.1875) (end 185.95 56.2375) (width 0.2) (layer B.Cu) (net 18))
- (segment (start 185.875 54.4) (end 186 54.525) (width 0.2) (layer B.Cu) (net 18))
- (segment (start 186 54.525) (end 186 56.1875) (width 0.2) (layer B.Cu) (net 18))
- (via (at 183.1 61.8) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 19))
- (segment (start 183.1 61.500656) (end 183.1 61.8) (width 0.2) (layer B.Cu) (net 19))
- (segment (start 185.45 58.7625) (end 185.45 59.150656) (width 0.2) (layer B.Cu) (net 19))
- (segment (start 185.45 59.150656) (end 183.1 61.500656) (width 0.2) (layer B.Cu) (net 19))
- (via (at 183.2 63.157) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 19))
- (segment (start 183.1 61.8) (end 183.1 63.057) (width 0.2) (layer F.Cu) (net 19))
- (segment (start 183.1 63.057) (end 183.2 63.157) (width 0.2) (layer F.Cu) (net 19))
- (segment (start 181.8 63) (end 181.198788 63.601212) (width 0.2) (layer B.Cu) (net 19))
- (segment (start 181.198788 63.601212) (end 181.198788 63.612124) (width 0.2) (layer B.Cu) (net 19))
- (segment (start 182.618736 63) (end 181.8 63) (width 0.2) (layer B.Cu) (net 19))
- (segment (start 183.2 63.157) (end 182.775736 63.157) (width 0.2) (layer B.Cu) (net 19))
- (segment (start 182.775736 63.157) (end 182.618736 63) (width 0.2) (layer B.Cu) (net 19))
- (segment (start 177.5 63.325126) (end 177.5 61.775) (width 0.2) (layer B.Cu) (net 20))
- (segment (start 178.494105 64.319231) (end 177.5 63.325126) (width 0.2) (layer B.Cu) (net 20))
- (segment (start 177.525 61.775) (end 177.5 61.775) (width 0.2) (layer B.Cu) (net 20))
- (segment (start 177.59669 61.70331) (end 177.525 61.775) (width 0.2) (layer B.Cu) (net 20))
- (segment (start 179.444124 61.580234) (end 179.324358 61.7) (width 0.2) (layer B.Cu) (net 20))
- (segment (start 184.5 59.045112) (end 181.964878 61.580234) (width 0.2) (layer B.Cu) (net 20))
- (segment (start 179.3 61.7) (end 179.321048 61.70331) (width 0.2) (layer B.Cu) (net 20))
- (segment (start 181.964878 61.580234) (end 179.444124 61.580234) (width 0.2) (layer B.Cu) (net 20))
- (segment (start 179.321048 61.70331) (end 177.59669 61.70331) (width 0.2) (layer B.Cu) (net 20))
- (segment (start 184.45 58.7625) (end 184.5 58.8125) (width 0.2) (layer B.Cu) (net 20))
- (segment (start 179.324358 61.7) (end 179.3 61.7) (width 0.2) (layer B.Cu) (net 20))
- (segment (start 184.5 58.8125) (end 184.5 59.045112) (width 0.2) (layer B.Cu) (net 20))
- (segment (start 175.8 62.332234) (end 175.8 61.775) (width 0.2) (layer B.Cu) (net 21))
- (segment (start 178.140551 64.672785) (end 175.8 62.332234) (width 0.2) (layer B.Cu) (net 21))
- (segment (start 175.8 61.775) (end 175.8 62.3) (width 0.2) (layer B.Cu) (net 21))
- (segment (start 176.14299 62.64299) (end 176.275736 62.64299) (width 0.2) (layer B.Cu) (net 21))
- (via (at 176.7 62.64299) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 21))
- (segment (start 175.8 62.3) (end 176.14299 62.64299) (width 0.2) (layer B.Cu) (net 21))
- (segment (start 176.275736 62.64299) (end 176.7 62.64299) (width 0.2) (layer B.Cu) (net 21))
- (segment (start 179.592003 61.937244) (end 179.268927 62.26032) (width 0.2) (layer B.Cu) (net 21))
- (segment (start 182.112756 61.937244) (end 179.592003 61.937244) (width 0.2) (layer B.Cu) (net 21))
- (segment (start 179.268927 62.26032) (end 179.125295 62.26032) (width 0.2) (layer B.Cu) (net 21))
- (segment (start 176.7 62.64299) (end 178.318361 62.64299) (width 0.2) (layer F.Cu) (net 21))
- (segment (start 184.95 58.7625) (end 184.95 59.1) (width 0.2) (layer B.Cu) (net 21))
- (segment (start 178.318361 62.64299) (end 178.701031 62.26032) (width 0.2) (layer F.Cu) (net 21))
- (segment (start 184.95 59.1) (end 182.112756 61.937244) (width 0.2) (layer B.Cu) (net 21))
- (via (at 178.701031 62.26032) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 21))
- (segment (start 179.125295 62.26032) (end 178.701031 62.26032) (width 0.2) (layer B.Cu) (net 21))
- (segment (start 179.95 53.1) (end 179.9 53.15) (width 0.2) (layer B.Cu) (net 24))
- (segment (start 179.95 50.5) (end 179.95 53.1) (width 0.2) (layer B.Cu) (net 24))
- (segment (start 179.9 51.9) (end 179.9 53.15) (width 0.2) (layer B.Cu) (net 24))
- (segment (start 180.875 51.5) (end 180.3 51.5) (width 0.2) (layer B.Cu) (net 24))
- (segment (start 180.95 50.5) (end 180.95 51.425) (width 0.2) (layer B.Cu) (net 24))
- (segment (start 180.3 51.5) (end 179.9 51.9) (width 0.2) (layer B.Cu) (net 24))
- (segment (start 180.95 51.425) (end 180.875 51.5) (width 0.2) (layer B.Cu) (net 24))
- (via (at 180.7 53) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 24))
- (segment (start 179.9 53.15) (end 180.55 53.15) (width 0.2) (layer B.Cu) (net 24))
- (segment (start 180.55 53.15) (end 180.7 53) (width 0.2) (layer B.Cu) (net 24))
- (via (at 184.2 63) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 24))
- (segment (start 184.424364 63) (end 184.2 63) (width 0.2) (layer B.Cu) (net 24))
- (segment (start 185.157002 63.732638) (end 184.424364 63) (width 0.2) (layer B.Cu) (net 24))
- (segment (start 185.157002 65.310764) (end 185.157002 63.732638) (width 0.2) (layer B.Cu) (net 24))
- (segment (start 184.027215 66.440551) (end 185.157002 65.310764) (width 0.2) (layer B.Cu) (net 24))
- (segment (start 178.342999 56.132639) (end 178.342999 56.667361) (width 0.2) (layer F.Cu) (net 24))
- (segment (start 178.347011 54.442122) (end 178.347011 56.128627) (width 0.2) (layer F.Cu) (net 24))
- (segment (start 182.985988 63.785988) (end 183.414012 63.785988) (width 0.2) (layer F.Cu) (net 24))
- (segment (start 178.342999 56.667361) (end 178.347011 56.671373) (width 0.2) (layer F.Cu) (net 24))
- (segment (start 178.342999 53.832639) (end 178.342999 54.43811) (width 0.2) (layer F.Cu) (net 24))
- (segment (start 183.900001 63.299999) (end 184.2 63) (width 0.2) (layer F.Cu) (net 24))
- (segment (start 178.347011 56.671373) (end 178.347011 59.147011) (width 0.2) (layer F.Cu) (net 24))
- (segment (start 178.347011 56.128627) (end 178.342999 56.132639) (width 0.2) (layer F.Cu) (net 24))
- (segment (start 183.414012 63.785988) (end 183.900001 63.299999) (width 0.2) (layer F.Cu) (net 24))
- (segment (start 178.342999 54.43811) (end 178.347011 54.442122) (width 0.2) (layer F.Cu) (net 24))
- (segment (start 178.347011 59.147011) (end 182.985988 63.785988) (width 0.2) (layer F.Cu) (net 24))
- (segment (start 179.175638 53) (end 178.342999 53.832639) (width 0.2) (layer F.Cu) (net 24))
- (segment (start 180.7 53) (end 179.175638 53) (width 0.2) (layer F.Cu) (net 24))
- (segment (start 179.507001 49.517999) (end 179.45 49.575) (width 0.2) (layer B.Cu) (net 25))
- (segment (start 180.305601 49.517999) (end 179.507001 49.517999) (width 0.2) (layer B.Cu) (net 25))
- (segment (start 180.45 49.662398) (end 180.305601 49.517999) (width 0.2) (layer B.Cu) (net 25))
- (segment (start 179.45 49.575) (end 179.45 50.5) (width 0.2) (layer B.Cu) (net 25))
- (segment (start 180.45 50.5) (end 180.45 49.662398) (width 0.2) (layer B.Cu) (net 25))
- (segment (start 179.45 51.429889) (end 178 52.879889) (width 0.2) (layer B.Cu) (net 25))
- (segment (start 178 52.879889) (end 178 53.15) (width 0.2) (layer B.Cu) (net 25))
- (segment (start 179.45 50.5) (end 179.45 51.429889) (width 0.2) (layer B.Cu) (net 25))
- (via (at 177.7 54.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 25))
- (segment (start 178 53.15) (end 178 54) (width 0.2) (layer B.Cu) (net 25))
- (segment (start 178 54) (end 177.7 54.3) (width 0.2) (layer B.Cu) (net 25))
- (segment (start 183.673662 66.086998) (end 184.399999 65.360661) (width 0.2) (layer B.Cu) (net 25))
- (segment (start 184.399999 64.299999) (end 184.1 64) (width 0.2) (layer B.Cu) (net 25))
- (segment (start 184.399999 65.360661) (end 184.399999 64.299999) (width 0.2) (layer B.Cu) (net 25))
- (via (at 184.1 64) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 25))
- (segment (start 183.800001 64.299999) (end 184.1 64) (width 0.2) (layer F.Cu) (net 25))
- (segment (start 182.99511 64.299999) (end 183.800001 64.299999) (width 0.2) (layer F.Cu) (net 25))
- (segment (start 177.99 59.294889) (end 182.99511 64.299999) (width 0.2) (layer F.Cu) (net 25))
- (segment (start 177.99 56.819251) (end 177.99 59.294889) (width 0.2) (layer F.Cu) (net 25))
- (segment (start 177.985988 56.815239) (end 177.99 56.819251) (width 0.2) (layer F.Cu) (net 25))
- (segment (start 177.985988 55.984761) (end 177.985988 56.815239) (width 0.2) (layer F.Cu) (net 25))
- (segment (start 177.99 54.59) (end 177.99 55.980749) (width 0.2) (layer F.Cu) (net 25))
- (segment (start 177.7 54.3) (end 177.99 54.59) (width 0.2) (layer F.Cu) (net 25))
- (segment (start 177.99 55.980749) (end 177.985988 55.984761) (width 0.2) (layer F.Cu) (net 25))
- (segment (start 182.6 50.5) (end 182.7 50.5) (width 0.4) (layer B.Cu) (net 26))
- (via (at 183 51.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 26))
- (segment (start 182.752398 51.7) (end 183 51.7) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 182.6 50.5) (end 182.6 51.547602) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 182.6 51.547602) (end 182.752398 51.7) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 183 51.7) (end 177.7 51.7) (width 0.6) (layer F.Cu) (net 26))
- (via (at 177.7 51.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 26))
- (segment (start 177.8 51.6) (end 177.7 51.7) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 177.8 50.5) (end 177.8 51.6) (width 0.6) (layer B.Cu) (net 26))
- (via (at 187 56) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 26))
- (segment (start 186.4 51.7) (end 183 51.7) (width 0.4) (layer F.Cu) (net 26))
- (segment (start 187 56) (end 187 52.3) (width 0.4) (layer F.Cu) (net 26))
- (segment (start 187 52.3) (end 186.4 51.7) (width 0.4) (layer F.Cu) (net 26))
- (segment (start 201.2 50.625) (end 201.2 52.65) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 201.575 50.25) (end 201.2 50.625) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 201.2 53.5) (end 201.2 52.65) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 198.45 52.9625) (end 198.6625 52.9625) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 201 53.7) (end 201.2 53.5) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 199.4 53.7) (end 201 53.7) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 198.6625 52.9625) (end 199.4 53.7) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 198.3 52.8125) (end 198.45 52.9625) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 196.45 52.8125) (end 198.3 52.8125) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 187.1 52.2) (end 187 52.3) (width 0.6) (layer F.Cu) (net 26))
- (segment (start 187.1 52) (end 187.1 52.2) (width 0.6) (layer F.Cu) (net 26))
- (segment (start 186.8 51.7) (end 187.1 52) (width 0.6) (layer F.Cu) (net 26))
- (segment (start 183 51.7) (end 186.8 51.7) (width 0.6) (layer F.Cu) (net 26))
- (segment (start 187.6 55.4) (end 187 56) (width 0.4) (layer B.Cu) (net 26))
- (segment (start 194.499999 51.999999) (end 194.2 51.7) (width 0.6) (layer B.Cu) (net 26))
- (via (at 194.2 51.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 26))
- (segment (start 196.45 52.8125) (end 195.6375 52) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 195.6375 52) (end 194.499999 51.999999) (width 0.6) (layer B.Cu) (net 26))
- (segment (start 186.8 57.5) (end 186.4625 57.5) (width 0.2) (layer B.Cu) (net 26))
- (segment (start 186.987836 57.5) (end 186.8 57.5) (width 0.2) (layer B.Cu) (net 26))
- (segment (start 187.26 57.227836) (end 186.987836 57.5) (width 0.2) (layer B.Cu) (net 26))
- (segment (start 187.6 55.4) (end 187.26 55.74) (width 0.2) (layer B.Cu) (net 26))
- (segment (start 187.26 55.74) (end 187.26 57.227836) (width 0.2) (layer B.Cu) (net 26))
- (segment (start 193.775736 51.7) (end 194.2 51.7) (width 0.8) (layer F.Cu) (net 26))
- (segment (start 193.275736 51.2) (end 193.775736 51.7) (width 0.8) (layer F.Cu) (net 26))
- (segment (start 187.1 52) (end 187.9 51.2) (width 0.8) (layer F.Cu) (net 26))
- (segment (start 187.9 51.2) (end 193.275736 51.2) (width 0.8) (layer F.Cu) (net 26))
- (segment (start 200.25 50.675) (end 199.825 50.25) (width 0.6) (layer B.Cu) (net 27))
- (segment (start 200.25 52.65) (end 200.25 50.675) (width 0.6) (layer B.Cu) (net 27))
- (segment (start 189.2 47.4) (end 189.2 46.875) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 188.8 47.8) (end 189.2 47.4) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 187.3 47.8) (end 188.8 47.8) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 188.8 47.825) (end 188.8 47.8) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 189.2 48.225) (end 188.8 47.825) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 189.2 48.75) (end 189.2 48.225) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 178.847658 73.052342) (end 178.1 73.8) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 178.1 73.8) (end 178.1 74.1) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 178.847658 73.034322) (end 178.847658 73.052342) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 178.1 74.1) (end 179.2 75.2) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 179.2 75.2) (end 186 75.2) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 186 75.2) (end 186.0058 75.2) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 188.725 48.75) (end 189.2 48.75) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 188.87 64.67) (end 188.87 62.82) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 190.15701 65.95701) (end 188.87 64.67) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 190.2 71.05) (end 190.15701 71.04879) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 190.15701 71.04879) (end 190.15701 65.95701) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 188.87 62.82) (end 189.2 62.49) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 189.2 62.49) (end 189.2 61.23) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 187.51 59.54) (end 187.51 57.5) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 187.51 57.5) (end 188.46799 56.54201) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 189.2 61.23) (end 187.51 59.54) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 188.46799 49.00701) (end 188.725 48.75) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 188.46799 56.54201) (end 188.46799 49.00701) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 183.217474 72.578134) (end 184.20331 72.578134) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 184.460684 72.835508) (end 184.969077 72.835508) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 182.613002 71.973662) (end 183.217474 72.578134) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 184.20331 72.578134) (end 184.460684 72.835508) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 184.969077 72.835508) (end 185.133569 73) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 187.11 73) (end 185.133569 73) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 187.666652 73.556652) (end 187.11 73) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 187.666652 73.556652) (end 190.2 71.05) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 186.0058 75.2) (end 187.666652 73.556652) (width 0.2) (layer B.Cu) (net 28))
- (segment (start 191.125 45.675) (end 191.125 49.825) (width 0.2) (layer B.Cu) (net 29))
- (segment (start 189.2 49.975) (end 189.2 50.5) (width 0.2) (layer B.Cu) (net 29))
- (segment (start 189.35 49.825) (end 189.2 49.975) (width 0.2) (layer B.Cu) (net 29))
- (segment (start 191.125 49.825) (end 189.35 49.825) (width 0.2) (layer B.Cu) (net 29))
- (segment (start 145.875 67.5) (end 147.825 67.5) (width 0.8) (layer F.Cu) (net 31))
- (segment (start 147.825 65.5) (end 147.825 67.5) (width 0.8) (layer F.Cu) (net 31))
- (segment (start 179.846446 68.5) (end 178.724264 68.5) (width 0.2) (layer B.Cu) (net 31))
- (via (at 178.3 68.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 31))
- (segment (start 182.966555 65.379891) (end 179.846446 68.5) (width 0.2) (layer B.Cu) (net 31))
- (segment (start 178.724264 68.5) (end 178.3 68.5) (width 0.2) (layer B.Cu) (net 31))
- (segment (start 145.35 67.5) (end 145.875 67.5) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 145 67.85) (end 145.35 67.5) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 145.05 70.2) (end 145 67.85) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 145.25 70.4) (end 145.05 70.2) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 146.05 70.4) (end 145.25 70.4) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 146.8 72.05) (end 146.8 71.15) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 177.132639 69.064011) (end 176.868627 68.799999) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 154.69299 75.407011) (end 148.10701 75.407011) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 178.3 68.5) (end 177.735989 69.064011) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 171.804888 68.799999) (end 171.697878 68.692989) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 161.407012 68.692989) (end 154.69299 75.407011) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 176.868627 68.799999) (end 171.804888 68.799999) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 148.10701 75.407011) (end 147.4 74.700001) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 171.697878 68.692989) (end 161.407012 68.692989) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 147.4 74.700001) (end 147.4 72.649999) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 146.8 71.15) (end 146.05 70.4) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 177.735989 69.064011) (end 177.132639 69.064011) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 147.4 72.649999) (end 146.8 72.05) (width 0.2) (layer F.Cu) (net 31))
- (segment (start 167.2 81.85) (end 167.66 82.31) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 167.2 71) (end 167.2 81.85) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 170.8 69.562602) (end 169.362602 71) (width 0.2) (layer B.Cu) (net 34))
- (segment (start 170.8 67.987398) (end 170.8 69.562602) (width 0.2) (layer B.Cu) (net 34))
- (segment (start 171.137398 67.65) (end 170.8 67.987398) (width 0.2) (layer B.Cu) (net 34))
- (segment (start 172.262602 67.65) (end 171.137398 67.65) (width 0.2) (layer B.Cu) (net 34))
- (segment (start 175.165731 70.352289) (end 173.902289 70.352289) (width 0.2) (layer B.Cu) (net 34))
- (segment (start 169.362602 71) (end 168.897056 71) (width 0.2) (layer B.Cu) (net 34))
- (segment (start 175.665678 69.852342) (end 175.165731 70.352289) (width 0.2) (layer B.Cu) (net 34))
- (segment (start 173.10701 69.55701) (end 173.10701 68.494408) (width 0.2) (layer B.Cu) (net 34))
- (segment (start 168.897056 71) (end 167.2 71) (width 0.2) (layer B.Cu) (net 34))
- (segment (start 173.902289 70.352289) (end 173.10701 69.55701) (width 0.2) (layer B.Cu) (net 34))
- (segment (start 173.10701 68.494408) (end 172.262602 67.65) (width 0.2) (layer B.Cu) (net 34))
- (segment (start 168.47 81.5) (end 167.66 82.31) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 184.07 81.5) (end 168.47 81.5) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 192.12 75.31) (end 188.64 75.31) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 186.65 77.3) (end 186.65 78.92) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 192.74 74.69) (end 192.12 75.31) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 188.64 75.31) (end 186.65 77.3) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 186.65 78.92) (end 184.07 81.5) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 147.7 82.35) (end 147.66 82.31) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 151.86 81.7) (end 148.35 81.7) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 148.35 81.7) (end 147.7 82.35) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 167.66 82.31) (end 152.47 82.31) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 152.47 82.31) (end 151.86 81.7) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 192.74 76.245634) (end 192.74 74.69) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 193.18 76.685634) (end 192.74 76.245634) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 212.28 75.15) (end 204.91 75.15) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 197.06 83) (end 193.89 83) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 204.91 75.15) (end 197.06 83) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 193.18 82.29) (end 193.18 76.685634) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 212.74 74.69) (end 212.28 75.15) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 193.89 83) (end 193.18 82.29) (width 0.6) (layer B.Cu) (net 34))
- (segment (start 192.74 54.69) (end 195.5 51.93) (width 0.6) (layer F.Cu) (net 37))
- (segment (start 195.5 50.5) (end 192.3 47.3) (width 0.6) (layer F.Cu) (net 37))
- (segment (start 195.5 51.93) (end 195.5 50.5) (width 0.6) (layer F.Cu) (net 37))
- (segment (start 192.3 47.3) (end 173.8 47.3) (width 0.6) (layer F.Cu) (net 37))
- (via (at 173.8 47.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37))
- (segment (start 192.6 54.83) (end 192.74 54.69) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 192.6 56.4) (end 192.6 54.83) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 193.2 66) (end 193.2 57) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 193.2 57) (end 192.6 56.4) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 169.215634 62.31) (end 167.66 62.31) (width 0.2) (layer B.Cu) (net 37))
- (segment (start 171.96 62.43) (end 171.34 61.81) (width 0.2) (layer B.Cu) (net 37))
- (segment (start 171.34 61.81) (end 169.715634 61.81) (width 0.2) (layer B.Cu) (net 37))
- (segment (start 171.96 63.89) (end 171.96 62.43) (width 0.2) (layer B.Cu) (net 37))
- (segment (start 169.715634 61.81) (end 169.215634 62.31) (width 0.2) (layer B.Cu) (net 37))
- (segment (start 175.665678 67.016154) (end 174.807001 66.157477) (width 0.2) (layer B.Cu) (net 37))
- (segment (start 175.665678 67.147658) (end 175.665678 67.016154) (width 0.2) (layer B.Cu) (net 37))
- (segment (start 174.807001 64.844399) (end 174.492602 64.53) (width 0.2) (layer B.Cu) (net 37))
- (segment (start 174.807001 66.157477) (end 174.807001 64.844399) (width 0.2) (layer B.Cu) (net 37))
- (segment (start 172.6 64.53) (end 171.96 63.89) (width 0.2) (layer B.Cu) (net 37))
- (segment (start 174.492602 64.53) (end 172.6 64.53) (width 0.2) (layer B.Cu) (net 37))
- (segment (start 172.97036 47.3) (end 173.8 47.3) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 148.2 61.77) (end 155.28 61.77) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 164.55 52.5) (end 167.77036 52.5) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 147.66 62.31) (end 148.2 61.77) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 167.77036 52.5) (end 172.97036 47.3) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 155.28 61.77) (end 164.55 52.5) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 167.66 60.754366) (end 167.66 62.31) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 167.75 52.5) (end 167.08 53.17) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 167.08 60.174366) (end 167.66 60.754366) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 167.08 53.17) (end 167.08 60.174366) (width 0.6) (layer B.Cu) (net 37))
- (segment (start 208.112999 45.142999) (end 212.74 49.77) (width 0.6) (layer F.Cu) (net 37))
- (segment (start 198.057001 45.142999) (end 208.112999 45.142999) (width 0.6) (layer F.Cu) (net 37))
- (segment (start 195.5 50.5) (end 195.5 47.7) (width 0.6) (layer F.Cu) (net 37))
- (segment (start 212.74 49.77) (end 212.74 54.69) (width 0.6) (layer F.Cu) (net 37))
- (segment (start 195.5 47.7) (end 198.057001 45.142999) (width 0.6) (layer F.Cu) (net 37))
- (segment (start 201.075 67.5) (end 203.325 67.5) (width 0.8) (layer B.Cu) (net 44))
- (segment (start 203.325 65.5) (end 203.325 67.5) (width 0.8) (layer B.Cu) (net 44))
- (segment (start 184.428046 71.667386) (end 184.428046 71.978508) (width 0.2) (layer B.Cu) (net 44))
- (segment (start 183.673662 70.913002) (end 184.428046 71.667386) (width 0.2) (layer B.Cu) (net 44))
- (segment (start 184.428046 71.978508) (end 184.728045 72.278507) (width 0.2) (layer B.Cu) (net 44))
- (via (at 184.728045 72.278507) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 44))
- (segment (start 202.15 68.05) (end 202.15 68.55) (width 0.2) (layer B.Cu) (net 44))
- (segment (start 201.075 67.5) (end 201.6 67.5) (width 0.2) (layer B.Cu) (net 44))
- (via (at 202.15 68.55) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 44))
- (segment (start 201.6 67.5) (end 202.15 68.05) (width 0.2) (layer B.Cu) (net 44))
- (segment (start 184.728045 72.278507) (end 185.395854 72.278507) (width 0.2) (layer F.Cu) (net 44))
- (segment (start 199.718637 69.542999) (end 199.75 69.55) (width 0.2) (layer F.Cu) (net 44))
- (segment (start 190.6607 70.542989) (end 191.050701 70.542989) (width 0.2) (layer F.Cu) (net 44))
- (segment (start 201.850001 68.849999) (end 202.15 68.55) (width 0.2) (layer F.Cu) (net 44))
- (segment (start 201.15 69.55) (end 201.850001 68.849999) (width 0.2) (layer F.Cu) (net 44))
- (segment (start 199.75 69.55) (end 201.15 69.55) (width 0.2) (layer F.Cu) (net 44))
- (segment (start 192.34369 69.25) (end 199.425638 69.25) (width 0.2) (layer F.Cu) (net 44))
- (segment (start 191.050701 70.542989) (end 192.34369 69.25) (width 0.2) (layer F.Cu) (net 44))
- (segment (start 189.7307 71.472989) (end 190.6607 70.542989) (width 0.2) (layer F.Cu) (net 44))
- (segment (start 186.201371 71.472989) (end 189.7307 71.472989) (width 0.2) (layer F.Cu) (net 44))
- (segment (start 185.395854 72.278507) (end 186.201371 71.472989) (width 0.2) (layer F.Cu) (net 44))
- (segment (start 199.425638 69.25) (end 199.718637 69.542999) (width 0.2) (layer F.Cu) (net 44))
- (segment (start 203.325 71.5) (end 203.325 69.5) (width 0.8) (layer B.Cu) (net 45))
- (segment (start 184.850001 71.350001) (end 185.15 71.65) (width 0.2) (layer B.Cu) (net 45))
- (segment (start 184.059449 70.559449) (end 184.850001 71.350001) (width 0.2) (layer B.Cu) (net 45))
- (via (at 185.15 71.65) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 45))
- (segment (start 184.027215 70.559449) (end 184.059449 70.559449) (width 0.2) (layer B.Cu) (net 45))
- (segment (start 201.182002 69.607002) (end 202.692998 69.607002) (width 0.8) (layer B.Cu) (net 45))
- (segment (start 201.075 69.5) (end 201.182002 69.607002) (width 0.8) (layer B.Cu) (net 45))
- (segment (start 202.8 69.5) (end 203.325 69.5) (width 0.8) (layer B.Cu) (net 45))
- (segment (start 202.692998 69.607002) (end 202.8 69.5) (width 0.8) (layer B.Cu) (net 45))
- (segment (start 201.075 69.5) (end 200.55 69.5) (width 0.2) (layer B.Cu) (net 45))
- (segment (start 200.4 69.35) (end 200.4 68.85) (width 0.2) (layer B.Cu) (net 45))
- (via (at 200.4 68.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 45))
- (segment (start 200.55 69.5) (end 200.4 69.35) (width 0.2) (layer B.Cu) (net 45))
- (segment (start 189.582822 71.115978) (end 190.512822 70.185978) (width 0.2) (layer F.Cu) (net 45))
- (segment (start 190.902822 70.185978) (end 192.2388 68.85) (width 0.2) (layer F.Cu) (net 45))
- (segment (start 185.684022 71.115978) (end 189.582822 71.115978) (width 0.2) (layer F.Cu) (net 45))
- (segment (start 192.2388 68.85) (end 199.975736 68.85) (width 0.2) (layer F.Cu) (net 45))
- (segment (start 185.15 71.65) (end 185.684022 71.115978) (width 0.2) (layer F.Cu) (net 45))
- (segment (start 190.512822 70.185978) (end 190.902822 70.185978) (width 0.2) (layer F.Cu) (net 45))
- (segment (start 199.975736 68.85) (end 200.4 68.85) (width 0.2) (layer F.Cu) (net 45))
- (segment (start 176.26066 63.5) (end 173.2 63.5) (width 0.2) (layer B.Cu) (net 49))
- (segment (start 177.786998 65.026338) (end 176.26066 63.5) (width 0.2) (layer B.Cu) (net 49))
- (via (at 190.8 69.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 50))
- (segment (start 191.8 68.5) (end 194.2 68.5) (width 0.2) (layer B.Cu) (net 50))
- (segment (start 190.8 69.5) (end 191.8 68.5) (width 0.2) (layer B.Cu) (net 50))
- (via (at 189.530539 70.380529) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 50))
- (segment (start 190.375736 69.5) (end 189.530539 70.345197) (width 0.2) (layer F.Cu) (net 50))
- (segment (start 189.530539 70.345197) (end 189.530539 70.380529) (width 0.2) (layer F.Cu) (net 50))
- (segment (start 190.8 69.5) (end 190.375736 69.5) (width 0.2) (layer F.Cu) (net 50))
- (segment (start 189.035332 71.3) (end 189.530539 70.804793) (width 0.2) (layer B.Cu) (net 50))
- (segment (start 189.530539 70.804793) (end 189.530539 70.380529) (width 0.2) (layer B.Cu) (net 50))
- (segment (start 186.050476 71.3) (end 189.035332 71.3) (width 0.2) (layer B.Cu) (net 50))
- (segment (start 184.734322 69.852342) (end 184.734322 69.983846) (width 0.2) (layer B.Cu) (net 50))
- (segment (start 184.734322 69.983846) (end 186.050476 71.3) (width 0.2) (layer B.Cu) (net 50))
- (via (at 187.95 80.8) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 51))
- (via (at 186.71028 73.61028) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 51))
- (segment (start 187.95 80.8) (end 186.71028 79.56028) (width 0.2) (layer F.Cu) (net 51))
- (segment (start 186.71028 79.56028) (end 186.71028 73.61028) (width 0.2) (layer F.Cu) (net 51))
- (segment (start 184.055433 72.935145) (end 184.730568 73.61028) (width 0.2) (layer B.Cu) (net 51))
- (segment (start 182.867379 72.935145) (end 184.055433 72.935145) (width 0.2) (layer B.Cu) (net 51))
- (segment (start 182.259449 72.327215) (end 182.867379 72.935145) (width 0.2) (layer B.Cu) (net 51))
- (segment (start 184.730568 73.61028) (end 186.286016 73.61028) (width 0.2) (layer B.Cu) (net 51))
- (segment (start 186.286016 73.61028) (end 186.71028 73.61028) (width 0.2) (layer B.Cu) (net 51))
- (segment (start 190.902268 80.8) (end 188.374264 80.8) (width 0.2) (layer B.Cu) (net 51))
- (segment (start 193.659278 83.55701) (end 190.902268 80.8) (width 0.2) (layer B.Cu) (net 51))
- (segment (start 212.59 77) (end 211.297011 75.707011) (width 0.2) (layer B.Cu) (net 51))
- (segment (start 215.7 77) (end 212.59 77) (width 0.2) (layer B.Cu) (net 51))
- (segment (start 188.374264 80.8) (end 187.95 80.8) (width 0.2) (layer B.Cu) (net 51))
- (segment (start 211.297011 75.707011) (end 205.140721 75.707011) (width 0.2) (layer B.Cu) (net 51))
- (segment (start 197.290721 83.557011) (end 193.659278 83.55701) (width 0.2) (layer B.Cu) (net 51))
- (segment (start 205.140721 75.707011) (end 197.290721 83.557011) (width 0.2) (layer B.Cu) (net 51))
- (segment (start 177.433445 65.379891) (end 177.564949 65.379891) (width 0.2) (layer B.Cu) (net 52))
- (via (at 162.65 67.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 52))
- (via (at 178.2 67.15) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 52))
- (segment (start 178.2 66.146446) (end 177.433445 65.379891) (width 0.2) (layer B.Cu) (net 52))
- (segment (start 178.2 67.15) (end 178.2 66.146446) (width 0.2) (layer B.Cu) (net 52))
- (segment (start 177.4 67.95) (end 178.2 67.15) (width 0.2) (layer F.Cu) (net 52))
- (segment (start 163.574264 67.95) (end 177.4 67.95) (width 0.2) (layer F.Cu) (net 52))
- (segment (start 163.074264 67.45) (end 163.574264 67.95) (width 0.2) (layer F.Cu) (net 52))
- (segment (start 162.65 67.45) (end 163.074264 67.45) (width 0.2) (layer F.Cu) (net 52))
- (segment (start 157.86 64.76) (end 159.96 64.76) (width 0.2) (layer B.Cu) (net 52))
- (segment (start 157.34 64.24) (end 157.86 64.76) (width 0.2) (layer B.Cu) (net 52))
- (segment (start 146.35 68.5) (end 149.63 65.22) (width 0.2) (layer B.Cu) (net 52))
- (segment (start 145.7 68.5) (end 146.35 68.5) (width 0.2) (layer B.Cu) (net 52))
- (segment (start 149.63 65.22) (end 150.88 65.22) (width 0.2) (layer B.Cu) (net 52))
- (segment (start 159.96 64.76) (end 162.65 67.45) (width 0.2) (layer B.Cu) (net 52))
- (segment (start 151.86 64.24) (end 157.34 64.24) (width 0.2) (layer B.Cu) (net 52))
- (segment (start 150.88 65.22) (end 151.86 64.24) (width 0.2) (layer B.Cu) (net 52))
- (segment (start 147.825 69.5) (end 147.825 71.5) (width 0.8) (layer F.Cu) (net 53))
- (segment (start 147.825 69.5) (end 145.875 69.5) (width 0.8) (layer F.Cu) (net 53))
- (segment (start 177.699999 68.207011) (end 177.4 68.50701) (width 0.2) (layer B.Cu) (net 53))
- (segment (start 177.999999 67.907011) (end 177.699999 68.207011) (width 0.2) (layer B.Cu) (net 53))
- (segment (start 182.613002 65.026338) (end 179.732329 67.907011) (width 0.2) (layer B.Cu) (net 53))
- (segment (start 179.732329 67.907011) (end 177.999999 67.907011) (width 0.2) (layer B.Cu) (net 53))
- (via (at 177.4 68.50701) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 53))
- (segment (start 154.545112 75.05) (end 161.259134 68.335978) (width 0.2) (layer F.Cu) (net 53))
- (segment (start 177.3 68.40701) (end 177.4 68.50701) (width 0.2) (layer F.Cu) (net 53))
- (segment (start 147.825 71.5) (end 147.825 74.620112) (width 0.2) (layer F.Cu) (net 53))
- (segment (start 148.254888 75.05) (end 154.545112 75.05) (width 0.2) (layer F.Cu) (net 53))
- (segment (start 147.825 74.620112) (end 148.254888 75.05) (width 0.2) (layer F.Cu) (net 53))
- (segment (start 161.259134 68.335978) (end 171.845756 68.335978) (width 0.2) (layer F.Cu) (net 53))
- (segment (start 171.845756 68.335978) (end 171.916788 68.40701) (width 0.2) (layer F.Cu) (net 53))
- (segment (start 171.916788 68.40701) (end 177.3 68.40701) (width 0.2) (layer F.Cu) (net 53))
- (segment (start 174.968125 71.257001) (end 176.019231 70.205895) (width 0.2) (layer B.Cu) (net 54))
- (segment (start 171.85 68.4) (end 172.75 69.3) (width 0.2) (layer B.Cu) (net 54))
- (segment (start 171.7 68.4) (end 171.85 68.4) (width 0.2) (layer B.Cu) (net 54))
- (segment (start 172.75 69.3) (end 172.75 69.76) (width 0.2) (layer B.Cu) (net 54))
- (segment (start 172.75 69.76) (end 174.247001 71.257001) (width 0.2) (layer B.Cu) (net 54))
- (segment (start 174.247001 71.257001) (end 174.968125 71.257001) (width 0.2) (layer B.Cu) (net 54))
- (segment (start 174.15 65.5) (end 174.15 69.4) (width 0.6) (layer B.Cu) (net 55))
- (via (at 174 69.55) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 55))
- (segment (start 174.15 69.4) (end 174 69.55) (width 0.6) (layer B.Cu) (net 55))
- (segment (start 193.2 71) (end 191.502944 71) (width 0.6) (layer F.Cu) (net 55))
- (segment (start 174 74.25) (end 174 69.55) (width 0.4) (layer F.Cu) (net 55))
- (segment (start 191.502944 71) (end 190.85 71) (width 0.4) (layer F.Cu) (net 55))
- (segment (start 175.55 75.8) (end 174 74.25) (width 0.4) (layer F.Cu) (net 55))
- (segment (start 189.92 71.93) (end 186.390671 71.93) (width 0.4) (layer F.Cu) (net 55))
- (segment (start 182.520671 75.8) (end 175.55 75.8) (width 0.4) (layer F.Cu) (net 55))
- (segment (start 190.85 71) (end 189.92 71.93) (width 0.4) (layer F.Cu) (net 55))
- (segment (start 186.390671 71.93) (end 182.520671 75.8) (width 0.4) (layer F.Cu) (net 55))
- (segment (start 217.7 63.46) (end 215.28 61.04) (width 0.8) (layer B.Cu) (net 56))
- (segment (start 217.7 76.05) (end 217.7 63.46) (width 0.8) (layer B.Cu) (net 56))
- (segment (start 143.7 70.6) (end 143.7 69.45) (width 0.6) (layer B.Cu) (net 57))
- (segment (start 145.12 75.96) (end 145.12 72.02) (width 0.6) (layer B.Cu) (net 57))
- (segment (start 145.12 72.02) (end 143.7 70.6) (width 0.6) (layer B.Cu) (net 57))
- (segment (start 195.28 66.63) (end 195.28 61.04) (width 0.8) (layer B.Cu) (net 58))
- (segment (start 196.2 67.55) (end 195.28 66.63) (width 0.8) (layer B.Cu) (net 58))
- (segment (start 168.15701 68.85701) (end 165.45701 68.85701) (width 0.6) (layer B.Cu) (net 59))
- (segment (start 169.7 69.35) (end 168.65 69.35) (width 0.6) (layer B.Cu) (net 59))
- (segment (start 168.65 69.35) (end 168.15701 68.85701) (width 0.6) (layer B.Cu) (net 59))
- (segment (start 165.12 69.19402) (end 165.12 75.96) (width 0.6) (layer B.Cu) (net 59))
- (segment (start 165.45701 68.85701) (end 165.12 69.19402) (width 0.6) (layer B.Cu) (net 59))
- (segment (start 150.075 71) (end 149.575 71.5) (width 0.8) (layer F.Cu) (net 60))
- (segment (start 152.7 71) (end 150.075 71) (width 0.8) (layer F.Cu) (net 60))
- (segment (start 150.075 66) (end 149.575 65.5) (width 0.8) (layer F.Cu) (net 61))
- (segment (start 152.7 66) (end 150.075 66) (width 0.8) (layer F.Cu) (net 61))
- (segment (start 205.575 66) (end 205.075 65.5) (width 0.8) (layer B.Cu) (net 62))
- (segment (start 207.7 66) (end 205.575 66) (width 0.8) (layer B.Cu) (net 62))
- (segment (start 205.575 71) (end 205.075 71.5) (width 0.8) (layer B.Cu) (net 63))
- (segment (start 207.7 71) (end 205.575 71) (width 0.8) (layer B.Cu) (net 63))
- (segment (start 167.7 65.5) (end 167.2 66) (width 0.8) (layer B.Cu) (net 64))
- (segment (start 172.25 65.5) (end 167.7 65.5) (width 0.8) (layer B.Cu) (net 64))
- (segment (start 217.7 78.62) (end 215.28 81.04) (width 0.8) (layer B.Cu) (net 65))
- (segment (start 217.7 77.95) (end 217.7 78.62) (width 0.8) (layer B.Cu) (net 65))
- (segment (start 145.12 64.58) (end 145.12 55.96) (width 0.6) (layer B.Cu) (net 66))
- (segment (start 143.7 67.55) (end 143.7 66) (width 0.6) (layer B.Cu) (net 66))
- (segment (start 143.7 66) (end 145.12 64.58) (width 0.6) (layer B.Cu) (net 66))
- (segment (start 195.28 70.37) (end 195.28 81.04) (width 0.8) (layer B.Cu) (net 67))
- (segment (start 196.2 69.45) (end 195.28 70.37) (width 0.8) (layer B.Cu) (net 67))
- (segment (start 165.12 57.515634) (end 165.12 55.96) (width 0.6) (layer B.Cu) (net 68))
- (segment (start 168.725 67.45) (end 168.075 68.1) (width 0.6) (layer B.Cu) (net 68))
- (segment (start 169.7 67.45) (end 168.725 67.45) (width 0.6) (layer B.Cu) (net 68))
- (segment (start 168.075 68.1) (end 166.1 68.1) (width 0.6) (layer B.Cu) (net 68))
- (segment (start 166.1 68.1) (end 165.12 67.12) (width 0.6) (layer B.Cu) (net 68))
- (segment (start 165.12 67.12) (end 165.12 57.515634) (width 0.6) (layer B.Cu) (net 68))
-
- (zone (net 1) (net_name GND) (layer F.Cu) (tstamp 0) (hatch edge 0.508)
- (connect_pads (clearance 0.157))
- (min_thickness 0.254)
- (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 225.2 93.5) (xy 135.2 93.5) (xy 135.2 43.5) (xy 225.2 43.5)
- )
- )
- (filled_polygon
- (pts
- (xy 221.03049 43.884348) (xy 221.834721 44.104361) (xy 222.587289 44.463317) (xy 223.264394 44.949866) (xy 223.844637 45.54863)
- (xy 224.309677 46.240684) (xy 224.644818 47.004156) (xy 224.840033 47.817281) (xy 224.891 48.511328) (xy 224.891001 88.486224)
- (xy 224.815652 89.330491) (xy 224.595639 90.134721) (xy 224.236683 90.887289) (xy 223.750134 91.564394) (xy 223.15137 92.144637)
- (xy 222.459316 92.609677) (xy 221.695843 92.944819) (xy 220.882719 93.140033) (xy 220.188673 93.191) (xy 140.213765 93.191)
- (xy 139.369509 93.115652) (xy 138.565279 92.895639) (xy 137.812711 92.536683) (xy 137.135606 92.050134) (xy 136.555363 91.45137)
- (xy 136.090323 90.759316) (xy 135.755181 89.995843) (xy 135.591591 89.314442) (xy 137.316 89.314442) (xy 137.316 89.685558)
- (xy 137.388401 90.049543) (xy 137.530421 90.392409) (xy 137.736602 90.70098) (xy 137.99902 90.963398) (xy 138.307591 91.169579)
- (xy 138.650457 91.311599) (xy 139.014442 91.384) (xy 139.385558 91.384) (xy 139.749543 91.311599) (xy 140.092409 91.169579)
- (xy 140.40098 90.963398) (xy 140.663398 90.70098) (xy 140.869579 90.392409) (xy 141.011599 90.049543) (xy 141.084 89.685558)
- (xy 141.084 89.314442) (xy 219.316 89.314442) (xy 219.316 89.685558) (xy 219.388401 90.049543) (xy 219.530421 90.392409)
- (xy 219.736602 90.70098) (xy 219.99902 90.963398) (xy 220.307591 91.169579) (xy 220.650457 91.311599) (xy 221.014442 91.384)
- (xy 221.385558 91.384) (xy 221.749543 91.311599) (xy 222.092409 91.169579) (xy 222.40098 90.963398) (xy 222.663398 90.70098)
- (xy 222.869579 90.392409) (xy 223.011599 90.049543) (xy 223.084 89.685558) (xy 223.084 89.314442) (xy 223.011599 88.950457)
- (xy 222.869579 88.607591) (xy 222.663398 88.29902) (xy 222.40098 88.036602) (xy 222.092409 87.830421) (xy 221.749543 87.688401)
- (xy 221.385558 87.616) (xy 221.014442 87.616) (xy 220.650457 87.688401) (xy 220.307591 87.830421) (xy 219.99902 88.036602)
- (xy 219.736602 88.29902) (xy 219.530421 88.607591) (xy 219.388401 88.950457) (xy 219.316 89.314442) (xy 141.084 89.314442)
- (xy 141.011599 88.950457) (xy 140.869579 88.607591) (xy 140.663398 88.29902) (xy 140.40098 88.036602) (xy 140.092409 87.830421)
- (xy 139.749543 87.688401) (xy 139.385558 87.616) (xy 139.014442 87.616) (xy 138.650457 87.688401) (xy 138.307591 87.830421)
- (xy 137.99902 88.036602) (xy 137.736602 88.29902) (xy 137.530421 88.607591) (xy 137.388401 88.950457) (xy 137.316 89.314442)
- (xy 135.591591 89.314442) (xy 135.559967 89.182719) (xy 135.509 88.488673) (xy 135.509 82.173688) (xy 146.276 82.173688)
- (xy 146.276 82.446312) (xy 146.329186 82.713698) (xy 146.433515 82.96557) (xy 146.584977 83.192249) (xy 146.777751 83.385023)
- (xy 147.00443 83.536485) (xy 147.256302 83.640814) (xy 147.523688 83.694) (xy 147.796312 83.694) (xy 148.063698 83.640814)
- (xy 148.31557 83.536485) (xy 148.417599 83.468311) (xy 149.066 83.468311) (xy 149.066 83.691689) (xy 149.109579 83.910776)
- (xy 149.195062 84.11715) (xy 149.319165 84.302883) (xy 149.477117 84.460835) (xy 149.66285 84.584938) (xy 149.869224 84.670421)
- (xy 150.088311 84.714) (xy 150.311689 84.714) (xy 150.530776 84.670421) (xy 150.73715 84.584938) (xy 150.922883 84.460835)
- (xy 151.080835 84.302883) (xy 151.204938 84.11715) (xy 151.290421 83.910776) (xy 151.334 83.691689) (xy 151.334 83.468311)
- (xy 151.290421 83.249224) (xy 151.204938 83.04285) (xy 151.080835 82.857117) (xy 150.922883 82.699165) (xy 150.73715 82.575062)
- (xy 150.530776 82.489579) (xy 150.311689 82.446) (xy 150.088311 82.446) (xy 149.869224 82.489579) (xy 149.66285 82.575062)
- (xy 149.477117 82.699165) (xy 149.319165 82.857117) (xy 149.195062 83.04285) (xy 149.109579 83.249224) (xy 149.066 83.468311)
- (xy 148.417599 83.468311) (xy 148.542249 83.385023) (xy 148.735023 83.192249) (xy 148.886485 82.96557) (xy 148.990814 82.713698)
- (xy 149.044 82.446312) (xy 149.044 82.173688) (xy 166.276 82.173688) (xy 166.276 82.446312) (xy 166.329186 82.713698)
- (xy 166.433515 82.96557) (xy 166.584977 83.192249) (xy 166.777751 83.385023) (xy 167.00443 83.536485) (xy 167.256302 83.640814)
- (xy 167.523688 83.694) (xy 167.796312 83.694) (xy 168.063698 83.640814) (xy 168.31557 83.536485) (xy 168.417599 83.468311)
- (xy 169.066 83.468311) (xy 169.066 83.691689) (xy 169.109579 83.910776) (xy 169.195062 84.11715) (xy 169.319165 84.302883)
- (xy 169.477117 84.460835) (xy 169.66285 84.584938) (xy 169.869224 84.670421) (xy 170.088311 84.714) (xy 170.311689 84.714)
- (xy 170.530776 84.670421) (xy 170.73715 84.584938) (xy 170.922883 84.460835) (xy 171.080835 84.302883) (xy 171.204938 84.11715)
- (xy 171.290421 83.910776) (xy 171.334 83.691689) (xy 171.334 83.468311) (xy 189.066 83.468311) (xy 189.066 83.691689)
- (xy 189.109579 83.910776) (xy 189.195062 84.11715) (xy 189.319165 84.302883) (xy 189.477117 84.460835) (xy 189.66285 84.584938)
- (xy 189.869224 84.670421) (xy 190.088311 84.714) (xy 190.311689 84.714) (xy 190.530776 84.670421) (xy 190.73715 84.584938)
- (xy 190.922883 84.460835) (xy 191.080835 84.302883) (xy 191.204938 84.11715) (xy 191.290421 83.910776) (xy 191.334 83.691689)
- (xy 191.334 83.468311) (xy 209.066 83.468311) (xy 209.066 83.691689) (xy 209.109579 83.910776) (xy 209.195062 84.11715)
- (xy 209.319165 84.302883) (xy 209.477117 84.460835) (xy 209.66285 84.584938) (xy 209.869224 84.670421) (xy 210.088311 84.714)
- (xy 210.311689 84.714) (xy 210.530776 84.670421) (xy 210.73715 84.584938) (xy 210.922883 84.460835) (xy 211.080835 84.302883)
- (xy 211.204938 84.11715) (xy 211.290421 83.910776) (xy 211.334 83.691689) (xy 211.334 83.468311) (xy 211.290421 83.249224)
- (xy 211.204938 83.04285) (xy 211.080835 82.857117) (xy 210.922883 82.699165) (xy 210.73715 82.575062) (xy 210.530776 82.489579)
- (xy 210.311689 82.446) (xy 210.088311 82.446) (xy 209.869224 82.489579) (xy 209.66285 82.575062) (xy 209.477117 82.699165)
- (xy 209.319165 82.857117) (xy 209.195062 83.04285) (xy 209.109579 83.249224) (xy 209.066 83.468311) (xy 191.334 83.468311)
- (xy 191.290421 83.249224) (xy 191.204938 83.04285) (xy 191.080835 82.857117) (xy 190.922883 82.699165) (xy 190.73715 82.575062)
- (xy 190.530776 82.489579) (xy 190.311689 82.446) (xy 190.088311 82.446) (xy 189.869224 82.489579) (xy 189.66285 82.575062)
- (xy 189.477117 82.699165) (xy 189.319165 82.857117) (xy 189.195062 83.04285) (xy 189.109579 83.249224) (xy 189.066 83.468311)
- (xy 171.334 83.468311) (xy 171.290421 83.249224) (xy 171.204938 83.04285) (xy 171.080835 82.857117) (xy 170.922883 82.699165)
- (xy 170.73715 82.575062) (xy 170.530776 82.489579) (xy 170.311689 82.446) (xy 170.088311 82.446) (xy 169.869224 82.489579)
- (xy 169.66285 82.575062) (xy 169.477117 82.699165) (xy 169.319165 82.857117) (xy 169.195062 83.04285) (xy 169.109579 83.249224)
- (xy 169.066 83.468311) (xy 168.417599 83.468311) (xy 168.542249 83.385023) (xy 168.735023 83.192249) (xy 168.886485 82.96557)
- (xy 168.990814 82.713698) (xy 169.044 82.446312) (xy 169.044 82.173688) (xy 168.990814 81.906302) (xy 168.886485 81.65443)
- (xy 168.735023 81.427751) (xy 168.542249 81.234977) (xy 168.31557 81.083515) (xy 168.063698 80.979186) (xy 167.796312 80.926)
- (xy 167.523688 80.926) (xy 167.256302 80.979186) (xy 167.00443 81.083515) (xy 166.777751 81.234977) (xy 166.584977 81.427751)
- (xy 166.433515 81.65443) (xy 166.329186 81.906302) (xy 166.276 82.173688) (xy 149.044 82.173688) (xy 148.990814 81.906302)
- (xy 148.886485 81.65443) (xy 148.735023 81.427751) (xy 148.542249 81.234977) (xy 148.31557 81.083515) (xy 148.063698 80.979186)
- (xy 147.796312 80.926) (xy 147.523688 80.926) (xy 147.256302 80.979186) (xy 147.00443 81.083515) (xy 146.777751 81.234977)
- (xy 146.584977 81.427751) (xy 146.433515 81.65443) (xy 146.329186 81.906302) (xy 146.276 82.173688) (xy 135.509 82.173688)
- (xy 135.509 78.275046) (xy 147.916 78.275046) (xy 147.916 78.724954) (xy 148.003773 79.166218) (xy 148.175946 79.58188)
- (xy 148.425902 79.955965) (xy 148.744035 80.274098) (xy 149.11812 80.524054) (xy 149.533782 80.696227) (xy 149.975046 80.784)
- (xy 150.424954 80.784) (xy 150.866218 80.696227) (xy 151.28188 80.524054) (xy 151.655965 80.274098) (xy 151.974098 79.955965)
- (xy 152.224054 79.58188) (xy 152.396227 79.166218) (xy 152.484 78.724954) (xy 152.484 78.275046) (xy 167.916 78.275046)
- (xy 167.916 78.724954) (xy 168.003773 79.166218) (xy 168.175946 79.58188) (xy 168.425902 79.955965) (xy 168.744035 80.274098)
- (xy 169.11812 80.524054) (xy 169.533782 80.696227) (xy 169.975046 80.784) (xy 170.424954 80.784) (xy 170.866218 80.696227)
- (xy 171.28188 80.524054) (xy 171.655965 80.274098) (xy 171.974098 79.955965) (xy 172.224054 79.58188) (xy 172.396227 79.166218)
- (xy 172.484 78.724954) (xy 172.484 78.275046) (xy 172.396227 77.833782) (xy 172.224054 77.41812) (xy 171.974098 77.044035)
- (xy 171.655965 76.725902) (xy 171.28188 76.475946) (xy 170.866218 76.303773) (xy 170.424954 76.216) (xy 169.975046 76.216)
- (xy 169.533782 76.303773) (xy 169.11812 76.475946) (xy 168.744035 76.725902) (xy 168.425902 77.044035) (xy 168.175946 77.41812)
- (xy 168.003773 77.833782) (xy 167.916 78.275046) (xy 152.484 78.275046) (xy 152.396227 77.833782) (xy 152.224054 77.41812)
- (xy 151.974098 77.044035) (xy 151.655965 76.725902) (xy 151.28188 76.475946) (xy 150.866218 76.303773) (xy 150.424954 76.216)
- (xy 149.975046 76.216) (xy 149.533782 76.303773) (xy 149.11812 76.475946) (xy 148.744035 76.725902) (xy 148.425902 77.044035)
- (xy 148.175946 77.41812) (xy 148.003773 77.833782) (xy 147.916 78.275046) (xy 135.509 78.275046) (xy 135.509 75.823688)
- (xy 143.736 75.823688) (xy 143.736 76.096312) (xy 143.789186 76.363698) (xy 143.893515 76.61557) (xy 144.044977 76.842249)
- (xy 144.237751 77.035023) (xy 144.46443 77.186485) (xy 144.716302 77.290814) (xy 144.983688 77.344) (xy 145.256312 77.344)
- (xy 145.523698 77.290814) (xy 145.77557 77.186485) (xy 146.002249 77.035023) (xy 146.195023 76.842249) (xy 146.346485 76.61557)
- (xy 146.450814 76.363698) (xy 146.504 76.096312) (xy 146.504 75.823688) (xy 146.450814 75.556302) (xy 146.346485 75.30443)
- (xy 146.195023 75.077751) (xy 146.002249 74.884977) (xy 145.77557 74.733515) (xy 145.523698 74.629186) (xy 145.256312 74.576)
- (xy 144.983688 74.576) (xy 144.716302 74.629186) (xy 144.46443 74.733515) (xy 144.237751 74.884977) (xy 144.044977 75.077751)
- (xy 143.893515 75.30443) (xy 143.789186 75.556302) (xy 143.736 75.823688) (xy 135.509 75.823688) (xy 135.509 67.2625)
- (xy 143.314626 67.2625) (xy 143.314626 67.7375) (xy 143.324673 67.839508) (xy 143.354427 67.937595) (xy 143.402746 68.027993)
- (xy 143.441 68.074606) (xy 143.441001 68.925393) (xy 143.402746 68.972007) (xy 143.354427 69.062405) (xy 143.324673 69.160492)
- (xy 143.314626 69.2625) (xy 143.314626 69.7375) (xy 143.324673 69.839508) (xy 143.354427 69.937595) (xy 143.402746 70.027993)
- (xy 143.467772 70.107228) (xy 143.547007 70.172254) (xy 143.637405 70.220573) (xy 143.735492 70.250327) (xy 143.8375 70.260374)
- (xy 143.867317 70.260374) (xy 144.715131 71.108189) (xy 144.727157 71.122843) (xy 144.74181 71.134868) (xy 144.785628 71.170829)
- (xy 144.814225 71.186114) (xy 144.852339 71.206486) (xy 144.924723 71.228444) (xy 144.981135 71.234) (xy 144.981137 71.234)
- (xy 145 71.235858) (xy 145.018862 71.234) (xy 145.740943 71.234) (xy 146.05899 71.552048) (xy 146.058992 72.179007)
- (xy 146.057133 72.197879) (xy 146.064548 72.273156) (xy 146.086506 72.34554) (xy 146.122162 72.41225) (xy 146.141537 72.435858)
- (xy 146.170149 72.470722) (xy 146.184803 72.482748) (xy 146.65899 72.956936) (xy 146.658989 74.829016) (xy 146.657131 74.847879)
- (xy 146.658989 74.866741) (xy 146.658989 74.866743) (xy 146.664545 74.923155) (xy 146.686503 74.995539) (xy 146.700021 75.02083)
- (xy 146.72216 75.06225) (xy 146.734882 75.077751) (xy 146.770146 75.120722) (xy 146.784806 75.132753) (xy 147.674263 76.022211)
- (xy 147.686289 76.036865) (xy 147.700942 76.04889) (xy 147.74476 76.084851) (xy 147.766203 76.096312) (xy 147.811471 76.120508)
- (xy 147.883855 76.142466) (xy 147.940267 76.148022) (xy 147.940269 76.148022) (xy 147.959132 76.14988) (xy 147.977994 76.148022)
- (xy 154.822006 76.148022) (xy 154.840868 76.14988) (xy 154.85973 76.148022) (xy 154.859733 76.148022) (xy 154.916145 76.142466)
- (xy 154.988529 76.120508) (xy 155.055239 76.084851) (xy 155.113711 76.036865) (xy 155.125742 76.022205) (xy 155.549944 75.598003)
- (xy 157.567573 75.598003) (xy 157.647947 75.895368) (xy 157.846388 76.319439) (xy 158.123748 76.696648) (xy 158.469369 77.012498)
- (xy 158.869968 77.254852) (xy 159.31015 77.414396) (xy 159.773 77.485) (xy 160.273 77.485) (xy 160.273 75.227)
- (xy 160.527 75.227) (xy 160.527 77.485) (xy 161.027 77.485) (xy 161.48985 77.414396) (xy 161.930032 77.254852)
- (xy 162.330631 77.012498) (xy 162.676252 76.696648) (xy 162.953612 76.319439) (xy 163.152053 75.895368) (xy 163.171427 75.823688)
- (xy 163.736 75.823688) (xy 163.736 76.096312) (xy 163.789186 76.363698) (xy 163.893515 76.61557) (xy 164.044977 76.842249)
- (xy 164.237751 77.035023) (xy 164.46443 77.186485) (xy 164.716302 77.290814) (xy 164.983688 77.344) (xy 165.256312 77.344)
- (xy 165.523698 77.290814) (xy 165.77557 77.186485) (xy 166.002249 77.035023) (xy 166.195023 76.842249) (xy 166.346485 76.61557)
- (xy 166.450814 76.363698) (xy 166.504 76.096312) (xy 166.504 75.823688) (xy 166.450814 75.556302) (xy 166.346485 75.30443)
- (xy 166.195023 75.077751) (xy 166.002249 74.884977) (xy 165.77557 74.733515) (xy 165.523698 74.629186) (xy 165.256312 74.576)
- (xy 164.983688 74.576) (xy 164.716302 74.629186) (xy 164.46443 74.733515) (xy 164.237751 74.884977) (xy 164.044977 75.077751)
- (xy 163.893515 75.30443) (xy 163.789186 75.556302) (xy 163.736 75.823688) (xy 163.171427 75.823688) (xy 163.232427 75.598003)
- (xy 163.122625 75.227) (xy 160.527 75.227) (xy 160.273 75.227) (xy 157.677375 75.227) (xy 157.567573 75.598003)
- (xy 155.549944 75.598003) (xy 156.54595 74.601997) (xy 157.567573 74.601997) (xy 157.677375 74.973) (xy 160.273 74.973)
- (xy 160.273 72.715) (xy 160.527 72.715) (xy 160.527 74.973) (xy 163.122625 74.973) (xy 163.232427 74.601997)
- (xy 163.152053 74.304632) (xy 162.953612 73.880561) (xy 162.676252 73.503352) (xy 162.462828 73.308311) (xy 169.066 73.308311)
- (xy 169.066 73.531689) (xy 169.109579 73.750776) (xy 169.195062 73.95715) (xy 169.319165 74.142883) (xy 169.477117 74.300835)
- (xy 169.66285 74.424938) (xy 169.869224 74.510421) (xy 170.088311 74.554) (xy 170.311689 74.554) (xy 170.530776 74.510421)
- (xy 170.73715 74.424938) (xy 170.922883 74.300835) (xy 171.080835 74.142883) (xy 171.204938 73.95715) (xy 171.290421 73.750776)
- (xy 171.334 73.531689) (xy 171.334 73.308311) (xy 171.290421 73.089224) (xy 171.204938 72.88285) (xy 171.080835 72.697117)
- (xy 170.922883 72.539165) (xy 170.73715 72.415062) (xy 170.530776 72.329579) (xy 170.311689 72.286) (xy 170.088311 72.286)
- (xy 169.869224 72.329579) (xy 169.66285 72.415062) (xy 169.477117 72.539165) (xy 169.319165 72.697117) (xy 169.195062 72.88285)
- (xy 169.109579 73.089224) (xy 169.066 73.308311) (xy 162.462828 73.308311) (xy 162.330631 73.187502) (xy 161.930032 72.945148)
- (xy 161.48985 72.785604) (xy 161.027 72.715) (xy 160.527 72.715) (xy 160.273 72.715) (xy 159.773 72.715)
- (xy 159.31015 72.785604) (xy 158.869968 72.945148) (xy 158.469369 73.187502) (xy 158.123748 73.503352) (xy 157.846388 73.880561)
- (xy 157.647947 74.304632) (xy 157.567573 74.601997) (xy 156.54595 74.601997) (xy 160.294108 70.853839) (xy 165.716 70.853839)
- (xy 165.716 71.146161) (xy 165.77303 71.432867) (xy 165.884897 71.702937) (xy 166.047302 71.945995) (xy 166.254005 72.152698)
- (xy 166.497063 72.315103) (xy 166.767133 72.42697) (xy 167.053839 72.484) (xy 167.346161 72.484) (xy 167.632867 72.42697)
- (xy 167.902937 72.315103) (xy 168.145995 72.152698) (xy 168.352698 71.945995) (xy 168.515103 71.702937) (xy 168.62697 71.432867)
- (xy 168.684 71.146161) (xy 168.684 70.853839) (xy 168.62697 70.567133) (xy 168.515103 70.297063) (xy 168.352698 70.054005)
- (xy 168.145995 69.847302) (xy 167.902937 69.684897) (xy 167.632867 69.57303) (xy 167.346161 69.516) (xy 167.053839 69.516)
- (xy 166.767133 69.57303) (xy 166.497063 69.684897) (xy 166.254005 69.847302) (xy 166.047302 70.054005) (xy 165.884897 70.297063)
- (xy 165.77303 70.567133) (xy 165.716 70.853839) (xy 160.294108 70.853839) (xy 161.713948 69.434) (xy 171.390943 69.434)
- (xy 171.566 69.609057) (xy 171.566 69.707519) (xy 171.588443 69.820347) (xy 171.632466 69.926628) (xy 171.696377 70.022278)
- (xy 171.777722 70.103623) (xy 171.873372 70.167534) (xy 171.979653 70.211557) (xy 172.092481 70.234) (xy 172.207519 70.234)
- (xy 172.320347 70.211557) (xy 172.426628 70.167534) (xy 172.522278 70.103623) (xy 172.603623 70.022278) (xy 172.667534 69.926628)
- (xy 172.711557 69.820347) (xy 172.734 69.707519) (xy 172.734 69.592481) (xy 172.711557 69.479653) (xy 172.667534 69.373372)
- (xy 172.603623 69.277722) (xy 172.522278 69.196377) (xy 172.503753 69.183999) (xy 173.542183 69.183999) (xy 173.482466 69.273372)
- (xy 173.438443 69.379653) (xy 173.416 69.492481) (xy 173.416 69.607519) (xy 173.438443 69.720347) (xy 173.482466 69.826628)
- (xy 173.516001 69.876817) (xy 173.516 74.226239) (xy 173.51366 74.25) (xy 173.516 74.273761) (xy 173.516 74.273767)
- (xy 173.518666 74.300835) (xy 173.523004 74.34488) (xy 173.55068 74.436114) (xy 173.595622 74.520197) (xy 173.656105 74.593895)
- (xy 173.67457 74.609049) (xy 175.190956 76.125436) (xy 175.206105 76.143895) (xy 175.279803 76.204378) (xy 175.363885 76.24932)
- (xy 175.455119 76.276996) (xy 175.464751 76.277945) (xy 175.526232 76.284) (xy 175.526238 76.284) (xy 175.549999 76.28634)
- (xy 175.57376 76.284) (xy 182.49691 76.284) (xy 182.520671 76.28634) (xy 182.544432 76.284) (xy 182.544439 76.284)
- (xy 182.615551 76.276996) (xy 182.706786 76.24932) (xy 182.790868 76.204378) (xy 182.864566 76.143895) (xy 182.87972 76.12543)
- (xy 185.452389 73.552761) (xy 186.12628 73.552761) (xy 186.12628 73.667799) (xy 186.148723 73.780627) (xy 186.192746 73.886908)
- (xy 186.256657 73.982558) (xy 186.326281 74.052182) (xy 186.32628 79.541418) (xy 186.324422 79.56028) (xy 186.32628 79.579142)
- (xy 186.32628 79.579144) (xy 186.331836 79.635556) (xy 186.353794 79.70794) (xy 186.353795 79.707941) (xy 186.389451 79.774651)
- (xy 186.40724 79.796327) (xy 186.437437 79.833123) (xy 186.452097 79.845154) (xy 187.366 80.759058) (xy 187.366 80.857519)
- (xy 187.388443 80.970347) (xy 187.432466 81.076628) (xy 187.496377 81.172278) (xy 187.577722 81.253623) (xy 187.673372 81.317534)
- (xy 187.779653 81.361557) (xy 187.892481 81.384) (xy 188.007519 81.384) (xy 188.120347 81.361557) (xy 188.226628 81.317534)
- (xy 188.322278 81.253623) (xy 188.403623 81.172278) (xy 188.467534 81.076628) (xy 188.511557 80.970347) (xy 188.524816 80.903688)
- (xy 193.896 80.903688) (xy 193.896 81.176312) (xy 193.949186 81.443698) (xy 194.053515 81.69557) (xy 194.204977 81.922249)
- (xy 194.397751 82.115023) (xy 194.62443 82.266485) (xy 194.876302 82.370814) (xy 195.143688 82.424) (xy 195.416312 82.424)
- (xy 195.683698 82.370814) (xy 195.93557 82.266485) (xy 196.162249 82.115023) (xy 196.355023 81.922249) (xy 196.506485 81.69557)
- (xy 196.610814 81.443698) (xy 196.664 81.176312) (xy 196.664 80.903688) (xy 213.896 80.903688) (xy 213.896 81.176312)
- (xy 213.949186 81.443698) (xy 214.053515 81.69557) (xy 214.204977 81.922249) (xy 214.397751 82.115023) (xy 214.62443 82.266485)
- (xy 214.876302 82.370814) (xy 215.143688 82.424) (xy 215.416312 82.424) (xy 215.683698 82.370814) (xy 215.93557 82.266485)
- (xy 216.162249 82.115023) (xy 216.355023 81.922249) (xy 216.506485 81.69557) (xy 216.610814 81.443698) (xy 216.664 81.176312)
- (xy 216.664 80.903688) (xy 216.610814 80.636302) (xy 216.506485 80.38443) (xy 216.355023 80.157751) (xy 216.162249 79.964977)
- (xy 215.93557 79.813515) (xy 215.683698 79.709186) (xy 215.416312 79.656) (xy 215.143688 79.656) (xy 214.876302 79.709186)
- (xy 214.62443 79.813515) (xy 214.397751 79.964977) (xy 214.204977 80.157751) (xy 214.053515 80.38443) (xy 213.949186 80.636302)
- (xy 213.896 80.903688) (xy 196.664 80.903688) (xy 196.610814 80.636302) (xy 196.506485 80.38443) (xy 196.355023 80.157751)
- (xy 196.162249 79.964977) (xy 195.93557 79.813515) (xy 195.683698 79.709186) (xy 195.416312 79.656) (xy 195.143688 79.656)
- (xy 194.876302 79.709186) (xy 194.62443 79.813515) (xy 194.397751 79.964977) (xy 194.204977 80.157751) (xy 194.053515 80.38443)
- (xy 193.949186 80.636302) (xy 193.896 80.903688) (xy 188.524816 80.903688) (xy 188.534 80.857519) (xy 188.534 80.742481)
- (xy 188.511557 80.629653) (xy 188.467534 80.523372) (xy 188.403623 80.427722) (xy 188.322278 80.346377) (xy 188.226628 80.282466)
- (xy 188.120347 80.238443) (xy 188.007519 80.216) (xy 187.909058 80.216) (xy 187.09428 79.401223) (xy 187.09428 78.275046)
- (xy 187.916 78.275046) (xy 187.916 78.724954) (xy 188.003773 79.166218) (xy 188.175946 79.58188) (xy 188.425902 79.955965)
- (xy 188.744035 80.274098) (xy 189.11812 80.524054) (xy 189.533782 80.696227) (xy 189.975046 80.784) (xy 190.424954 80.784)
- (xy 190.866218 80.696227) (xy 191.28188 80.524054) (xy 191.655965 80.274098) (xy 191.974098 79.955965) (xy 192.224054 79.58188)
- (xy 192.396227 79.166218) (xy 192.484 78.724954) (xy 192.484 78.275046) (xy 207.916 78.275046) (xy 207.916 78.724954)
- (xy 208.003773 79.166218) (xy 208.175946 79.58188) (xy 208.425902 79.955965) (xy 208.744035 80.274098) (xy 209.11812 80.524054)
- (xy 209.533782 80.696227) (xy 209.975046 80.784) (xy 210.424954 80.784) (xy 210.866218 80.696227) (xy 211.28188 80.524054)
- (xy 211.655965 80.274098) (xy 211.974098 79.955965) (xy 212.224054 79.58188) (xy 212.396227 79.166218) (xy 212.484 78.724954)
- (xy 212.484 78.275046) (xy 212.396227 77.833782) (xy 212.224054 77.41812) (xy 211.974098 77.044035) (xy 211.655965 76.725902)
- (xy 211.28188 76.475946) (xy 210.866218 76.303773) (xy 210.424954 76.216) (xy 209.975046 76.216) (xy 209.533782 76.303773)
- (xy 209.11812 76.475946) (xy 208.744035 76.725902) (xy 208.425902 77.044035) (xy 208.175946 77.41812) (xy 208.003773 77.833782)
- (xy 207.916 78.275046) (xy 192.484 78.275046) (xy 192.396227 77.833782) (xy 192.224054 77.41812) (xy 191.974098 77.044035)
- (xy 191.655965 76.725902) (xy 191.28188 76.475946) (xy 190.866218 76.303773) (xy 190.424954 76.216) (xy 189.975046 76.216)
- (xy 189.533782 76.303773) (xy 189.11812 76.475946) (xy 188.744035 76.725902) (xy 188.425902 77.044035) (xy 188.175946 77.41812)
- (xy 188.003773 77.833782) (xy 187.916 78.275046) (xy 187.09428 78.275046) (xy 187.09428 74.052181) (xy 187.163903 73.982558)
- (xy 187.227814 73.886908) (xy 187.271837 73.780627) (xy 187.29428 73.667799) (xy 187.29428 73.552761) (xy 187.271837 73.439933)
- (xy 187.227814 73.333652) (xy 187.163903 73.238002) (xy 187.082558 73.156657) (xy 186.986908 73.092746) (xy 186.880627 73.048723)
- (xy 186.767799 73.02628) (xy 186.652761 73.02628) (xy 186.539933 73.048723) (xy 186.433652 73.092746) (xy 186.338002 73.156657)
- (xy 186.256657 73.238002) (xy 186.192746 73.333652) (xy 186.148723 73.439933) (xy 186.12628 73.552761) (xy 185.452389 73.552761)
- (xy 186.59115 72.414) (xy 189.665414 72.414) (xy 189.66285 72.415062) (xy 189.477117 72.539165) (xy 189.319165 72.697117)
- (xy 189.195062 72.88285) (xy 189.109579 73.089224) (xy 189.066 73.308311) (xy 189.066 73.531689) (xy 189.109579 73.750776)
- (xy 189.195062 73.95715) (xy 189.319165 74.142883) (xy 189.477117 74.300835) (xy 189.66285 74.424938) (xy 189.869224 74.510421)
- (xy 190.088311 74.554) (xy 190.311689 74.554) (xy 190.313257 74.553688) (xy 191.356 74.553688) (xy 191.356 74.826312)
- (xy 191.409186 75.093698) (xy 191.513515 75.34557) (xy 191.664977 75.572249) (xy 191.857751 75.765023) (xy 192.08443 75.916485)
- (xy 192.336302 76.020814) (xy 192.603688 76.074) (xy 192.876312 76.074) (xy 193.143698 76.020814) (xy 193.39557 75.916485)
- (xy 193.622249 75.765023) (xy 193.789269 75.598003) (xy 197.167573 75.598003) (xy 197.247947 75.895368) (xy 197.446388 76.319439)
- (xy 197.723748 76.696648) (xy 198.069369 77.012498) (xy 198.469968 77.254852) (xy 198.91015 77.414396) (xy 199.373 77.485)
- (xy 199.873 77.485) (xy 199.873 75.227) (xy 200.127 75.227) (xy 200.127 77.485) (xy 200.627 77.485)
- (xy 201.08985 77.414396) (xy 201.530032 77.254852) (xy 201.930631 77.012498) (xy 202.276252 76.696648) (xy 202.553612 76.319439)
- (xy 202.752053 75.895368) (xy 202.832427 75.598003) (xy 202.722625 75.227) (xy 200.127 75.227) (xy 199.873 75.227)
- (xy 197.277375 75.227) (xy 197.167573 75.598003) (xy 193.789269 75.598003) (xy 193.815023 75.572249) (xy 193.966485 75.34557)
- (xy 194.070814 75.093698) (xy 194.124 74.826312) (xy 194.124 74.601997) (xy 197.167573 74.601997) (xy 197.277375 74.973)
- (xy 199.873 74.973) (xy 199.873 72.715) (xy 200.127 72.715) (xy 200.127 74.973) (xy 202.722625 74.973)
- (xy 202.832427 74.601997) (xy 202.752053 74.304632) (xy 202.553612 73.880561) (xy 202.276252 73.503352) (xy 201.930631 73.187502)
- (xy 201.530032 72.945148) (xy 201.08985 72.785604) (xy 200.627 72.715) (xy 200.127 72.715) (xy 199.873 72.715)
- (xy 199.373 72.715) (xy 198.91015 72.785604) (xy 198.469968 72.945148) (xy 198.069369 73.187502) (xy 197.723748 73.503352)
- (xy 197.446388 73.880561) (xy 197.247947 74.304632) (xy 197.167573 74.601997) (xy 194.124 74.601997) (xy 194.124 74.553688)
- (xy 194.070814 74.286302) (xy 193.966485 74.03443) (xy 193.815023 73.807751) (xy 193.622249 73.614977) (xy 193.39557 73.463515)
- (xy 193.143698 73.359186) (xy 192.876312 73.306) (xy 192.603688 73.306) (xy 192.336302 73.359186) (xy 192.08443 73.463515)
- (xy 191.857751 73.614977) (xy 191.664977 73.807751) (xy 191.513515 74.03443) (xy 191.409186 74.286302) (xy 191.356 74.553688)
- (xy 190.313257 74.553688) (xy 190.530776 74.510421) (xy 190.73715 74.424938) (xy 190.922883 74.300835) (xy 191.080835 74.142883)
- (xy 191.204938 73.95715) (xy 191.290421 73.750776) (xy 191.334 73.531689) (xy 191.334 73.308311) (xy 191.290421 73.089224)
- (xy 191.204938 72.88285) (xy 191.080835 72.697117) (xy 190.922883 72.539165) (xy 190.73715 72.415062) (xy 190.530776 72.329579)
- (xy 190.311689 72.286) (xy 190.249145 72.286) (xy 190.263895 72.273895) (xy 190.279049 72.25543) (xy 191.050479 71.484)
- (xy 191.172136 71.484) (xy 191.176921 71.487927) (xy 191.278376 71.542156) (xy 191.38846 71.575549) (xy 191.474262 71.584)
- (xy 191.835632 71.584) (xy 191.884897 71.702937) (xy 192.047302 71.945995) (xy 192.254005 72.152698) (xy 192.497063 72.315103)
- (xy 192.767133 72.42697) (xy 193.053839 72.484) (xy 193.346161 72.484) (xy 193.632867 72.42697) (xy 193.902937 72.315103)
- (xy 194.145995 72.152698) (xy 194.352698 71.945995) (xy 194.515103 71.702937) (xy 194.62697 71.432867) (xy 194.684 71.146161)
- (xy 194.684 70.853839) (xy 194.62697 70.567133) (xy 194.515103 70.297063) (xy 194.352698 70.054005) (xy 194.145995 69.847302)
- (xy 193.902937 69.684897) (xy 193.780061 69.634) (xy 199.266581 69.634) (xy 199.430602 69.798022) (xy 199.439519 69.809418)
- (xy 199.457276 69.824696) (xy 199.460447 69.827867) (xy 199.471598 69.837019) (xy 199.496858 69.858752) (xy 199.500777 69.860966)
- (xy 199.504265 69.863828) (xy 199.533693 69.879557) (xy 199.56272 69.895952) (xy 199.567003 69.897361) (xy 199.570975 69.899484)
- (xy 199.602865 69.909158) (xy 199.616566 69.913665) (xy 199.620944 69.914642) (xy 199.643359 69.921442) (xy 199.655624 69.92265)
- (xy 199.674723 69.928444) (xy 199.707928 69.931714) (xy 199.74102 69.935753) (xy 199.764378 69.934) (xy 201.131138 69.934)
- (xy 201.15 69.935858) (xy 201.168862 69.934) (xy 201.168865 69.934) (xy 201.225277 69.928444) (xy 201.297661 69.906486)
- (xy 201.364371 69.870829) (xy 201.422843 69.822843) (xy 201.434874 69.808183) (xy 202.109058 69.134) (xy 202.207519 69.134)
- (xy 202.320347 69.111557) (xy 202.426628 69.067534) (xy 202.522278 69.003623) (xy 202.603623 68.922278) (xy 202.667534 68.826628)
- (xy 202.676717 68.804459) (xy 203.466001 69.607166) (xy 203.466 70.931137) (xy 203.464142 70.95) (xy 203.466 70.968862)
- (xy 203.466 70.968864) (xy 203.471556 71.025276) (xy 203.493514 71.09766) (xy 203.505534 71.120148) (xy 203.529171 71.164371)
- (xy 203.540864 71.178619) (xy 203.577157 71.222843) (xy 203.591817 71.234874) (xy 205.715131 73.358189) (xy 205.727157 73.372843)
- (xy 205.74181 73.384868) (xy 205.785628 73.420829) (xy 205.821285 73.439887) (xy 205.852339 73.456486) (xy 205.924723 73.478444)
- (xy 205.981135 73.484) (xy 205.981137 73.484) (xy 205.999999 73.485858) (xy 206.018862 73.484) (xy 208.331138 73.484)
- (xy 208.35 73.485858) (xy 208.368862 73.484) (xy 208.368865 73.484) (xy 208.425277 73.478444) (xy 208.497661 73.456486)
- (xy 208.564371 73.420829) (xy 208.622843 73.372843) (xy 208.634874 73.358183) (xy 208.684746 73.308311) (xy 209.066 73.308311)
- (xy 209.066 73.531689) (xy 209.109579 73.750776) (xy 209.195062 73.95715) (xy 209.319165 74.142883) (xy 209.477117 74.300835)
- (xy 209.66285 74.424938) (xy 209.869224 74.510421) (xy 210.088311 74.554) (xy 210.311689 74.554) (xy 210.313257 74.553688)
- (xy 211.356 74.553688) (xy 211.356 74.826312) (xy 211.409186 75.093698) (xy 211.513515 75.34557) (xy 211.664977 75.572249)
- (xy 211.857751 75.765023) (xy 212.08443 75.916485) (xy 212.336302 76.020814) (xy 212.603688 76.074) (xy 212.876312 76.074)
- (xy 213.143698 76.020814) (xy 213.39557 75.916485) (xy 213.622249 75.765023) (xy 213.815023 75.572249) (xy 213.966485 75.34557)
- (xy 214.070814 75.093698) (xy 214.124 74.826312) (xy 214.124 74.553688) (xy 214.070814 74.286302) (xy 213.966485 74.03443)
- (xy 213.815023 73.807751) (xy 213.622249 73.614977) (xy 213.39557 73.463515) (xy 213.143698 73.359186) (xy 212.876312 73.306)
- (xy 212.603688 73.306) (xy 212.336302 73.359186) (xy 212.08443 73.463515) (xy 211.857751 73.614977) (xy 211.664977 73.807751)
- (xy 211.513515 74.03443) (xy 211.409186 74.286302) (xy 211.356 74.553688) (xy 210.313257 74.553688) (xy 210.530776 74.510421)
- (xy 210.73715 74.424938) (xy 210.922883 74.300835) (xy 211.080835 74.142883) (xy 211.204938 73.95715) (xy 211.290421 73.750776)
- (xy 211.334 73.531689) (xy 211.334 73.308311) (xy 211.290421 73.089224) (xy 211.204938 72.88285) (xy 211.080835 72.697117)
- (xy 210.922883 72.539165) (xy 210.73715 72.415062) (xy 210.530776 72.329579) (xy 210.311689 72.286) (xy 210.088311 72.286)
- (xy 209.869224 72.329579) (xy 209.66285 72.415062) (xy 209.477117 72.539165) (xy 209.319165 72.697117) (xy 209.195062 72.88285)
- (xy 209.109579 73.089224) (xy 209.066 73.308311) (xy 208.684746 73.308311) (xy 211.343057 70.65) (xy 213.064626 70.65)
- (xy 213.064626 72.35) (xy 213.070109 72.405674) (xy 213.086349 72.459208) (xy 213.11272 72.508545) (xy 213.14821 72.55179)
- (xy 213.191455 72.58728) (xy 213.240792 72.613651) (xy 213.294326 72.629891) (xy 213.35 72.635374) (xy 215.05 72.635374)
- (xy 215.105674 72.629891) (xy 215.159208 72.613651) (xy 215.208545 72.58728) (xy 215.25179 72.55179) (xy 215.28728 72.508545)
- (xy 215.313651 72.459208) (xy 215.329891 72.405674) (xy 215.335374 72.35) (xy 215.335374 70.65) (xy 215.329891 70.594326)
- (xy 215.313651 70.540792) (xy 215.28728 70.491455) (xy 215.25179 70.44821) (xy 215.208545 70.41272) (xy 215.159208 70.386349)
- (xy 215.105674 70.370109) (xy 215.05 70.364626) (xy 213.35 70.364626) (xy 213.294326 70.370109) (xy 213.240792 70.386349)
- (xy 213.191455 70.41272) (xy 213.14821 70.44821) (xy 213.11272 70.491455) (xy 213.086349 70.540792) (xy 213.070109 70.594326)
- (xy 213.064626 70.65) (xy 211.343057 70.65) (xy 211.708189 70.284869) (xy 211.722843 70.272843) (xy 211.755386 70.233189)
- (xy 211.770829 70.214372) (xy 211.789887 70.178715) (xy 211.806486 70.147661) (xy 211.828444 70.075277) (xy 211.834 70.018865)
- (xy 211.834 70.018863) (xy 211.835858 70.000001) (xy 211.834 69.981138) (xy 211.834 69.329057) (xy 212.314746 68.848311)
- (xy 213.066 68.848311) (xy 213.066 69.071689) (xy 213.109579 69.290776) (xy 213.195062 69.49715) (xy 213.319165 69.682883)
- (xy 213.477117 69.840835) (xy 213.66285 69.964938) (xy 213.869224 70.050421) (xy 214.088311 70.094) (xy 214.311689 70.094)
- (xy 214.530776 70.050421) (xy 214.73715 69.964938) (xy 214.922883 69.840835) (xy 215.080835 69.682883) (xy 215.204938 69.49715)
- (xy 215.290421 69.290776) (xy 215.334 69.071689) (xy 215.334 68.848311) (xy 215.290421 68.629224) (xy 215.204938 68.42285)
- (xy 215.080835 68.237117) (xy 214.922883 68.079165) (xy 214.73715 67.955062) (xy 214.530776 67.869579) (xy 214.311689 67.826)
- (xy 214.088311 67.826) (xy 213.869224 67.869579) (xy 213.66285 67.955062) (xy 213.477117 68.079165) (xy 213.319165 68.237117)
- (xy 213.195062 68.42285) (xy 213.109579 68.629224) (xy 213.066 68.848311) (xy 212.314746 68.848311) (xy 213.716074 67.446984)
- (xy 213.869224 67.510421) (xy 214.088311 67.554) (xy 214.311689 67.554) (xy 214.530776 67.510421) (xy 214.73715 67.424938)
- (xy 214.922883 67.300835) (xy 215.080835 67.142883) (xy 215.204938 66.95715) (xy 215.290421 66.750776) (xy 215.334 66.531689)
- (xy 215.334 66.308311) (xy 215.290421 66.089224) (xy 215.204938 65.88285) (xy 215.080835 65.697117) (xy 214.922883 65.539165)
- (xy 214.73715 65.415062) (xy 214.530776 65.329579) (xy 214.327002 65.289046) (xy 214.327002 65.200815) (xy 214.556891 65.321481)
- (xy 214.831252 65.224157) (xy 215.081355 65.075178) (xy 215.297588 64.880269) (xy 215.471641 64.64692) (xy 215.596825 64.384099)
- (xy 215.641476 64.23689) (xy 215.520155 64.007) (xy 214.327 64.007) (xy 214.327 64.027) (xy 214.073 64.027)
- (xy 214.073 64.007) (xy 212.879845 64.007) (xy 212.758524 64.23689) (xy 212.803175 64.384099) (xy 212.928359 64.64692)
- (xy 213.102412 64.880269) (xy 213.318645 65.075178) (xy 213.568748 65.224157) (xy 213.843109 65.321481) (xy 214.072998 65.200815)
- (xy 214.072998 65.289046) (xy 213.869224 65.329579) (xy 213.66285 65.415062) (xy 213.477117 65.539165) (xy 213.319165 65.697117)
- (xy 213.195062 65.88285) (xy 213.109579 66.089224) (xy 213.066 66.308311) (xy 213.066 66.531689) (xy 213.109579 66.750776)
- (xy 213.173016 66.903926) (xy 211.191817 68.885126) (xy 211.177157 68.897157) (xy 211.150885 68.929171) (xy 211.129171 68.955629)
- (xy 211.117664 68.977158) (xy 211.093514 69.02234) (xy 211.071556 69.094724) (xy 211.067167 69.139287) (xy 211.064142 69.17)
- (xy 211.066 69.188863) (xy 211.066001 69.840941) (xy 208.190943 72.716) (xy 206.159058 72.716) (xy 204.234 70.790943)
- (xy 204.234 69.470484) (xy 204.235844 69.453253) (xy 204.234 69.432763) (xy 204.234 69.431135) (xy 204.232301 69.413885)
- (xy 204.229064 69.377916) (xy 204.228605 69.376355) (xy 204.228444 69.374723) (xy 204.217916 69.340018) (xy 204.207718 69.305349)
- (xy 204.20696 69.303902) (xy 204.206486 69.302339) (xy 204.189433 69.270434) (xy 204.172625 69.238341) (xy 204.171598 69.237068)
- (xy 204.170829 69.235629) (xy 204.147874 69.207658) (xy 204.137035 69.194221) (xy 204.135897 69.193064) (xy 204.122843 69.177157)
- (xy 204.109444 69.166161) (xy 203.828495 68.880434) (xy 205.909876 68.880434) (xy 205.924465 68.953327) (xy 206.043178 69.251988)
- (xy 206.217876 69.521751) (xy 206.441845 69.752248) (xy 206.706479 69.934622) (xy 206.750868 69.95376) (xy 206.587682 70.087682)
- (xy 206.427228 70.283197) (xy 206.308 70.506257) (xy 206.234579 70.748292) (xy 206.209788 71) (xy 206.234579 71.251708)
- (xy 206.308 71.493743) (xy 206.427228 71.716803) (xy 206.587682 71.912318) (xy 206.783197 72.072772) (xy 207.006257 72.192)
- (xy 207.248292 72.265421) (xy 207.436928 72.284) (xy 207.963072 72.284) (xy 208.151708 72.265421) (xy 208.393743 72.192)
- (xy 208.616803 72.072772) (xy 208.812318 71.912318) (xy 208.972772 71.716803) (xy 209.092 71.493743) (xy 209.165421 71.251708)
- (xy 209.190212 71) (xy 209.165421 70.748292) (xy 209.092 70.506257) (xy 208.972772 70.283197) (xy 208.812318 70.087682)
- (xy 208.649132 69.95376) (xy 208.693521 69.934622) (xy 208.958155 69.752248) (xy 209.182124 69.521751) (xy 209.356822 69.251988)
- (xy 209.475535 68.953327) (xy 209.490124 68.880434) (xy 209.370777 68.627) (xy 207.827 68.627) (xy 207.827 68.647)
- (xy 207.573 68.647) (xy 207.573 68.627) (xy 206.029223 68.627) (xy 205.909876 68.880434) (xy 203.828495 68.880434)
- (xy 203.080348 68.119566) (xy 205.909876 68.119566) (xy 206.029223 68.373) (xy 207.573 68.373) (xy 207.573 68.353)
- (xy 207.827 68.353) (xy 207.827 68.373) (xy 209.370777 68.373) (xy 209.490124 68.119566) (xy 209.475535 68.046673)
- (xy 209.356822 67.748012) (xy 209.182124 67.478249) (xy 208.958155 67.247752) (xy 208.693521 67.065378) (xy 208.649132 67.04624)
- (xy 208.812318 66.912318) (xy 208.972772 66.716803) (xy 209.092 66.493743) (xy 209.165421 66.251708) (xy 209.190212 66)
- (xy 209.165421 65.748292) (xy 209.092 65.506257) (xy 208.972772 65.283197) (xy 208.812318 65.087682) (xy 208.616803 64.927228)
- (xy 208.393743 64.808) (xy 208.151708 64.734579) (xy 207.963072 64.716) (xy 207.436928 64.716) (xy 207.248292 64.734579)
- (xy 207.006257 64.808) (xy 206.783197 64.927228) (xy 206.587682 65.087682) (xy 206.427228 65.283197) (xy 206.308 65.506257)
- (xy 206.234579 65.748292) (xy 206.209788 66) (xy 206.234579 66.251708) (xy 206.308 66.493743) (xy 206.427228 66.716803)
- (xy 206.587682 66.912318) (xy 206.750868 67.04624) (xy 206.706479 67.065378) (xy 206.441845 67.247752) (xy 206.217876 67.478249)
- (xy 206.043178 67.748012) (xy 205.924465 68.046673) (xy 205.909876 68.119566) (xy 203.080348 68.119566) (xy 202.703267 67.736074)
- (xy 202.690204 67.720156) (xy 202.662134 67.69712) (xy 202.634429 67.673989) (xy 202.632996 67.673208) (xy 202.631732 67.67217)
- (xy 202.59976 67.655081) (xy 202.568022 67.637771) (xy 202.566465 67.637284) (xy 202.565022 67.636513) (xy 202.530289 67.625977)
- (xy 202.495825 67.615204) (xy 202.494206 67.615031) (xy 202.492638 67.614555) (xy 202.456528 67.610999) (xy 202.420613 67.607155)
- (xy 202.400123 67.608999) (xy 201.511861 67.608999) (xy 201.492998 67.607141) (xy 201.474136 67.608999) (xy 201.474134 67.608999)
- (xy 201.417722 67.614555) (xy 201.345338 67.636513) (xy 201.32448 67.647662) (xy 201.278627 67.67217) (xy 201.254696 67.69181)
- (xy 201.220156 67.720156) (xy 201.208129 67.734811) (xy 201.033942 67.908999) (xy 190.77619 67.908999) (xy 190.757328 67.907141)
- (xy 190.738465 67.908999) (xy 190.738463 67.908999) (xy 190.682051 67.914555) (xy 190.609667 67.936513) (xy 190.58421 67.95012)
- (xy 190.542956 67.97217) (xy 190.523128 67.988443) (xy 190.484485 68.020156) (xy 190.472459 68.03481) (xy 188.641816 69.865454)
- (xy 188.627156 69.877485) (xy 188.600616 69.909825) (xy 188.57917 69.935957) (xy 188.56368 69.964938) (xy 188.558319 69.974968)
- (xy 188.542481 69.974968) (xy 188.429653 69.997411) (xy 188.323372 70.041434) (xy 188.227722 70.105345) (xy 188.146377 70.18669)
- (xy 188.082466 70.28234) (xy 188.038443 70.388621) (xy 188.016 70.501449) (xy 188.016 70.616487) (xy 188.038443 70.729315)
- (xy 188.039546 70.731978) (xy 185.702884 70.731978) (xy 185.684021 70.73012) (xy 185.665159 70.731978) (xy 185.665157 70.731978)
- (xy 185.608745 70.737534) (xy 185.536361 70.759492) (xy 185.507866 70.774723) (xy 185.46965 70.795149) (xy 185.444448 70.815832)
- (xy 185.411179 70.843135) (xy 185.399153 70.857789) (xy 185.190942 71.066) (xy 185.092481 71.066) (xy 184.979653 71.088443)
- (xy 184.873372 71.132466) (xy 184.777722 71.196377) (xy 184.696377 71.277722) (xy 184.632466 71.373372) (xy 184.588443 71.479653)
- (xy 184.566 71.592481) (xy 184.566 71.707519) (xy 184.567489 71.715003) (xy 184.557698 71.71695) (xy 184.451417 71.760973)
- (xy 184.355767 71.824884) (xy 184.274422 71.906229) (xy 184.210511 72.001879) (xy 184.166488 72.10816) (xy 184.144045 72.220988)
- (xy 184.144045 72.336026) (xy 184.166488 72.448854) (xy 184.210511 72.555135) (xy 184.274422 72.650785) (xy 184.355767 72.73213)
- (xy 184.451417 72.796041) (xy 184.557698 72.840064) (xy 184.670526 72.862507) (xy 184.773685 72.862507) (xy 182.320192 75.316)
- (xy 175.75048 75.316) (xy 174.484 74.049521) (xy 174.484 69.876815) (xy 174.517534 69.826628) (xy 174.561557 69.720347)
- (xy 174.584 69.607519) (xy 174.584 69.492481) (xy 174.561557 69.379653) (xy 174.517534 69.273372) (xy 174.457817 69.183999)
- (xy 176.70957 69.183999) (xy 176.847769 69.322199) (xy 176.859796 69.336854) (xy 176.918268 69.38484) (xy 176.984978 69.420497)
- (xy 177.057362 69.442455) (xy 177.113774 69.448011) (xy 177.113776 69.448011) (xy 177.132638 69.449869) (xy 177.1515 69.448011)
- (xy 177.717127 69.448011) (xy 177.735989 69.449869) (xy 177.754851 69.448011) (xy 177.754854 69.448011) (xy 177.811266 69.442455)
- (xy 177.88365 69.420497) (xy 177.95036 69.38484) (xy 178.008832 69.336854) (xy 178.020863 69.322194) (xy 178.259057 69.084)
- (xy 178.357519 69.084) (xy 178.470347 69.061557) (xy 178.576628 69.017534) (xy 178.672278 68.953623) (xy 178.753623 68.872278)
- (xy 178.817534 68.776628) (xy 178.861557 68.670347) (xy 178.884 68.557519) (xy 178.884 68.442481) (xy 178.861557 68.329653)
- (xy 178.817534 68.223372) (xy 178.753623 68.127722) (xy 178.672278 68.046377) (xy 178.576628 67.982466) (xy 178.470347 67.938443)
- (xy 178.357519 67.916) (xy 178.242481 67.916) (xy 178.129653 67.938443) (xy 178.023372 67.982466) (xy 177.927722 68.046377)
- (xy 177.846495 68.127604) (xy 177.805974 68.087083) (xy 178.159058 67.734) (xy 178.257519 67.734) (xy 178.370347 67.711557)
- (xy 178.476628 67.667534) (xy 178.572278 67.603623) (xy 178.653623 67.522278) (xy 178.717534 67.426628) (xy 178.761557 67.320347)
- (xy 178.784 67.207519) (xy 178.784 67.092481) (xy 178.761557 66.979653) (xy 178.717534 66.873372) (xy 178.653623 66.777722)
- (xy 178.572278 66.696377) (xy 178.476628 66.632466) (xy 178.370347 66.588443) (xy 178.257519 66.566) (xy 178.142481 66.566)
- (xy 178.029653 66.588443) (xy 177.923372 66.632466) (xy 177.827722 66.696377) (xy 177.746377 66.777722) (xy 177.682466 66.873372)
- (xy 177.638443 66.979653) (xy 177.616 67.092481) (xy 177.616 67.190942) (xy 177.240943 67.566) (xy 163.733322 67.566)
- (xy 163.359138 67.191817) (xy 163.347107 67.177157) (xy 163.288635 67.129171) (xy 163.221925 67.093514) (xy 163.149541 67.071556)
- (xy 163.093129 67.066) (xy 163.093126 67.066) (xy 163.091767 67.065866) (xy 163.022278 66.996377) (xy 162.926628 66.932466)
- (xy 162.820347 66.888443) (xy 162.707519 66.866) (xy 162.592481 66.866) (xy 162.479653 66.888443) (xy 162.373372 66.932466)
- (xy 162.277722 66.996377) (xy 162.196377 67.077722) (xy 162.132466 67.173372) (xy 162.088443 67.279653) (xy 162.066 67.392481)
- (xy 162.066 67.507519) (xy 162.088443 67.620347) (xy 162.132466 67.726628) (xy 162.196377 67.822278) (xy 162.277722 67.903623)
- (xy 162.350091 67.951978) (xy 161.277996 67.951978) (xy 161.259134 67.95012) (xy 161.240271 67.951978) (xy 161.240269 67.951978)
- (xy 161.183857 67.957534) (xy 161.111473 67.979492) (xy 161.094727 67.988443) (xy 161.044762 68.015149) (xy 161.010317 68.043418)
- (xy 160.986291 68.063135) (xy 160.974265 68.077789) (xy 154.386055 74.666) (xy 148.413946 74.666) (xy 148.209 74.461055)
- (xy 148.209 73.308311) (xy 149.066 73.308311) (xy 149.066 73.531689) (xy 149.109579 73.750776) (xy 149.195062 73.95715)
- (xy 149.319165 74.142883) (xy 149.477117 74.300835) (xy 149.66285 74.424938) (xy 149.869224 74.510421) (xy 150.088311 74.554)
- (xy 150.311689 74.554) (xy 150.530776 74.510421) (xy 150.73715 74.424938) (xy 150.922883 74.300835) (xy 151.080835 74.142883)
- (xy 151.204938 73.95715) (xy 151.290421 73.750776) (xy 151.334 73.531689) (xy 151.334 73.308311) (xy 151.290421 73.089224)
- (xy 151.204938 72.88285) (xy 151.080835 72.697117) (xy 150.922883 72.539165) (xy 150.73715 72.415062) (xy 150.530776 72.329579)
- (xy 150.311689 72.286) (xy 150.088311 72.286) (xy 149.869224 72.329579) (xy 149.66285 72.415062) (xy 149.477117 72.539165)
- (xy 149.319165 72.697117) (xy 149.195062 72.88285) (xy 149.109579 73.089224) (xy 149.066 73.308311) (xy 148.209 73.308311)
- (xy 148.209 72.250869) (xy 148.214508 72.250327) (xy 148.312595 72.220573) (xy 148.402993 72.172254) (xy 148.482228 72.107228)
- (xy 148.547254 72.027993) (xy 148.595573 71.937595) (xy 148.625327 71.839508) (xy 148.635374 71.7375) (xy 148.635374 71.2625)
- (xy 148.625327 71.160492) (xy 148.595573 71.062405) (xy 148.547254 70.972007) (xy 148.509 70.925394) (xy 148.509 70.309919)
- (xy 148.519463 70.329494) (xy 148.598815 70.426185) (xy 148.695506 70.505537) (xy 148.80582 70.564502) (xy 148.925518 70.600812)
- (xy 149.05 70.613072) (xy 149.28925 70.61) (xy 149.447998 70.451252) (xy 149.447998 70.61) (xy 149.497678 70.61)
- (xy 149.368052 70.739626) (xy 149.2875 70.739626) (xy 149.185492 70.749673) (xy 149.087405 70.779427) (xy 148.997007 70.827746)
- (xy 148.917772 70.892772) (xy 148.852746 70.972007) (xy 148.804427 71.062405) (xy 148.774673 71.160492) (xy 148.764626 71.2625)
- (xy 148.764626 71.7375) (xy 148.774673 71.839508) (xy 148.804427 71.937595) (xy 148.852746 72.027993) (xy 148.917772 72.107228)
- (xy 148.997007 72.172254) (xy 149.087405 72.220573) (xy 149.185492 72.250327) (xy 149.2875 72.260374) (xy 149.8625 72.260374)
- (xy 149.964508 72.250327) (xy 150.062595 72.220573) (xy 150.152993 72.172254) (xy 150.232228 72.107228) (xy 150.297254 72.027993)
- (xy 150.345573 71.937595) (xy 150.375327 71.839508) (xy 150.385374 71.7375) (xy 150.385374 71.684) (xy 151.409694 71.684)
- (xy 151.427228 71.716803) (xy 151.587682 71.912318) (xy 151.783197 72.072772) (xy 152.006257 72.192) (xy 152.248292 72.265421)
- (xy 152.436928 72.284) (xy 152.963072 72.284) (xy 153.151708 72.265421) (xy 153.393743 72.192) (xy 153.616803 72.072772)
- (xy 153.812318 71.912318) (xy 153.972772 71.716803) (xy 154.092 71.493743) (xy 154.165421 71.251708) (xy 154.190212 71)
- (xy 154.165421 70.748292) (xy 154.092 70.506257) (xy 153.972772 70.283197) (xy 153.812318 70.087682) (xy 153.649132 69.95376)
- (xy 153.693521 69.934622) (xy 153.958155 69.752248) (xy 154.182124 69.521751) (xy 154.356822 69.251988) (xy 154.475535 68.953327)
- (xy 154.490124 68.880434) (xy 154.370777 68.627) (xy 152.827 68.627) (xy 152.827 68.647) (xy 152.573 68.647)
- (xy 152.573 68.627) (xy 151.029223 68.627) (xy 150.909876 68.880434) (xy 150.924465 68.953327) (xy 151.043178 69.251988)
- (xy 151.217876 69.521751) (xy 151.441845 69.752248) (xy 151.706479 69.934622) (xy 151.750868 69.95376) (xy 151.587682 70.087682)
- (xy 151.427228 70.283197) (xy 151.409694 70.316) (xy 150.63775 70.316) (xy 150.689502 70.21918) (xy 150.725812 70.099482)
- (xy 150.738072 69.975) (xy 150.735 69.78575) (xy 150.57625 69.627) (xy 149.702 69.627) (xy 149.702 69.647)
- (xy 149.448 69.647) (xy 149.448 69.627) (xy 149.428 69.627) (xy 149.428 69.373) (xy 149.448 69.373)
- (xy 149.448 68.54875) (xy 149.39925 68.5) (xy 149.448 68.45125) (xy 149.448 67.627) (xy 149.702 67.627)
- (xy 149.702 68.45125) (xy 149.75075 68.5) (xy 149.702 68.54875) (xy 149.702 69.373) (xy 150.57625 69.373)
- (xy 150.735 69.21425) (xy 150.738072 69.025) (xy 150.725812 68.900518) (xy 150.689502 68.78082) (xy 150.630537 68.670506)
- (xy 150.551185 68.573815) (xy 150.461241 68.5) (xy 150.551185 68.426185) (xy 150.630537 68.329494) (xy 150.689502 68.21918)
- (xy 150.725812 68.099482) (xy 150.738072 67.975) (xy 150.735 67.78575) (xy 150.57625 67.627) (xy 149.702 67.627)
- (xy 149.448 67.627) (xy 149.428 67.627) (xy 149.428 67.373) (xy 149.448 67.373) (xy 149.448 67.353)
- (xy 149.702 67.353) (xy 149.702 67.373) (xy 150.57625 67.373) (xy 150.735 67.21425) (xy 150.738072 67.025)
- (xy 150.725812 66.900518) (xy 150.689502 66.78082) (xy 150.63775 66.684) (xy 151.409694 66.684) (xy 151.427228 66.716803)
- (xy 151.587682 66.912318) (xy 151.750868 67.04624) (xy 151.706479 67.065378) (xy 151.441845 67.247752) (xy 151.217876 67.478249)
- (xy 151.043178 67.748012) (xy 150.924465 68.046673) (xy 150.909876 68.119566) (xy 151.029223 68.373) (xy 152.573 68.373)
- (xy 152.573 68.353) (xy 152.827 68.353) (xy 152.827 68.373) (xy 154.370777 68.373) (xy 154.490124 68.119566)
- (xy 154.475535 68.046673) (xy 154.356822 67.748012) (xy 154.182124 67.478249) (xy 153.958155 67.247752) (xy 153.693521 67.065378)
- (xy 153.649132 67.04624) (xy 153.812318 66.912318) (xy 153.972772 66.716803) (xy 154.092 66.493743) (xy 154.165421 66.251708)
- (xy 154.190212 66) (xy 154.175817 65.853839) (xy 165.716 65.853839) (xy 165.716 66.146161) (xy 165.77303 66.432867)
- (xy 165.884897 66.702937) (xy 166.047302 66.945995) (xy 166.254005 67.152698) (xy 166.497063 67.315103) (xy 166.767133 67.42697)
- (xy 167.053839 67.484) (xy 167.346161 67.484) (xy 167.632867 67.42697) (xy 167.902937 67.315103) (xy 168.145995 67.152698)
- (xy 168.352698 66.945995) (xy 168.515103 66.702937) (xy 168.62697 66.432867) (xy 168.684 66.146161) (xy 168.684 65.853839)
- (xy 168.62697 65.567133) (xy 168.515103 65.297063) (xy 168.352698 65.054005) (xy 168.145995 64.847302) (xy 167.902937 64.684897)
- (xy 167.632867 64.57303) (xy 167.346161 64.516) (xy 167.053839 64.516) (xy 166.767133 64.57303) (xy 166.497063 64.684897)
- (xy 166.254005 64.847302) (xy 166.047302 65.054005) (xy 165.884897 65.297063) (xy 165.77303 65.567133) (xy 165.716 65.853839)
- (xy 154.175817 65.853839) (xy 154.165421 65.748292) (xy 154.092 65.506257) (xy 153.972772 65.283197) (xy 153.812318 65.087682)
- (xy 153.616803 64.927228) (xy 153.393743 64.808) (xy 153.151708 64.734579) (xy 152.963072 64.716) (xy 152.436928 64.716)
- (xy 152.248292 64.734579) (xy 152.006257 64.808) (xy 151.783197 64.927228) (xy 151.587682 65.087682) (xy 151.427228 65.283197)
- (xy 151.409694 65.316) (xy 150.385374 65.316) (xy 150.385374 65.2625) (xy 150.375327 65.160492) (xy 150.345573 65.062405)
- (xy 150.297254 64.972007) (xy 150.232228 64.892772) (xy 150.152993 64.827746) (xy 150.062595 64.779427) (xy 149.964508 64.749673)
- (xy 149.8625 64.739626) (xy 149.2875 64.739626) (xy 149.185492 64.749673) (xy 149.087405 64.779427) (xy 148.997007 64.827746)
- (xy 148.917772 64.892772) (xy 148.852746 64.972007) (xy 148.804427 65.062405) (xy 148.774673 65.160492) (xy 148.764626 65.2625)
- (xy 148.764626 65.7375) (xy 148.774673 65.839508) (xy 148.804427 65.937595) (xy 148.852746 66.027993) (xy 148.917772 66.107228)
- (xy 148.997007 66.172254) (xy 149.087405 66.220573) (xy 149.185492 66.250327) (xy 149.2875 66.260374) (xy 149.368052 66.260374)
- (xy 149.497678 66.39) (xy 149.447998 66.39) (xy 149.447998 66.548748) (xy 149.28925 66.39) (xy 149.05 66.386928)
- (xy 148.925518 66.399188) (xy 148.80582 66.435498) (xy 148.695506 66.494463) (xy 148.598815 66.573815) (xy 148.519463 66.670506)
- (xy 148.509 66.690081) (xy 148.509 66.074606) (xy 148.547254 66.027993) (xy 148.595573 65.937595) (xy 148.625327 65.839508)
- (xy 148.635374 65.7375) (xy 148.635374 65.2625) (xy 148.625327 65.160492) (xy 148.595573 65.062405) (xy 148.547254 64.972007)
- (xy 148.482228 64.892772) (xy 148.402993 64.827746) (xy 148.312595 64.779427) (xy 148.214508 64.749673) (xy 148.1125 64.739626)
- (xy 147.5375 64.739626) (xy 147.435492 64.749673) (xy 147.337405 64.779427) (xy 147.247007 64.827746) (xy 147.167772 64.892772)
- (xy 147.102746 64.972007) (xy 147.054427 65.062405) (xy 147.024673 65.160492) (xy 147.014626 65.2625) (xy 147.014626 65.7375)
- (xy 147.024673 65.839508) (xy 147.054427 65.937595) (xy 147.102746 66.027993) (xy 147.141 66.074606) (xy 147.141001 66.816)
- (xy 146.431018 66.816) (xy 146.362595 66.779427) (xy 146.264508 66.749673) (xy 146.1625 66.739626) (xy 145.5875 66.739626)
- (xy 145.485492 66.749673) (xy 145.387405 66.779427) (xy 145.297007 66.827746) (xy 145.217772 66.892772) (xy 145.152746 66.972007)
- (xy 145.104427 67.062405) (xy 145.074673 67.160492) (xy 145.066873 67.239689) (xy 145.06513 67.241812) (xy 144.935374 67.371568)
- (xy 144.935374 67.2625) (xy 144.925327 67.160492) (xy 144.895573 67.062405) (xy 144.847254 66.972007) (xy 144.782228 66.892772)
- (xy 144.702993 66.827746) (xy 144.612595 66.779427) (xy 144.514508 66.749673) (xy 144.4125 66.739626) (xy 143.8375 66.739626)
- (xy 143.735492 66.749673) (xy 143.637405 66.779427) (xy 143.547007 66.827746) (xy 143.467772 66.892772) (xy 143.402746 66.972007)
- (xy 143.354427 67.062405) (xy 143.324673 67.160492) (xy 143.314626 67.2625) (xy 135.509 67.2625) (xy 135.509 62.173688)
- (xy 146.276 62.173688) (xy 146.276 62.446312) (xy 146.329186 62.713698) (xy 146.433515 62.96557) (xy 146.584977 63.192249)
- (xy 146.777751 63.385023) (xy 147.00443 63.536485) (xy 147.256302 63.640814) (xy 147.523688 63.694) (xy 147.796312 63.694)
- (xy 148.063698 63.640814) (xy 148.31557 63.536485) (xy 148.417599 63.468311) (xy 149.066 63.468311) (xy 149.066 63.691689)
- (xy 149.109579 63.910776) (xy 149.195062 64.11715) (xy 149.319165 64.302883) (xy 149.477117 64.460835) (xy 149.66285 64.584938)
- (xy 149.869224 64.670421) (xy 150.088311 64.714) (xy 150.311689 64.714) (xy 150.530776 64.670421) (xy 150.73715 64.584938)
- (xy 150.922883 64.460835) (xy 151.080835 64.302883) (xy 151.204938 64.11715) (xy 151.290421 63.910776) (xy 151.334 63.691689)
- (xy 151.334 63.468311) (xy 151.290421 63.249224) (xy 151.204938 63.04285) (xy 151.080835 62.857117) (xy 150.922883 62.699165)
- (xy 150.73715 62.575062) (xy 150.530776 62.489579) (xy 150.311689 62.446) (xy 150.088311 62.446) (xy 149.869224 62.489579)
- (xy 149.66285 62.575062) (xy 149.477117 62.699165) (xy 149.319165 62.857117) (xy 149.195062 63.04285) (xy 149.109579 63.249224)
- (xy 149.066 63.468311) (xy 148.417599 63.468311) (xy 148.542249 63.385023) (xy 148.735023 63.192249) (xy 148.886485 62.96557)
- (xy 148.990814 62.713698) (xy 149.044 62.446312) (xy 149.044 62.398003) (xy 157.567573 62.398003) (xy 157.647947 62.695368)
- (xy 157.846388 63.119439) (xy 158.123748 63.496648) (xy 158.469369 63.812498) (xy 158.869968 64.054852) (xy 159.31015 64.214396)
- (xy 159.773 64.285) (xy 160.273 64.285) (xy 160.273 62.027) (xy 160.527 62.027) (xy 160.527 64.285)
- (xy 161.027 64.285) (xy 161.48985 64.214396) (xy 161.930032 64.054852) (xy 162.330631 63.812498) (xy 162.676252 63.496648)
- (xy 162.953612 63.119439) (xy 163.152053 62.695368) (xy 163.232427 62.398003) (xy 163.166039 62.173688) (xy 166.276 62.173688)
- (xy 166.276 62.446312) (xy 166.329186 62.713698) (xy 166.433515 62.96557) (xy 166.584977 63.192249) (xy 166.777751 63.385023)
- (xy 167.00443 63.536485) (xy 167.256302 63.640814) (xy 167.523688 63.694) (xy 167.796312 63.694) (xy 168.063698 63.640814)
- (xy 168.31557 63.536485) (xy 168.417599 63.468311) (xy 169.066 63.468311) (xy 169.066 63.691689) (xy 169.109579 63.910776)
- (xy 169.195062 64.11715) (xy 169.319165 64.302883) (xy 169.477117 64.460835) (xy 169.66285 64.584938) (xy 169.869224 64.670421)
- (xy 170.088311 64.714) (xy 170.311689 64.714) (xy 170.530776 64.670421) (xy 170.73715 64.584938) (xy 170.922883 64.460835)
- (xy 171.080835 64.302883) (xy 171.204938 64.11715) (xy 171.290421 63.910776) (xy 171.334 63.691689) (xy 171.334 63.468311)
- (xy 171.290421 63.249224) (xy 171.204938 63.04285) (xy 171.080835 62.857117) (xy 170.922883 62.699165) (xy 170.752729 62.585471)
- (xy 176.116 62.585471) (xy 176.116 62.700509) (xy 176.138443 62.813337) (xy 176.182466 62.919618) (xy 176.246377 63.015268)
- (xy 176.327722 63.096613) (xy 176.423372 63.160524) (xy 176.529653 63.204547) (xy 176.642481 63.22699) (xy 176.757519 63.22699)
- (xy 176.870347 63.204547) (xy 176.976628 63.160524) (xy 177.072278 63.096613) (xy 177.141901 63.02699) (xy 178.299499 63.02699)
- (xy 178.318361 63.028848) (xy 178.337223 63.02699) (xy 178.337226 63.02699) (xy 178.393638 63.021434) (xy 178.466022 62.999476)
- (xy 178.532732 62.963819) (xy 178.591204 62.915833) (xy 178.603235 62.901174) (xy 178.660088 62.84432) (xy 178.75855 62.84432)
- (xy 178.871378 62.821877) (xy 178.977659 62.777854) (xy 179.073309 62.713943) (xy 179.154654 62.632598) (xy 179.218565 62.536948)
- (xy 179.262588 62.430667) (xy 179.285031 62.317839) (xy 179.285031 62.202801) (xy 179.262588 62.089973) (xy 179.218565 61.983692)
- (xy 179.154654 61.888042) (xy 179.073309 61.806697) (xy 178.977659 61.742786) (xy 178.902607 61.711698) (xy 178.996168 61.730309)
- (xy 179.111206 61.730309) (xy 179.224034 61.707866) (xy 179.28115 61.684208) (xy 179.685521 62.088578) (xy 179.646377 62.127722)
- (xy 179.582466 62.223372) (xy 179.538443 62.329653) (xy 179.516 62.442481) (xy 179.516 62.557519) (xy 179.538443 62.670347)
- (xy 179.582466 62.776628) (xy 179.646377 62.872278) (xy 179.727722 62.953623) (xy 179.823372 63.017534) (xy 179.929653 63.061557)
- (xy 180.042481 63.084) (xy 180.157519 63.084) (xy 180.270347 63.061557) (xy 180.376628 63.017534) (xy 180.472278 62.953623)
- (xy 180.553623 62.872278) (xy 180.617534 62.776628) (xy 180.661557 62.670347) (xy 180.684 62.557519) (xy 180.684 62.531947)
- (xy 182.710241 64.558188) (xy 182.722267 64.572842) (xy 182.780739 64.620828) (xy 182.847449 64.656485) (xy 182.919833 64.678443)
- (xy 182.976245 64.683999) (xy 182.976254 64.683999) (xy 182.995109 64.685856) (xy 183.013964 64.683999) (xy 183.781139 64.683999)
- (xy 183.800001 64.685857) (xy 183.818863 64.683999) (xy 183.818866 64.683999) (xy 183.875278 64.678443) (xy 183.947662 64.656485)
- (xy 184.014372 64.620828) (xy 184.059248 64.584) (xy 184.157519 64.584) (xy 184.270347 64.561557) (xy 184.376628 64.517534)
- (xy 184.414122 64.492481) (xy 186.766 64.492481) (xy 186.766 64.607519) (xy 186.788443 64.720347) (xy 186.832466 64.826628)
- (xy 186.896377 64.922278) (xy 186.977722 65.003623) (xy 187.073372 65.067534) (xy 187.179653 65.111557) (xy 187.292481 65.134)
- (xy 187.407519 65.134) (xy 187.520347 65.111557) (xy 187.626628 65.067534) (xy 187.722278 65.003623) (xy 187.791901 64.934)
- (xy 187.840943 64.934) (xy 188.79777 65.890828) (xy 188.809796 65.905482) (xy 188.824449 65.917507) (xy 188.868267 65.953468)
- (xy 188.903924 65.972526) (xy 188.934978 65.989125) (xy 189.007362 66.011083) (xy 189.063774 66.016639) (xy 189.063776 66.016639)
- (xy 189.082639 66.018497) (xy 189.101501 66.016639) (xy 190.498499 66.016639) (xy 190.517361 66.018497) (xy 190.536223 66.016639)
- (xy 190.536226 66.016639) (xy 190.592638 66.011083) (xy 190.665022 65.989125) (xy 190.731732 65.953468) (xy 190.790204 65.905482)
- (xy 190.802235 65.890822) (xy 190.839218 65.853839) (xy 191.716 65.853839) (xy 191.716 66.146161) (xy 191.77303 66.432867)
- (xy 191.884897 66.702937) (xy 192.047302 66.945995) (xy 192.254005 67.152698) (xy 192.497063 67.315103) (xy 192.767133 67.42697)
- (xy 193.053839 67.484) (xy 193.346161 67.484) (xy 193.632867 67.42697) (xy 193.902937 67.315103) (xy 194.145995 67.152698)
- (xy 194.352698 66.945995) (xy 194.515103 66.702937) (xy 194.62697 66.432867) (xy 194.684 66.146161) (xy 194.684 65.853839)
- (xy 194.62697 65.567133) (xy 194.515103 65.297063) (xy 194.352698 65.054005) (xy 194.145995 64.847302) (xy 193.902937 64.684897)
- (xy 193.632867 64.57303) (xy 193.346161 64.516) (xy 193.053839 64.516) (xy 192.767133 64.57303) (xy 192.497063 64.684897)
- (xy 192.254005 64.847302) (xy 192.047302 65.054005) (xy 191.884897 65.297063) (xy 191.77303 65.567133) (xy 191.716 65.853839)
- (xy 190.839218 65.853839) (xy 192.659058 64.034) (xy 194.490943 64.034) (xy 195.25813 64.801188) (xy 195.270156 64.815842)
- (xy 195.328628 64.863828) (xy 195.395338 64.899485) (xy 195.467722 64.921443) (xy 195.524134 64.926999) (xy 195.524136 64.926999)
- (xy 195.542998 64.928857) (xy 195.56186 64.926999) (xy 196.873942 64.926999) (xy 197.645131 65.698189) (xy 197.657157 65.712843)
- (xy 197.67181 65.724868) (xy 197.715628 65.760829) (xy 197.751285 65.779887) (xy 197.782339 65.796486) (xy 197.854723 65.818444)
- (xy 197.911135 65.824) (xy 197.911137 65.824) (xy 197.93 65.825858) (xy 197.948862 65.824) (xy 201.341138 65.824)
- (xy 201.36 65.825858) (xy 201.378862 65.824) (xy 201.378865 65.824) (xy 201.435277 65.818444) (xy 201.507661 65.796486)
- (xy 201.574371 65.760829) (xy 201.632843 65.712843) (xy 201.644874 65.698183) (xy 202.009058 65.334) (xy 202.107519 65.334)
- (xy 202.220347 65.311557) (xy 202.326628 65.267534) (xy 202.422278 65.203623) (xy 202.503623 65.122278) (xy 202.567534 65.026628)
- (xy 202.611557 64.920347) (xy 202.634 64.807519) (xy 202.634 64.692481) (xy 202.611557 64.579653) (xy 202.567534 64.473372)
- (xy 202.503623 64.377722) (xy 202.422278 64.296377) (xy 202.326628 64.232466) (xy 202.220347 64.188443) (xy 202.107519 64.166)
- (xy 201.992481 64.166) (xy 201.879653 64.188443) (xy 201.773372 64.232466) (xy 201.677722 64.296377) (xy 201.596377 64.377722)
- (xy 201.532466 64.473372) (xy 201.488443 64.579653) (xy 201.466 64.692481) (xy 201.466 64.790942) (xy 201.200943 65.056)
- (xy 198.089058 65.056) (xy 197.317873 64.284816) (xy 197.305842 64.270156) (xy 197.24737 64.22217) (xy 197.18066 64.186513)
- (xy 197.108276 64.164555) (xy 197.051864 64.158999) (xy 197.051861 64.158999) (xy 197.032999 64.157141) (xy 197.014137 64.158999)
- (xy 195.702057 64.158999) (xy 194.934874 63.391817) (xy 194.922843 63.377157) (xy 194.864371 63.329171) (xy 194.797661 63.293514)
- (xy 194.725277 63.271556) (xy 194.668865 63.266) (xy 194.668862 63.266) (xy 194.65 63.264142) (xy 194.631138 63.266)
- (xy 192.518862 63.266) (xy 192.5 63.264142) (xy 192.481137 63.266) (xy 192.481135 63.266) (xy 192.424723 63.271556)
- (xy 192.352339 63.293514) (xy 192.321285 63.310113) (xy 192.285628 63.329171) (xy 192.251183 63.35744) (xy 192.227157 63.377157)
- (xy 192.215131 63.391811) (xy 190.358304 65.248639) (xy 189.241697 65.248639) (xy 188.284874 64.291817) (xy 188.272843 64.277157)
- (xy 188.214371 64.229171) (xy 188.147661 64.193514) (xy 188.075277 64.171556) (xy 188.018865 64.166) (xy 188.018862 64.166)
- (xy 188 64.164142) (xy 187.981138 64.166) (xy 187.791901 64.166) (xy 187.722278 64.096377) (xy 187.626628 64.032466)
- (xy 187.520347 63.988443) (xy 187.407519 63.966) (xy 187.292481 63.966) (xy 187.179653 63.988443) (xy 187.073372 64.032466)
- (xy 186.977722 64.096377) (xy 186.896377 64.177722) (xy 186.832466 64.273372) (xy 186.788443 64.379653) (xy 186.766 64.492481)
- (xy 184.414122 64.492481) (xy 184.472278 64.453623) (xy 184.553623 64.372278) (xy 184.617534 64.276628) (xy 184.661557 64.170347)
- (xy 184.684 64.057519) (xy 184.684 63.942481) (xy 184.661557 63.829653) (xy 184.617534 63.723372) (xy 184.553623 63.627722)
- (xy 184.472278 63.546377) (xy 184.447295 63.529684) (xy 184.476628 63.517534) (xy 184.550295 63.468311) (xy 189.066 63.468311)
- (xy 189.066 63.691689) (xy 189.109579 63.910776) (xy 189.195062 64.11715) (xy 189.319165 64.302883) (xy 189.477117 64.460835)
- (xy 189.66285 64.584938) (xy 189.869224 64.670421) (xy 190.088311 64.714) (xy 190.311689 64.714) (xy 190.530776 64.670421)
- (xy 190.73715 64.584938) (xy 190.922883 64.460835) (xy 191.080835 64.302883) (xy 191.204938 64.11715) (xy 191.290421 63.910776)
- (xy 191.334 63.691689) (xy 191.334 63.468311) (xy 191.290421 63.249224) (xy 191.204938 63.04285) (xy 191.080835 62.857117)
- (xy 190.922883 62.699165) (xy 190.73715 62.575062) (xy 190.530776 62.489579) (xy 190.311689 62.446) (xy 190.088311 62.446)
- (xy 189.869224 62.489579) (xy 189.66285 62.575062) (xy 189.477117 62.699165) (xy 189.319165 62.857117) (xy 189.195062 63.04285)
- (xy 189.109579 63.249224) (xy 189.066 63.468311) (xy 184.550295 63.468311) (xy 184.572278 63.453623) (xy 184.653623 63.372278)
- (xy 184.717534 63.276628) (xy 184.761557 63.170347) (xy 184.784 63.057519) (xy 184.784 62.942481) (xy 184.761557 62.829653)
- (xy 184.717534 62.723372) (xy 184.653623 62.627722) (xy 184.572278 62.546377) (xy 184.476628 62.482466) (xy 184.370347 62.438443)
- (xy 184.257519 62.416) (xy 184.142481 62.416) (xy 184.029653 62.438443) (xy 183.923372 62.482466) (xy 183.827722 62.546377)
- (xy 183.746377 62.627722) (xy 183.682466 62.723372) (xy 183.655741 62.787892) (xy 183.653623 62.784722) (xy 183.572278 62.703377)
- (xy 183.484 62.644392) (xy 183.484 62.241901) (xy 183.553623 62.172278) (xy 183.617534 62.076628) (xy 183.661557 61.970347)
- (xy 183.684 61.857519) (xy 183.684 61.8) (xy 188.013175 61.8) (xy 188.016 61.828682) (xy 188.016 61.857519)
- (xy 188.021626 61.885803) (xy 188.024451 61.914484) (xy 188.032817 61.942064) (xy 188.038443 61.970347) (xy 188.049477 61.996986)
- (xy 188.057844 62.024568) (xy 188.071432 62.049988) (xy 188.082466 62.076628) (xy 188.098486 62.100604) (xy 188.112073 62.126023)
- (xy 188.130356 62.148301) (xy 188.146377 62.172278) (xy 188.166768 62.192669) (xy 188.185052 62.214948) (xy 188.20733 62.233231)
- (xy 188.227722 62.253623) (xy 188.251699 62.269644) (xy 188.273977 62.287927) (xy 188.299396 62.301514) (xy 188.323372 62.317534)
- (xy 188.350012 62.328568) (xy 188.375432 62.342156) (xy 188.403014 62.350523) (xy 188.429653 62.361557) (xy 188.457936 62.367183)
- (xy 188.485516 62.375549) (xy 188.514197 62.378374) (xy 188.542481 62.384) (xy 191.951318 62.384) (xy 191.98 62.386825)
- (xy 192.008682 62.384) (xy 192.094484 62.375549) (xy 192.204568 62.342156) (xy 192.306023 62.287927) (xy 192.394948 62.214948)
- (xy 192.413236 62.192664) (xy 193.944118 60.661783) (xy 193.896 60.903688) (xy 193.896 61.176312) (xy 193.949186 61.443698)
- (xy 194.053515 61.69557) (xy 194.204977 61.922249) (xy 194.397751 62.115023) (xy 194.62443 62.266485) (xy 194.876302 62.370814)
- (xy 195.143688 62.424) (xy 195.416312 62.424) (xy 195.547008 62.398003) (xy 197.167573 62.398003) (xy 197.247947 62.695368)
- (xy 197.446388 63.119439) (xy 197.723748 63.496648) (xy 198.069369 63.812498) (xy 198.469968 64.054852) (xy 198.91015 64.214396)
- (xy 199.373 64.285) (xy 199.873 64.285) (xy 199.873 62.027) (xy 200.127 62.027) (xy 200.127 64.285)
- (xy 200.627 64.285) (xy 201.08985 64.214396) (xy 201.530032 64.054852) (xy 201.930631 63.812498) (xy 202.276252 63.496648)
- (xy 202.297088 63.468311) (xy 209.066 63.468311) (xy 209.066 63.691689) (xy 209.109579 63.910776) (xy 209.195062 64.11715)
- (xy 209.319165 64.302883) (xy 209.477117 64.460835) (xy 209.66285 64.584938) (xy 209.869224 64.670421) (xy 210.088311 64.714)
- (xy 210.311689 64.714) (xy 210.530776 64.670421) (xy 210.73715 64.584938) (xy 210.922883 64.460835) (xy 211.080835 64.302883)
- (xy 211.204938 64.11715) (xy 211.290421 63.910776) (xy 211.334 63.691689) (xy 211.334 63.52311) (xy 212.758524 63.52311)
- (xy 212.879845 63.753) (xy 214.073 63.753) (xy 214.073 62.559186) (xy 214.327 62.559186) (xy 214.327 63.753)
- (xy 215.520155 63.753) (xy 215.641476 63.52311) (xy 215.596825 63.375901) (xy 215.471641 63.11308) (xy 215.297588 62.879731)
- (xy 215.081355 62.684822) (xy 214.831252 62.535843) (xy 214.556891 62.438519) (xy 214.327 62.559186) (xy 214.073 62.559186)
- (xy 213.843109 62.438519) (xy 213.568748 62.535843) (xy 213.318645 62.684822) (xy 213.102412 62.879731) (xy 212.928359 63.11308)
- (xy 212.803175 63.375901) (xy 212.758524 63.52311) (xy 211.334 63.52311) (xy 211.334 63.468311) (xy 211.290421 63.249224)
- (xy 211.204938 63.04285) (xy 211.080835 62.857117) (xy 210.922883 62.699165) (xy 210.73715 62.575062) (xy 210.530776 62.489579)
- (xy 210.311689 62.446) (xy 210.088311 62.446) (xy 209.869224 62.489579) (xy 209.66285 62.575062) (xy 209.477117 62.699165)
- (xy 209.319165 62.857117) (xy 209.195062 63.04285) (xy 209.109579 63.249224) (xy 209.066 63.468311) (xy 202.297088 63.468311)
- (xy 202.553612 63.119439) (xy 202.752053 62.695368) (xy 202.832427 62.398003) (xy 202.722625 62.027) (xy 200.127 62.027)
- (xy 199.873 62.027) (xy 197.277375 62.027) (xy 197.167573 62.398003) (xy 195.547008 62.398003) (xy 195.683698 62.370814)
- (xy 195.93557 62.266485) (xy 196.162249 62.115023) (xy 196.355023 61.922249) (xy 196.506485 61.69557) (xy 196.610814 61.443698)
- (xy 196.619108 61.401997) (xy 197.167573 61.401997) (xy 197.277375 61.773) (xy 199.873 61.773) (xy 199.873 59.515)
- (xy 200.127 59.515) (xy 200.127 61.773) (xy 202.722625 61.773) (xy 202.832427 61.401997) (xy 202.752053 61.104632)
- (xy 202.658023 60.903688) (xy 213.896 60.903688) (xy 213.896 61.176312) (xy 213.949186 61.443698) (xy 214.053515 61.69557)
- (xy 214.204977 61.922249) (xy 214.397751 62.115023) (xy 214.62443 62.266485) (xy 214.876302 62.370814) (xy 215.143688 62.424)
- (xy 215.416312 62.424) (xy 215.683698 62.370814) (xy 215.93557 62.266485) (xy 216.162249 62.115023) (xy 216.355023 61.922249)
- (xy 216.506485 61.69557) (xy 216.610814 61.443698) (xy 216.664 61.176312) (xy 216.664 60.903688) (xy 216.610814 60.636302)
- (xy 216.506485 60.38443) (xy 216.355023 60.157751) (xy 216.162249 59.964977) (xy 215.93557 59.813515) (xy 215.683698 59.709186)
- (xy 215.416312 59.656) (xy 215.143688 59.656) (xy 214.876302 59.709186) (xy 214.62443 59.813515) (xy 214.397751 59.964977)
- (xy 214.204977 60.157751) (xy 214.053515 60.38443) (xy 213.949186 60.636302) (xy 213.896 60.903688) (xy 202.658023 60.903688)
- (xy 202.553612 60.680561) (xy 202.276252 60.303352) (xy 201.930631 59.987502) (xy 201.530032 59.745148) (xy 201.08985 59.585604)
- (xy 200.627 59.515) (xy 200.127 59.515) (xy 199.873 59.515) (xy 199.373 59.515) (xy 198.91015 59.585604)
- (xy 198.469968 59.745148) (xy 198.069369 59.987502) (xy 197.723748 60.303352) (xy 197.446388 60.680561) (xy 197.247947 61.104632)
- (xy 197.167573 61.401997) (xy 196.619108 61.401997) (xy 196.664 61.176312) (xy 196.664 60.903688) (xy 196.610814 60.636302)
- (xy 196.506485 60.38443) (xy 196.355023 60.157751) (xy 196.162249 59.964977) (xy 195.93557 59.813515) (xy 195.683698 59.709186)
- (xy 195.416312 59.656) (xy 195.143688 59.656) (xy 194.901783 59.704118) (xy 195.621901 58.984) (xy 196.558101 58.984)
- (xy 196.70676 59.132659) (xy 196.725052 59.154948) (xy 196.813977 59.227927) (xy 196.864705 59.255042) (xy 196.915431 59.282156)
- (xy 197.025516 59.315549) (xy 197.14 59.326825) (xy 197.168682 59.324) (xy 202.56532 59.324) (xy 202.594002 59.326825)
- (xy 202.622684 59.324) (xy 202.708486 59.315549) (xy 202.81857 59.282156) (xy 202.920025 59.227927) (xy 203.00895 59.154948)
- (xy 203.027239 59.132663) (xy 203.15266 59.007241) (xy 203.174948 58.98895) (xy 203.247927 58.900025) (xy 203.302156 58.79857)
- (xy 203.335549 58.688486) (xy 203.344 58.602684) (xy 203.344 58.602683) (xy 203.346825 58.574002) (xy 203.344 58.54532)
- (xy 203.344 58.275046) (xy 207.916 58.275046) (xy 207.916 58.724954) (xy 208.003773 59.166218) (xy 208.175946 59.58188)
- (xy 208.425902 59.955965) (xy 208.744035 60.274098) (xy 209.11812 60.524054) (xy 209.533782 60.696227) (xy 209.975046 60.784)
- (xy 210.424954 60.784) (xy 210.866218 60.696227) (xy 211.28188 60.524054) (xy 211.655965 60.274098) (xy 211.974098 59.955965)
- (xy 212.224054 59.58188) (xy 212.396227 59.166218) (xy 212.484 58.724954) (xy 212.484 58.275046) (xy 212.396227 57.833782)
- (xy 212.224054 57.41812) (xy 211.974098 57.044035) (xy 211.655965 56.725902) (xy 211.28188 56.475946) (xy 210.866218 56.303773)
- (xy 210.424954 56.216) (xy 209.975046 56.216) (xy 209.533782 56.303773) (xy 209.11812 56.475946) (xy 208.744035 56.725902)
- (xy 208.425902 57.044035) (xy 208.175946 57.41812) (xy 208.003773 57.833782) (xy 207.916 58.275046) (xy 203.344 58.275046)
- (xy 203.344 57.801155) (xy 203.344544 57.793662) (xy 203.344 57.772507) (xy 203.344 57.751318) (xy 203.343263 57.743831)
- (xy 203.341001 57.655883) (xy 203.341001 53.308311) (xy 209.066 53.308311) (xy 209.066 53.531689) (xy 209.109579 53.750776)
- (xy 209.195062 53.95715) (xy 209.319165 54.142883) (xy 209.477117 54.300835) (xy 209.66285 54.424938) (xy 209.869224 54.510421)
- (xy 210.088311 54.554) (xy 210.311689 54.554) (xy 210.530776 54.510421) (xy 210.73715 54.424938) (xy 210.922883 54.300835)
- (xy 211.080835 54.142883) (xy 211.204938 53.95715) (xy 211.290421 53.750776) (xy 211.334 53.531689) (xy 211.334 53.308311)
- (xy 211.290421 53.089224) (xy 211.204938 52.88285) (xy 211.080835 52.697117) (xy 210.922883 52.539165) (xy 210.73715 52.415062)
- (xy 210.530776 52.329579) (xy 210.311689 52.286) (xy 210.088311 52.286) (xy 209.869224 52.329579) (xy 209.66285 52.415062)
- (xy 209.477117 52.539165) (xy 209.319165 52.697117) (xy 209.195062 52.88285) (xy 209.109579 53.089224) (xy 209.066 53.308311)
- (xy 203.341001 53.308311) (xy 203.341001 51.085683) (xy 203.343826 51.057001) (xy 203.33255 50.942517) (xy 203.310907 50.871169)
- (xy 203.299157 50.832433) (xy 203.244928 50.730978) (xy 203.171949 50.642053) (xy 203.149665 50.623765) (xy 201.492668 48.966768)
- (xy 201.492663 48.966764) (xy 201.253625 48.727726) (xy 201.253623 48.727722) (xy 201.172278 48.646377) (xy 201.148296 48.630353)
- (xy 201.126022 48.612073) (xy 201.100609 48.59849) (xy 201.076628 48.582466) (xy 201.049981 48.571428) (xy 201.024568 48.557845)
- (xy 200.996994 48.54948) (xy 200.970347 48.538443) (xy 200.942059 48.532816) (xy 200.914483 48.524451) (xy 200.885804 48.521626)
- (xy 200.857519 48.516) (xy 200.828682 48.516) (xy 200.8 48.513175) (xy 200.771318 48.516) (xy 200.742481 48.516)
- (xy 200.714196 48.521626) (xy 200.685517 48.524451) (xy 200.657941 48.532816) (xy 200.629653 48.538443) (xy 200.603006 48.54948)
- (xy 200.575432 48.557845) (xy 200.550019 48.571428) (xy 200.523372 48.582466) (xy 200.499391 48.59849) (xy 200.473978 48.612073)
- (xy 200.451706 48.630352) (xy 200.427722 48.646377) (xy 200.407322 48.666777) (xy 200.385053 48.685053) (xy 200.366777 48.707322)
- (xy 200.346377 48.727722) (xy 200.330352 48.751706) (xy 200.312073 48.773978) (xy 200.29849 48.799391) (xy 200.282466 48.823372)
- (xy 200.271428 48.850019) (xy 200.257845 48.875432) (xy 200.24948 48.903006) (xy 200.238443 48.929653) (xy 200.232816 48.957941)
- (xy 200.224451 48.985517) (xy 200.221626 49.014196) (xy 200.216 49.042481) (xy 200.216 49.071318) (xy 200.213175 49.1)
- (xy 200.216 49.128682) (xy 200.216 49.157519) (xy 200.221626 49.185804) (xy 200.224451 49.214483) (xy 200.232816 49.242059)
- (xy 200.238443 49.270347) (xy 200.24948 49.296994) (xy 200.257845 49.324568) (xy 200.271428 49.349981) (xy 200.282466 49.376628)
- (xy 200.29849 49.400609) (xy 200.312073 49.426022) (xy 200.330353 49.448296) (xy 200.346377 49.472278) (xy 200.427722 49.553623)
- (xy 200.427726 49.553625) (xy 200.666764 49.792663) (xy 200.666768 49.792668) (xy 202.173002 51.298902) (xy 202.173001 57.642207)
- (xy 202.172457 57.6497) (xy 202.173001 57.670855) (xy 202.173001 57.692042) (xy 202.173738 57.699528) (xy 202.176 57.787479)
- (xy 202.176 58.156) (xy 197.3819 58.156) (xy 197.253625 58.027725) (xy 197.253623 58.027722) (xy 197.233232 58.007331)
- (xy 197.214948 57.985052) (xy 197.192669 57.966768) (xy 197.172278 57.946377) (xy 197.148301 57.930356) (xy 197.126023 57.912073)
- (xy 197.100604 57.898486) (xy 197.076628 57.882466) (xy 197.049988 57.871432) (xy 197.024568 57.857844) (xy 196.996986 57.849477)
- (xy 196.970347 57.838443) (xy 196.942064 57.832817) (xy 196.914484 57.824451) (xy 196.885803 57.821626) (xy 196.857519 57.816)
- (xy 196.828682 57.816) (xy 196.8 57.813175) (xy 196.771318 57.816) (xy 195.408681 57.816) (xy 195.379999 57.813175)
- (xy 195.273313 57.823683) (xy 195.265516 57.824451) (xy 195.155432 57.857844) (xy 195.053977 57.912073) (xy 194.965052 57.985052)
- (xy 194.946768 58.007331) (xy 191.7381 61.216) (xy 188.542481 61.216) (xy 188.514197 61.221626) (xy 188.485516 61.224451)
- (xy 188.457936 61.232817) (xy 188.429653 61.238443) (xy 188.403014 61.249477) (xy 188.375432 61.257844) (xy 188.350012 61.271432)
- (xy 188.323372 61.282466) (xy 188.299396 61.298486) (xy 188.273977 61.312073) (xy 188.251699 61.330356) (xy 188.227722 61.346377)
- (xy 188.207331 61.366768) (xy 188.185052 61.385052) (xy 188.166768 61.407331) (xy 188.146377 61.427722) (xy 188.130356 61.451699)
- (xy 188.112073 61.473977) (xy 188.098486 61.499396) (xy 188.082466 61.523372) (xy 188.071432 61.550012) (xy 188.057844 61.575432)
- (xy 188.049477 61.603014) (xy 188.038443 61.629653) (xy 188.032817 61.657936) (xy 188.024451 61.685516) (xy 188.021626 61.714197)
- (xy 188.016 61.742481) (xy 188.016 61.771318) (xy 188.013175 61.8) (xy 183.684 61.8) (xy 183.684 61.742481)
- (xy 183.661557 61.629653) (xy 183.617534 61.523372) (xy 183.553623 61.427722) (xy 183.472278 61.346377) (xy 183.376628 61.282466)
- (xy 183.270347 61.238443) (xy 183.157519 61.216) (xy 183.042481 61.216) (xy 182.929653 61.238443) (xy 182.823372 61.282466)
- (xy 182.727722 61.346377) (xy 182.646377 61.427722) (xy 182.582466 61.523372) (xy 182.538443 61.629653) (xy 182.516 61.742481)
- (xy 182.516 61.857519) (xy 182.538443 61.970347) (xy 182.582466 62.076628) (xy 182.646377 62.172278) (xy 182.716 62.241901)
- (xy 182.716001 62.830183) (xy 182.682466 62.880372) (xy 182.665175 62.922117) (xy 178.731011 58.987954) (xy 178.731011 56.690235)
- (xy 178.732869 56.671373) (xy 178.731011 56.652508) (xy 178.726999 56.611773) (xy 178.726999 56.188227) (xy 178.731011 56.147492)
- (xy 178.731011 56.147489) (xy 178.732869 56.128627) (xy 178.731011 56.109765) (xy 178.731011 54.661827) (xy 178.788854 54.673333)
- (xy 179.440953 55.325433) (xy 179.456105 55.343895) (xy 179.529803 55.404378) (xy 179.613885 55.44932) (xy 179.705119 55.476996)
- (xy 179.714751 55.477945) (xy 179.776232 55.484) (xy 179.776238 55.484) (xy 179.799999 55.48634) (xy 179.82376 55.484)
- (xy 183.899521 55.484) (xy 186.016 57.600479) (xy 186.016001 58.373183) (xy 185.982466 58.423372) (xy 185.938443 58.529653)
- (xy 185.916 58.642481) (xy 185.916 58.757519) (xy 185.938443 58.870347) (xy 185.982466 58.976628) (xy 186.046377 59.072278)
- (xy 186.127722 59.153623) (xy 186.223372 59.217534) (xy 186.329653 59.261557) (xy 186.442481 59.284) (xy 186.557519 59.284)
- (xy 186.670347 59.261557) (xy 186.776628 59.217534) (xy 186.872278 59.153623) (xy 186.953623 59.072278) (xy 187.017534 58.976628)
- (xy 187.061557 58.870347) (xy 187.084 58.757519) (xy 187.084 58.642481) (xy 187.061557 58.529653) (xy 187.017534 58.423372)
- (xy 186.984 58.373185) (xy 186.984 58.275046) (xy 187.916 58.275046) (xy 187.916 58.724954) (xy 188.003773 59.166218)
- (xy 188.175946 59.58188) (xy 188.425902 59.955965) (xy 188.744035 60.274098) (xy 189.11812 60.524054) (xy 189.533782 60.696227)
- (xy 189.975046 60.784) (xy 190.424954 60.784) (xy 190.866218 60.696227) (xy 191.28188 60.524054) (xy 191.655965 60.274098)
- (xy 191.974098 59.955965) (xy 192.224054 59.58188) (xy 192.396227 59.166218) (xy 192.484 58.724954) (xy 192.484 58.275046)
- (xy 192.396227 57.833782) (xy 192.224054 57.41812) (xy 191.974098 57.044035) (xy 191.655965 56.725902) (xy 191.28188 56.475946)
- (xy 190.866218 56.303773) (xy 190.424954 56.216) (xy 189.975046 56.216) (xy 189.533782 56.303773) (xy 189.11812 56.475946)
- (xy 188.744035 56.725902) (xy 188.425902 57.044035) (xy 188.175946 57.41812) (xy 188.003773 57.833782) (xy 187.916 58.275046)
- (xy 186.984 58.275046) (xy 186.984 57.423768) (xy 186.986341 57.4) (xy 186.976996 57.30512) (xy 186.94932 57.213886)
- (xy 186.94932 57.213885) (xy 186.904378 57.129803) (xy 186.843895 57.056105) (xy 186.82543 57.040951) (xy 184.459049 54.67457)
- (xy 184.443895 54.656105) (xy 184.370197 54.595622) (xy 184.286115 54.55068) (xy 184.19488 54.523004) (xy 184.123768 54.516)
- (xy 184.123761 54.516) (xy 184.1 54.51366) (xy 184.076239 54.516) (xy 180.00048 54.516) (xy 179.473333 53.988854)
- (xy 179.461557 53.929653) (xy 179.417534 53.823372) (xy 179.353623 53.727722) (xy 179.272278 53.646377) (xy 179.176628 53.582466)
- (xy 179.148062 53.570634) (xy 179.334696 53.384) (xy 180.258099 53.384) (xy 180.327722 53.453623) (xy 180.423372 53.517534)
- (xy 180.529653 53.561557) (xy 180.642481 53.584) (xy 180.757519 53.584) (xy 180.870347 53.561557) (xy 180.976628 53.517534)
- (xy 181.072278 53.453623) (xy 181.153623 53.372278) (xy 181.217534 53.276628) (xy 181.261557 53.170347) (xy 181.284 53.057519)
- (xy 181.284 52.942481) (xy 181.261557 52.829653) (xy 181.217534 52.723372) (xy 181.153623 52.627722) (xy 181.072278 52.546377)
- (xy 180.976628 52.482466) (xy 180.870347 52.438443) (xy 180.757519 52.416) (xy 180.642481 52.416) (xy 180.529653 52.438443)
- (xy 180.423372 52.482466) (xy 180.327722 52.546377) (xy 180.258099 52.616) (xy 179.194499 52.616) (xy 179.175637 52.614142)
- (xy 179.156775 52.616) (xy 179.156773 52.616) (xy 179.100361 52.621556) (xy 179.027977 52.643514) (xy 178.961267 52.679171)
- (xy 178.902795 52.727157) (xy 178.890769 52.741811) (xy 178.084816 53.547765) (xy 178.070156 53.559796) (xy 178.051552 53.582466)
- (xy 178.02217 53.618268) (xy 178.019639 53.623004) (xy 177.986513 53.684979) (xy 177.964555 53.757363) (xy 177.962653 53.776677)
- (xy 177.870347 53.738443) (xy 177.757519 53.716) (xy 177.642481 53.716) (xy 177.529653 53.738443) (xy 177.423372 53.782466)
- (xy 177.327722 53.846377) (xy 177.246377 53.927722) (xy 177.182466 54.023372) (xy 177.138443 54.129653) (xy 177.116 54.242481)
- (xy 177.116 54.357519) (xy 177.138443 54.470347) (xy 177.182466 54.576628) (xy 177.246377 54.672278) (xy 177.327722 54.753623)
- (xy 177.423372 54.817534) (xy 177.529653 54.861557) (xy 177.606 54.876743) (xy 177.606001 55.925152) (xy 177.601988 55.965896)
- (xy 177.60013 55.984761) (xy 177.601988 56.003624) (xy 177.601989 56.796367) (xy 177.60013 56.815239) (xy 177.606 56.874831)
- (xy 177.606001 59.276017) (xy 177.604142 59.294889) (xy 177.611557 59.370166) (xy 177.633515 59.44255) (xy 177.669171 59.50926)
- (xy 177.688547 59.532869) (xy 177.717158 59.567732) (xy 177.731812 59.579758) (xy 178.779725 60.627671) (xy 178.777059 60.628775)
- (xy 178.681409 60.692686) (xy 178.600064 60.774031) (xy 178.536153 60.869681) (xy 178.49213 60.975962) (xy 178.469687 61.08879)
- (xy 178.469687 61.203828) (xy 178.49213 61.316656) (xy 178.536153 61.422937) (xy 178.600064 61.518587) (xy 178.681409 61.599932)
- (xy 178.777059 61.663843) (xy 178.852111 61.694931) (xy 178.75855 61.67632) (xy 178.643512 61.67632) (xy 178.530684 61.698763)
- (xy 178.424403 61.742786) (xy 178.328753 61.806697) (xy 178.247408 61.888042) (xy 178.183497 61.983692) (xy 178.139474 62.089973)
- (xy 178.117031 62.202801) (xy 178.117031 62.25899) (xy 177.141901 62.25899) (xy 177.072278 62.189367) (xy 176.976628 62.125456)
- (xy 176.870347 62.081433) (xy 176.757519 62.05899) (xy 176.642481 62.05899) (xy 176.529653 62.081433) (xy 176.423372 62.125456)
- (xy 176.327722 62.189367) (xy 176.246377 62.270712) (xy 176.182466 62.366362) (xy 176.138443 62.472643) (xy 176.116 62.585471)
- (xy 170.752729 62.585471) (xy 170.73715 62.575062) (xy 170.530776 62.489579) (xy 170.311689 62.446) (xy 170.088311 62.446)
- (xy 169.869224 62.489579) (xy 169.66285 62.575062) (xy 169.477117 62.699165) (xy 169.319165 62.857117) (xy 169.195062 63.04285)
- (xy 169.109579 63.249224) (xy 169.066 63.468311) (xy 168.417599 63.468311) (xy 168.542249 63.385023) (xy 168.735023 63.192249)
- (xy 168.886485 62.96557) (xy 168.990814 62.713698) (xy 169.044 62.446312) (xy 169.044 62.173688) (xy 168.990814 61.906302)
- (xy 168.886485 61.65443) (xy 168.735023 61.427751) (xy 168.542249 61.234977) (xy 168.31557 61.083515) (xy 168.063698 60.979186)
- (xy 167.796312 60.926) (xy 167.523688 60.926) (xy 167.256302 60.979186) (xy 167.00443 61.083515) (xy 166.777751 61.234977)
- (xy 166.584977 61.427751) (xy 166.433515 61.65443) (xy 166.329186 61.906302) (xy 166.276 62.173688) (xy 163.166039 62.173688)
- (xy 163.122625 62.027) (xy 160.527 62.027) (xy 160.273 62.027) (xy 157.677375 62.027) (xy 157.567573 62.398003)
- (xy 149.044 62.398003) (xy 149.044 62.173688) (xy 148.990814 61.906302) (xy 148.886485 61.65443) (xy 148.735023 61.427751)
- (xy 148.709269 61.401997) (xy 157.567573 61.401997) (xy 157.677375 61.773) (xy 160.273 61.773) (xy 160.273 59.515)
- (xy 160.527 59.515) (xy 160.527 61.773) (xy 163.122625 61.773) (xy 163.232427 61.401997) (xy 163.152053 61.104632)
- (xy 162.953612 60.680561) (xy 162.676252 60.303352) (xy 162.330631 59.987502) (xy 161.930032 59.745148) (xy 161.48985 59.585604)
- (xy 161.027 59.515) (xy 160.527 59.515) (xy 160.273 59.515) (xy 159.773 59.515) (xy 159.31015 59.585604)
- (xy 158.869968 59.745148) (xy 158.469369 59.987502) (xy 158.123748 60.303352) (xy 157.846388 60.680561) (xy 157.647947 61.104632)
- (xy 157.567573 61.401997) (xy 148.709269 61.401997) (xy 148.542249 61.234977) (xy 148.31557 61.083515) (xy 148.063698 60.979186)
- (xy 147.796312 60.926) (xy 147.523688 60.926) (xy 147.256302 60.979186) (xy 147.00443 61.083515) (xy 146.777751 61.234977)
- (xy 146.584977 61.427751) (xy 146.433515 61.65443) (xy 146.329186 61.906302) (xy 146.276 62.173688) (xy 135.509 62.173688)
- (xy 135.509 58.275046) (xy 147.916 58.275046) (xy 147.916 58.724954) (xy 148.003773 59.166218) (xy 148.175946 59.58188)
- (xy 148.425902 59.955965) (xy 148.744035 60.274098) (xy 149.11812 60.524054) (xy 149.533782 60.696227) (xy 149.975046 60.784)
- (xy 150.424954 60.784) (xy 150.866218 60.696227) (xy 151.28188 60.524054) (xy 151.655965 60.274098) (xy 151.974098 59.955965)
- (xy 152.224054 59.58188) (xy 152.396227 59.166218) (xy 152.484 58.724954) (xy 152.484 58.275046) (xy 167.916 58.275046)
- (xy 167.916 58.724954) (xy 168.003773 59.166218) (xy 168.175946 59.58188) (xy 168.425902 59.955965) (xy 168.744035 60.274098)
- (xy 169.11812 60.524054) (xy 169.533782 60.696227) (xy 169.975046 60.784) (xy 170.424954 60.784) (xy 170.866218 60.696227)
- (xy 171.28188 60.524054) (xy 171.655965 60.274098) (xy 171.974098 59.955965) (xy 172.224054 59.58188) (xy 172.396227 59.166218)
- (xy 172.484 58.724954) (xy 172.484 58.275046) (xy 172.396227 57.833782) (xy 172.224054 57.41812) (xy 171.974098 57.044035)
- (xy 171.655965 56.725902) (xy 171.28188 56.475946) (xy 170.866218 56.303773) (xy 170.424954 56.216) (xy 169.975046 56.216)
- (xy 169.533782 56.303773) (xy 169.11812 56.475946) (xy 168.744035 56.725902) (xy 168.425902 57.044035) (xy 168.175946 57.41812)
- (xy 168.003773 57.833782) (xy 167.916 58.275046) (xy 152.484 58.275046) (xy 152.396227 57.833782) (xy 152.224054 57.41812)
- (xy 151.974098 57.044035) (xy 151.655965 56.725902) (xy 151.28188 56.475946) (xy 150.866218 56.303773) (xy 150.424954 56.216)
- (xy 149.975046 56.216) (xy 149.533782 56.303773) (xy 149.11812 56.475946) (xy 148.744035 56.725902) (xy 148.425902 57.044035)
- (xy 148.175946 57.41812) (xy 148.003773 57.833782) (xy 147.916 58.275046) (xy 135.509 58.275046) (xy 135.509 55.823688)
- (xy 143.736 55.823688) (xy 143.736 56.096312) (xy 143.789186 56.363698) (xy 143.893515 56.61557) (xy 144.044977 56.842249)
- (xy 144.237751 57.035023) (xy 144.46443 57.186485) (xy 144.716302 57.290814) (xy 144.983688 57.344) (xy 145.256312 57.344)
- (xy 145.523698 57.290814) (xy 145.77557 57.186485) (xy 146.002249 57.035023) (xy 146.195023 56.842249) (xy 146.346485 56.61557)
- (xy 146.450814 56.363698) (xy 146.504 56.096312) (xy 146.504 55.823688) (xy 163.736 55.823688) (xy 163.736 56.096312)
- (xy 163.789186 56.363698) (xy 163.893515 56.61557) (xy 164.044977 56.842249) (xy 164.237751 57.035023) (xy 164.46443 57.186485)
- (xy 164.716302 57.290814) (xy 164.983688 57.344) (xy 165.256312 57.344) (xy 165.523698 57.290814) (xy 165.77557 57.186485)
- (xy 166.002249 57.035023) (xy 166.195023 56.842249) (xy 166.346485 56.61557) (xy 166.450814 56.363698) (xy 166.504 56.096312)
- (xy 166.504 55.823688) (xy 166.450814 55.556302) (xy 166.346485 55.30443) (xy 166.195023 55.077751) (xy 166.002249 54.884977)
- (xy 165.77557 54.733515) (xy 165.523698 54.629186) (xy 165.256312 54.576) (xy 164.983688 54.576) (xy 164.716302 54.629186)
- (xy 164.46443 54.733515) (xy 164.237751 54.884977) (xy 164.044977 55.077751) (xy 163.893515 55.30443) (xy 163.789186 55.556302)
- (xy 163.736 55.823688) (xy 146.504 55.823688) (xy 146.450814 55.556302) (xy 146.346485 55.30443) (xy 146.195023 55.077751)
- (xy 146.002249 54.884977) (xy 145.77557 54.733515) (xy 145.523698 54.629186) (xy 145.256312 54.576) (xy 144.983688 54.576)
- (xy 144.716302 54.629186) (xy 144.46443 54.733515) (xy 144.237751 54.884977) (xy 144.044977 55.077751) (xy 143.893515 55.30443)
- (xy 143.789186 55.556302) (xy 143.736 55.823688) (xy 135.509 55.823688) (xy 135.509 53.308311) (xy 149.066 53.308311)
- (xy 149.066 53.531689) (xy 149.109579 53.750776) (xy 149.195062 53.95715) (xy 149.319165 54.142883) (xy 149.477117 54.300835)
- (xy 149.66285 54.424938) (xy 149.869224 54.510421) (xy 150.088311 54.554) (xy 150.311689 54.554) (xy 150.530776 54.510421)
- (xy 150.73715 54.424938) (xy 150.922883 54.300835) (xy 151.080835 54.142883) (xy 151.204938 53.95715) (xy 151.290421 53.750776)
- (xy 151.334 53.531689) (xy 151.334 53.308311) (xy 169.066 53.308311) (xy 169.066 53.531689) (xy 169.109579 53.750776)
- (xy 169.195062 53.95715) (xy 169.319165 54.142883) (xy 169.477117 54.300835) (xy 169.66285 54.424938) (xy 169.869224 54.510421)
- (xy 170.088311 54.554) (xy 170.311689 54.554) (xy 170.530776 54.510421) (xy 170.73715 54.424938) (xy 170.922883 54.300835)
- (xy 171.080835 54.142883) (xy 171.204938 53.95715) (xy 171.290421 53.750776) (xy 171.334 53.531689) (xy 171.334 53.308311)
- (xy 171.290421 53.089224) (xy 171.204938 52.88285) (xy 171.080835 52.697117) (xy 170.922883 52.539165) (xy 170.73715 52.415062)
- (xy 170.530776 52.329579) (xy 170.311689 52.286) (xy 170.088311 52.286) (xy 169.869224 52.329579) (xy 169.66285 52.415062)
- (xy 169.477117 52.539165) (xy 169.319165 52.697117) (xy 169.195062 52.88285) (xy 169.109579 53.089224) (xy 169.066 53.308311)
- (xy 151.334 53.308311) (xy 151.290421 53.089224) (xy 151.204938 52.88285) (xy 151.080835 52.697117) (xy 150.922883 52.539165)
- (xy 150.73715 52.415062) (xy 150.530776 52.329579) (xy 150.311689 52.286) (xy 150.088311 52.286) (xy 149.869224 52.329579)
- (xy 149.66285 52.415062) (xy 149.477117 52.539165) (xy 149.319165 52.697117) (xy 149.195062 52.88285) (xy 149.109579 53.089224)
- (xy 149.066 53.308311) (xy 135.509 53.308311) (xy 135.509 49.712) (xy 174.745 49.712) (xy 174.745 50.262)
- (xy 174.791585 50.480987) (xy 174.879997 50.686678) (xy 175.006839 50.871169) (xy 175.167236 51.027369) (xy 175.355024 51.149276)
- (xy 175.578126 51.229119) (xy 175.753 51.102954) (xy 175.753 49.712) (xy 174.745 49.712) (xy 135.509 49.712)
- (xy 135.509 48.513765) (xy 135.584348 47.66951) (xy 135.681483 47.314442) (xy 137.316 47.314442) (xy 137.316 47.685558)
- (xy 137.388401 48.049543) (xy 137.530421 48.392409) (xy 137.736602 48.70098) (xy 137.99902 48.963398) (xy 138.307591 49.169579)
- (xy 138.650457 49.311599) (xy 139.014442 49.384) (xy 139.385558 49.384) (xy 139.749543 49.311599) (xy 140.092409 49.169579)
- (xy 140.40098 48.963398) (xy 140.456378 48.908) (xy 174.745 48.908) (xy 174.745 49.458) (xy 175.753 49.458)
- (xy 175.753 48.067046) (xy 176.007 48.067046) (xy 176.007 49.458) (xy 176.027 49.458) (xy 176.027 49.712)
- (xy 176.007 49.712) (xy 176.007 51.102954) (xy 176.181874 51.229119) (xy 176.404976 51.149276) (xy 176.592764 51.027369)
- (xy 176.753161 50.871169) (xy 176.880003 50.686678) (xy 176.968415 50.480987) (xy 177.015 50.262) (xy 177.015 49.590326)
- (xy 177.02153 49.594689) (xy 177.132361 49.640597) (xy 177.250019 49.664) (xy 177.369981 49.664) (xy 177.487639 49.640597)
- (xy 177.59847 49.594689) (xy 177.698215 49.528041) (xy 177.783041 49.443215) (xy 177.849689 49.34347) (xy 177.895597 49.232639)
- (xy 177.919 49.114981) (xy 177.919 48.995019) (xy 177.895597 48.877361) (xy 177.849689 48.76653) (xy 177.783041 48.666785)
- (xy 177.698215 48.581959) (xy 177.59847 48.515311) (xy 177.487639 48.469403) (xy 177.369981 48.446) (xy 177.250019 48.446)
- (xy 177.132361 48.469403) (xy 177.02153 48.515311) (xy 176.922263 48.58164) (xy 176.880003 48.483322) (xy 176.753161 48.298831)
- (xy 176.592764 48.142631) (xy 176.404976 48.020724) (xy 176.181874 47.940881) (xy 176.007 48.067046) (xy 175.753 48.067046)
- (xy 175.578126 47.940881) (xy 175.355024 48.020724) (xy 175.167236 48.142631) (xy 175.006839 48.298831) (xy 174.879997 48.483322)
- (xy 174.791585 48.689013) (xy 174.745 48.908) (xy 140.456378 48.908) (xy 140.663398 48.70098) (xy 140.869579 48.392409)
- (xy 141.011599 48.049543) (xy 141.084 47.685558) (xy 141.084 47.314442) (xy 141.081128 47.3) (xy 173.213175 47.3)
- (xy 173.216 47.328682) (xy 173.216 47.357519) (xy 173.221626 47.385803) (xy 173.224451 47.414484) (xy 173.232817 47.442064)
- (xy 173.238443 47.470347) (xy 173.249477 47.496986) (xy 173.257844 47.524568) (xy 173.271432 47.549988) (xy 173.282466 47.576628)
- (xy 173.298486 47.600604) (xy 173.312073 47.626023) (xy 173.330356 47.648301) (xy 173.346377 47.672278) (xy 173.366768 47.692669)
- (xy 173.385052 47.714948) (xy 173.407331 47.733232) (xy 173.427722 47.753623) (xy 173.451699 47.769644) (xy 173.473977 47.787927)
- (xy 173.499396 47.801514) (xy 173.523372 47.817534) (xy 173.550012 47.828568) (xy 173.575432 47.842156) (xy 173.603014 47.850523)
- (xy 173.629653 47.861557) (xy 173.657936 47.867183) (xy 173.685516 47.875549) (xy 173.714197 47.878374) (xy 173.742481 47.884)
- (xy 192.0581 47.884) (xy 194.916001 50.741902) (xy 194.916 51.688099) (xy 194.885475 51.718624) (xy 194.887309 51.7)
- (xy 194.874103 51.565913) (xy 194.834991 51.436978) (xy 194.771477 51.318152) (xy 194.686001 51.213999) (xy 194.581848 51.128523)
- (xy 194.463022 51.065009) (xy 194.334087 51.025897) (xy 194.233598 51.016) (xy 194.059058 51.016) (xy 193.783159 50.740102)
- (xy 193.761737 50.713999) (xy 193.657584 50.628523) (xy 193.538758 50.565009) (xy 193.409823 50.525897) (xy 193.309334 50.516)
- (xy 193.309331 50.516) (xy 193.275736 50.512691) (xy 193.242141 50.516) (xy 187.933596 50.516) (xy 187.9 50.512691)
- (xy 187.866404 50.516) (xy 187.866402 50.516) (xy 187.765913 50.525897) (xy 187.636978 50.565009) (xy 187.518152 50.628523)
- (xy 187.413999 50.713999) (xy 187.392581 50.740098) (xy 186.98641 51.146269) (xy 186.914484 51.124451) (xy 186.828682 51.116)
- (xy 186.8 51.113175) (xy 186.771318 51.116) (xy 185.096235 51.116) (xy 185.232764 51.027369) (xy 185.393161 50.871169)
- (xy 185.520003 50.686678) (xy 185.608415 50.480987) (xy 185.655 50.262) (xy 185.655 49.712) (xy 184.647 49.712)
- (xy 184.647 49.732) (xy 184.393 49.732) (xy 184.393 49.712) (xy 184.373 49.712) (xy 184.373 49.458)
- (xy 184.393 49.458) (xy 184.393 48.067046) (xy 184.647 48.067046) (xy 184.647 49.458) (xy 185.655 49.458)
- (xy 185.655 48.908) (xy 185.608415 48.689013) (xy 185.520003 48.483322) (xy 185.393161 48.298831) (xy 185.232764 48.142631)
- (xy 185.044976 48.020724) (xy 184.821874 47.940881) (xy 184.647 48.067046) (xy 184.393 48.067046) (xy 184.218126 47.940881)
- (xy 183.995024 48.020724) (xy 183.807236 48.142631) (xy 183.646839 48.298831) (xy 183.519997 48.483322) (xy 183.477737 48.58164)
- (xy 183.37847 48.515311) (xy 183.267639 48.469403) (xy 183.149981 48.446) (xy 183.030019 48.446) (xy 182.912361 48.469403)
- (xy 182.80153 48.515311) (xy 182.701785 48.581959) (xy 182.616959 48.666785) (xy 182.550311 48.76653) (xy 182.504403 48.877361)
- (xy 182.481 48.995019) (xy 182.481 49.114981) (xy 182.504403 49.232639) (xy 182.550311 49.34347) (xy 182.616959 49.443215)
- (xy 182.701785 49.528041) (xy 182.80153 49.594689) (xy 182.912361 49.640597) (xy 183.030019 49.664) (xy 183.149981 49.664)
- (xy 183.267639 49.640597) (xy 183.37847 49.594689) (xy 183.385 49.590326) (xy 183.385 50.262) (xy 183.431585 50.480987)
- (xy 183.519997 50.686678) (xy 183.646839 50.871169) (xy 183.807236 51.027369) (xy 183.943765 51.116) (xy 177.642481 51.116)
- (xy 177.614197 51.121626) (xy 177.585516 51.124451) (xy 177.557936 51.132817) (xy 177.529653 51.138443) (xy 177.503014 51.149477)
- (xy 177.475432 51.157844) (xy 177.450012 51.171432) (xy 177.423372 51.182466) (xy 177.399396 51.198486) (xy 177.373977 51.212073)
- (xy 177.351699 51.230356) (xy 177.327722 51.246377) (xy 177.307331 51.266768) (xy 177.285052 51.285052) (xy 177.266768 51.307331)
- (xy 177.246377 51.327722) (xy 177.230356 51.351699) (xy 177.212073 51.373977) (xy 177.198486 51.399396) (xy 177.182466 51.423372)
- (xy 177.171432 51.450012) (xy 177.157844 51.475432) (xy 177.149477 51.503014) (xy 177.138443 51.529653) (xy 177.132817 51.557936)
- (xy 177.124451 51.585516) (xy 177.121626 51.614197) (xy 177.116 51.642481) (xy 177.116 51.671318) (xy 177.113175 51.7)
- (xy 177.116 51.728682) (xy 177.116 51.757519) (xy 177.121626 51.785803) (xy 177.124451 51.814484) (xy 177.132817 51.842064)
- (xy 177.138443 51.870347) (xy 177.149477 51.896986) (xy 177.157844 51.924568) (xy 177.171432 51.949988) (xy 177.182466 51.976628)
- (xy 177.198486 52.000604) (xy 177.212073 52.026023) (xy 177.230356 52.048301) (xy 177.246377 52.072278) (xy 177.266768 52.092669)
- (xy 177.285052 52.114948) (xy 177.307331 52.133232) (xy 177.327722 52.153623) (xy 177.351699 52.169644) (xy 177.373977 52.187927)
- (xy 177.399396 52.201514) (xy 177.423372 52.217534) (xy 177.450012 52.228568) (xy 177.475432 52.242156) (xy 177.503014 52.250523)
- (xy 177.529653 52.261557) (xy 177.557936 52.267183) (xy 177.585516 52.275549) (xy 177.614197 52.278374) (xy 177.642481 52.284)
- (xy 186.299521 52.284) (xy 186.423844 52.408324) (xy 186.424451 52.414483) (xy 186.457845 52.524568) (xy 186.512073 52.626022)
- (xy 186.516001 52.630808) (xy 186.516 55.673184) (xy 186.482466 55.723372) (xy 186.438443 55.829653) (xy 186.416 55.942481)
- (xy 186.416 56.057519) (xy 186.438443 56.170347) (xy 186.482466 56.276628) (xy 186.546377 56.372278) (xy 186.627722 56.453623)
- (xy 186.723372 56.517534) (xy 186.829653 56.561557) (xy 186.942481 56.584) (xy 187.057519 56.584) (xy 187.170347 56.561557)
- (xy 187.276628 56.517534) (xy 187.372278 56.453623) (xy 187.453623 56.372278) (xy 187.517534 56.276628) (xy 187.561557 56.170347)
- (xy 187.584 56.057519) (xy 187.584 55.942481) (xy 187.561557 55.829653) (xy 187.517534 55.723372) (xy 187.484 55.673185)
- (xy 187.484 53.308311) (xy 189.066 53.308311) (xy 189.066 53.531689) (xy 189.109579 53.750776) (xy 189.195062 53.95715)
- (xy 189.319165 54.142883) (xy 189.477117 54.300835) (xy 189.66285 54.424938) (xy 189.869224 54.510421) (xy 190.088311 54.554)
- (xy 190.311689 54.554) (xy 190.530776 54.510421) (xy 190.73715 54.424938) (xy 190.922883 54.300835) (xy 191.080835 54.142883)
- (xy 191.204938 53.95715) (xy 191.290421 53.750776) (xy 191.334 53.531689) (xy 191.334 53.308311) (xy 191.290421 53.089224)
- (xy 191.204938 52.88285) (xy 191.080835 52.697117) (xy 190.922883 52.539165) (xy 190.73715 52.415062) (xy 190.530776 52.329579)
- (xy 190.311689 52.286) (xy 190.088311 52.286) (xy 189.869224 52.329579) (xy 189.66285 52.415062) (xy 189.477117 52.539165)
- (xy 189.319165 52.697117) (xy 189.195062 52.88285) (xy 189.109579 53.089224) (xy 189.066 53.308311) (xy 187.484 53.308311)
- (xy 187.484 52.6419) (xy 187.492664 52.633236) (xy 187.514948 52.614948) (xy 187.587927 52.526023) (xy 187.641469 52.425852)
- (xy 188.183322 51.884) (xy 192.992415 51.884) (xy 193.268316 52.159902) (xy 193.289735 52.186001) (xy 193.393888 52.271477)
- (xy 193.512714 52.334991) (xy 193.641649 52.374103) (xy 193.742138 52.384) (xy 193.74214 52.384) (xy 193.775736 52.387309)
- (xy 193.809332 52.384) (xy 194.220099 52.384) (xy 193.215268 53.388831) (xy 193.143698 53.359186) (xy 192.876312 53.306)
- (xy 192.603688 53.306) (xy 192.336302 53.359186) (xy 192.08443 53.463515) (xy 191.857751 53.614977) (xy 191.664977 53.807751)
- (xy 191.513515 54.03443) (xy 191.409186 54.286302) (xy 191.356 54.553688) (xy 191.356 54.826312) (xy 191.409186 55.093698)
- (xy 191.513515 55.34557) (xy 191.664977 55.572249) (xy 191.857751 55.765023) (xy 192.08443 55.916485) (xy 192.336302 56.020814)
- (xy 192.603688 56.074) (xy 192.876312 56.074) (xy 193.143698 56.020814) (xy 193.39557 55.916485) (xy 193.622249 55.765023)
- (xy 193.815023 55.572249) (xy 193.966485 55.34557) (xy 194.070814 55.093698) (xy 194.124 54.826312) (xy 194.124 54.553688)
- (xy 194.070814 54.286302) (xy 194.041169 54.214732) (xy 195.89267 52.363231) (xy 195.914948 52.344948) (xy 195.987927 52.256023)
- (xy 196.042156 52.154568) (xy 196.075549 52.044484) (xy 196.084 51.958682) (xy 196.084 51.958675) (xy 196.086824 51.930001)
- (xy 196.084 51.901327) (xy 196.084 50.528673) (xy 196.086824 50.499999) (xy 196.084 50.471325) (xy 196.084 47.9419)
- (xy 198.298902 45.726999) (xy 207.871099 45.726999) (xy 212.156 50.0119) (xy 212.156001 53.433869) (xy 212.08443 53.463515)
- (xy 211.857751 53.614977) (xy 211.664977 53.807751) (xy 211.513515 54.03443) (xy 211.409186 54.286302) (xy 211.356 54.553688)
- (xy 211.356 54.826312) (xy 211.409186 55.093698) (xy 211.513515 55.34557) (xy 211.664977 55.572249) (xy 211.857751 55.765023)
- (xy 212.08443 55.916485) (xy 212.336302 56.020814) (xy 212.603688 56.074) (xy 212.876312 56.074) (xy 213.143698 56.020814)
- (xy 213.39557 55.916485) (xy 213.622249 55.765023) (xy 213.815023 55.572249) (xy 213.966485 55.34557) (xy 214.070814 55.093698)
- (xy 214.124 54.826312) (xy 214.124 54.553688) (xy 214.070814 54.286302) (xy 213.966485 54.03443) (xy 213.815023 53.807751)
- (xy 213.622249 53.614977) (xy 213.39557 53.463515) (xy 213.324 53.43387) (xy 213.324 49.798682) (xy 213.326825 49.77)
- (xy 213.315549 49.655516) (xy 213.282156 49.545431) (xy 213.235422 49.458) (xy 213.227927 49.443977) (xy 213.154948 49.355052)
- (xy 213.132665 49.336765) (xy 211.110342 47.314442) (xy 219.316 47.314442) (xy 219.316 47.685558) (xy 219.388401 48.049543)
- (xy 219.530421 48.392409) (xy 219.736602 48.70098) (xy 219.99902 48.963398) (xy 220.307591 49.169579) (xy 220.650457 49.311599)
- (xy 221.014442 49.384) (xy 221.385558 49.384) (xy 221.749543 49.311599) (xy 222.092409 49.169579) (xy 222.40098 48.963398)
- (xy 222.663398 48.70098) (xy 222.869579 48.392409) (xy 223.011599 48.049543) (xy 223.084 47.685558) (xy 223.084 47.314442)
- (xy 223.011599 46.950457) (xy 222.869579 46.607591) (xy 222.663398 46.29902) (xy 222.40098 46.036602) (xy 222.092409 45.830421)
- (xy 221.749543 45.688401) (xy 221.385558 45.616) (xy 221.014442 45.616) (xy 220.650457 45.688401) (xy 220.307591 45.830421)
- (xy 219.99902 46.036602) (xy 219.736602 46.29902) (xy 219.530421 46.607591) (xy 219.388401 46.950457) (xy 219.316 47.314442)
- (xy 211.110342 47.314442) (xy 208.546235 44.750335) (xy 208.527947 44.728051) (xy 208.439022 44.655072) (xy 208.337567 44.600843)
- (xy 208.227483 44.56745) (xy 208.141681 44.558999) (xy 208.112999 44.556174) (xy 208.084317 44.558999) (xy 198.085674 44.558999)
- (xy 198.057 44.556175) (xy 198.028326 44.558999) (xy 198.028319 44.558999) (xy 197.942517 44.56745) (xy 197.832433 44.600843)
- (xy 197.730978 44.655072) (xy 197.642053 44.728051) (xy 197.623769 44.75033) (xy 195.107332 47.266768) (xy 195.085053 47.285052)
- (xy 195.066769 47.307331) (xy 195.012073 47.373978) (xy 194.957845 47.475432) (xy 194.924451 47.585517) (xy 194.913175 47.7)
- (xy 194.916001 47.728692) (xy 194.916001 49.0901) (xy 192.733236 46.907336) (xy 192.714948 46.885052) (xy 192.626023 46.812073)
- (xy 192.524568 46.757844) (xy 192.414484 46.724451) (xy 192.328682 46.716) (xy 192.3 46.713175) (xy 192.271318 46.716)
- (xy 185.050022 46.716) (xy 185.232764 46.597369) (xy 185.393161 46.441169) (xy 185.520003 46.256678) (xy 185.608415 46.050987)
- (xy 185.655 45.832) (xy 185.655 45.532) (xy 184.647 45.532) (xy 184.647 45.552) (xy 184.393 45.552)
- (xy 184.393 45.532) (xy 183.385 45.532) (xy 183.385 45.832) (xy 183.431585 46.050987) (xy 183.519997 46.256678)
- (xy 183.646839 46.441169) (xy 183.807236 46.597369) (xy 183.989978 46.716) (xy 176.410022 46.716) (xy 176.592764 46.597369)
- (xy 176.753161 46.441169) (xy 176.880003 46.256678) (xy 176.968415 46.050987) (xy 177.015 45.832) (xy 177.015 45.532)
- (xy 176.007 45.532) (xy 176.007 45.552) (xy 175.753 45.552) (xy 175.753 45.532) (xy 174.745 45.532)
- (xy 174.745 45.832) (xy 174.791585 46.050987) (xy 174.879997 46.256678) (xy 175.006839 46.441169) (xy 175.167236 46.597369)
- (xy 175.349978 46.716) (xy 173.742481 46.716) (xy 173.714197 46.721626) (xy 173.685516 46.724451) (xy 173.657936 46.732817)
- (xy 173.629653 46.738443) (xy 173.603014 46.749477) (xy 173.575432 46.757844) (xy 173.550012 46.771432) (xy 173.523372 46.782466)
- (xy 173.499396 46.798486) (xy 173.473977 46.812073) (xy 173.451699 46.830356) (xy 173.427722 46.846377) (xy 173.407331 46.866768)
- (xy 173.385052 46.885052) (xy 173.366768 46.907331) (xy 173.346377 46.927722) (xy 173.330356 46.951699) (xy 173.312073 46.973977)
- (xy 173.298486 46.999396) (xy 173.282466 47.023372) (xy 173.271432 47.050012) (xy 173.257844 47.075432) (xy 173.249477 47.103014)
- (xy 173.238443 47.129653) (xy 173.232817 47.157936) (xy 173.224451 47.185516) (xy 173.221626 47.214197) (xy 173.216 47.242481)
- (xy 173.216 47.271318) (xy 173.213175 47.3) (xy 141.081128 47.3) (xy 141.011599 46.950457) (xy 140.869579 46.607591)
- (xy 140.663398 46.29902) (xy 140.40098 46.036602) (xy 140.092409 45.830421) (xy 139.749543 45.688401) (xy 139.385558 45.616)
- (xy 139.014442 45.616) (xy 138.650457 45.688401) (xy 138.307591 45.830421) (xy 137.99902 46.036602) (xy 137.736602 46.29902)
- (xy 137.530421 46.607591) (xy 137.388401 46.950457) (xy 137.316 47.314442) (xy 135.681483 47.314442) (xy 135.804361 46.865279)
- (xy 136.163317 46.112711) (xy 136.649866 45.435606) (xy 137.122078 44.978) (xy 174.745 44.978) (xy 174.745 45.278)
- (xy 175.753 45.278) (xy 175.753 44.137046) (xy 176.007 44.137046) (xy 176.007 45.278) (xy 177.015 45.278)
- (xy 177.015 44.978) (xy 183.385 44.978) (xy 183.385 45.278) (xy 184.393 45.278) (xy 184.393 44.137046)
- (xy 184.647 44.137046) (xy 184.647 45.278) (xy 185.655 45.278) (xy 185.655 44.978) (xy 185.608415 44.759013)
- (xy 185.520003 44.553322) (xy 185.393161 44.368831) (xy 185.232764 44.212631) (xy 185.044976 44.090724) (xy 184.821874 44.010881)
- (xy 184.647 44.137046) (xy 184.393 44.137046) (xy 184.218126 44.010881) (xy 183.995024 44.090724) (xy 183.807236 44.212631)
- (xy 183.646839 44.368831) (xy 183.519997 44.553322) (xy 183.431585 44.759013) (xy 183.385 44.978) (xy 177.015 44.978)
- (xy 176.968415 44.759013) (xy 176.880003 44.553322) (xy 176.753161 44.368831) (xy 176.592764 44.212631) (xy 176.404976 44.090724)
- (xy 176.181874 44.010881) (xy 176.007 44.137046) (xy 175.753 44.137046) (xy 175.578126 44.010881) (xy 175.355024 44.090724)
- (xy 175.167236 44.212631) (xy 175.006839 44.368831) (xy 174.879997 44.553322) (xy 174.791585 44.759013) (xy 174.745 44.978)
- (xy 137.122078 44.978) (xy 137.24863 44.855363) (xy 137.940684 44.390323) (xy 138.704156 44.055182) (xy 139.517281 43.859967)
- (xy 140.211328 43.809) (xy 220.186235 43.809)
- )
- )
- )
- (zone (net 1) (net_name GND) (layer B.Cu) (tstamp 5EB088F5) (hatch edge 0.508)
- (connect_pads (clearance 0.157))
- (min_thickness 0.254)
- (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 225.2 93.5) (xy 135.2 93.5) (xy 135.2 43.5) (xy 225.2 43.5)
- )
- )
- (filled_polygon
- (pts
- (xy 221.03049 43.884348) (xy 221.834721 44.104361) (xy 222.587289 44.463317) (xy 223.264394 44.949866) (xy 223.844637 45.54863)
- (xy 224.309677 46.240684) (xy 224.644818 47.004156) (xy 224.840033 47.817281) (xy 224.891 48.511328) (xy 224.891001 88.486224)
- (xy 224.815652 89.330491) (xy 224.595639 90.134721) (xy 224.236683 90.887289) (xy 223.750134 91.564394) (xy 223.15137 92.144637)
- (xy 222.459316 92.609677) (xy 221.695843 92.944819) (xy 220.882719 93.140033) (xy 220.188673 93.191) (xy 140.213765 93.191)
- (xy 139.369509 93.115652) (xy 138.565279 92.895639) (xy 137.812711 92.536683) (xy 137.135606 92.050134) (xy 136.555363 91.45137)
- (xy 136.090323 90.759316) (xy 135.755181 89.995843) (xy 135.591591 89.314442) (xy 137.316 89.314442) (xy 137.316 89.685558)
- (xy 137.388401 90.049543) (xy 137.530421 90.392409) (xy 137.736602 90.70098) (xy 137.99902 90.963398) (xy 138.307591 91.169579)
- (xy 138.650457 91.311599) (xy 139.014442 91.384) (xy 139.385558 91.384) (xy 139.749543 91.311599) (xy 140.092409 91.169579)
- (xy 140.40098 90.963398) (xy 140.663398 90.70098) (xy 140.869579 90.392409) (xy 141.011599 90.049543) (xy 141.084 89.685558)
- (xy 141.084 89.314442) (xy 219.316 89.314442) (xy 219.316 89.685558) (xy 219.388401 90.049543) (xy 219.530421 90.392409)
- (xy 219.736602 90.70098) (xy 219.99902 90.963398) (xy 220.307591 91.169579) (xy 220.650457 91.311599) (xy 221.014442 91.384)
- (xy 221.385558 91.384) (xy 221.749543 91.311599) (xy 222.092409 91.169579) (xy 222.40098 90.963398) (xy 222.663398 90.70098)
- (xy 222.869579 90.392409) (xy 223.011599 90.049543) (xy 223.084 89.685558) (xy 223.084 89.314442) (xy 223.011599 88.950457)
- (xy 222.869579 88.607591) (xy 222.663398 88.29902) (xy 222.40098 88.036602) (xy 222.092409 87.830421) (xy 221.749543 87.688401)
- (xy 221.385558 87.616) (xy 221.014442 87.616) (xy 220.650457 87.688401) (xy 220.307591 87.830421) (xy 219.99902 88.036602)
- (xy 219.736602 88.29902) (xy 219.530421 88.607591) (xy 219.388401 88.950457) (xy 219.316 89.314442) (xy 141.084 89.314442)
- (xy 141.011599 88.950457) (xy 140.869579 88.607591) (xy 140.663398 88.29902) (xy 140.40098 88.036602) (xy 140.092409 87.830421)
- (xy 139.749543 87.688401) (xy 139.385558 87.616) (xy 139.014442 87.616) (xy 138.650457 87.688401) (xy 138.307591 87.830421)
- (xy 137.99902 88.036602) (xy 137.736602 88.29902) (xy 137.530421 88.607591) (xy 137.388401 88.950457) (xy 137.316 89.314442)
- (xy 135.591591 89.314442) (xy 135.559967 89.182719) (xy 135.509 88.488673) (xy 135.509 78.275046) (xy 147.916 78.275046)
- (xy 147.916 78.724954) (xy 148.003773 79.166218) (xy 148.175946 79.58188) (xy 148.425902 79.955965) (xy 148.744035 80.274098)
- (xy 149.11812 80.524054) (xy 149.533782 80.696227) (xy 149.975046 80.784) (xy 150.424954 80.784) (xy 150.866218 80.696227)
- (xy 151.28188 80.524054) (xy 151.655965 80.274098) (xy 151.974098 79.955965) (xy 152.224054 79.58188) (xy 152.396227 79.166218)
- (xy 152.484 78.724954) (xy 152.484 78.275046) (xy 152.396227 77.833782) (xy 152.224054 77.41812) (xy 151.974098 77.044035)
- (xy 151.655965 76.725902) (xy 151.28188 76.475946) (xy 150.866218 76.303773) (xy 150.424954 76.216) (xy 149.975046 76.216)
- (xy 149.533782 76.303773) (xy 149.11812 76.475946) (xy 148.744035 76.725902) (xy 148.425902 77.044035) (xy 148.175946 77.41812)
- (xy 148.003773 77.833782) (xy 147.916 78.275046) (xy 135.509 78.275046) (xy 135.509 69.05) (xy 142.964626 69.05)
- (xy 142.964626 69.85) (xy 142.970109 69.905674) (xy 142.986349 69.959208) (xy 143.01272 70.008545) (xy 143.04821 70.05179)
- (xy 143.091455 70.08728) (xy 143.116 70.1004) (xy 143.116 70.571318) (xy 143.113175 70.6) (xy 143.118011 70.649103)
- (xy 143.124451 70.714483) (xy 143.157844 70.824567) (xy 143.212073 70.926022) (xy 143.285052 71.014948) (xy 143.307336 71.033236)
- (xy 144.536001 72.261902) (xy 144.536 74.70387) (xy 144.46443 74.733515) (xy 144.237751 74.884977) (xy 144.044977 75.077751)
- (xy 143.893515 75.30443) (xy 143.789186 75.556302) (xy 143.736 75.823688) (xy 143.736 76.096312) (xy 143.789186 76.363698)
- (xy 143.893515 76.61557) (xy 144.044977 76.842249) (xy 144.237751 77.035023) (xy 144.46443 77.186485) (xy 144.716302 77.290814)
- (xy 144.983688 77.344) (xy 145.256312 77.344) (xy 145.523698 77.290814) (xy 145.77557 77.186485) (xy 146.002249 77.035023)
- (xy 146.195023 76.842249) (xy 146.346485 76.61557) (xy 146.450814 76.363698) (xy 146.504 76.096312) (xy 146.504 75.823688)
- (xy 146.459109 75.598003) (xy 157.567573 75.598003) (xy 157.647947 75.895368) (xy 157.846388 76.319439) (xy 158.123748 76.696648)
- (xy 158.469369 77.012498) (xy 158.869968 77.254852) (xy 159.31015 77.414396) (xy 159.773 77.485) (xy 160.273 77.485)
- (xy 160.273 75.227) (xy 160.527 75.227) (xy 160.527 77.485) (xy 161.027 77.485) (xy 161.48985 77.414396)
- (xy 161.930032 77.254852) (xy 162.330631 77.012498) (xy 162.676252 76.696648) (xy 162.953612 76.319439) (xy 163.152053 75.895368)
- (xy 163.232427 75.598003) (xy 163.122625 75.227) (xy 160.527 75.227) (xy 160.273 75.227) (xy 157.677375 75.227)
- (xy 157.567573 75.598003) (xy 146.459109 75.598003) (xy 146.450814 75.556302) (xy 146.346485 75.30443) (xy 146.195023 75.077751)
- (xy 146.002249 74.884977) (xy 145.77557 74.733515) (xy 145.704 74.70387) (xy 145.704 74.601997) (xy 157.567573 74.601997)
- (xy 157.677375 74.973) (xy 160.273 74.973) (xy 160.273 72.715) (xy 160.527 72.715) (xy 160.527 74.973)
- (xy 163.122625 74.973) (xy 163.232427 74.601997) (xy 163.152053 74.304632) (xy 162.953612 73.880561) (xy 162.676252 73.503352)
- (xy 162.330631 73.187502) (xy 161.930032 72.945148) (xy 161.48985 72.785604) (xy 161.027 72.715) (xy 160.527 72.715)
- (xy 160.273 72.715) (xy 159.773 72.715) (xy 159.31015 72.785604) (xy 158.869968 72.945148) (xy 158.469369 73.187502)
- (xy 158.123748 73.503352) (xy 157.846388 73.880561) (xy 157.647947 74.304632) (xy 157.567573 74.601997) (xy 145.704 74.601997)
- (xy 145.704 73.308311) (xy 149.066 73.308311) (xy 149.066 73.531689) (xy 149.109579 73.750776) (xy 149.195062 73.95715)
- (xy 149.319165 74.142883) (xy 149.477117 74.300835) (xy 149.66285 74.424938) (xy 149.869224 74.510421) (xy 150.088311 74.554)
- (xy 150.311689 74.554) (xy 150.530776 74.510421) (xy 150.73715 74.424938) (xy 150.922883 74.300835) (xy 151.080835 74.142883)
- (xy 151.204938 73.95715) (xy 151.290421 73.750776) (xy 151.334 73.531689) (xy 151.334 73.308311) (xy 151.290421 73.089224)
- (xy 151.204938 72.88285) (xy 151.080835 72.697117) (xy 150.922883 72.539165) (xy 150.73715 72.415062) (xy 150.530776 72.329579)
- (xy 150.311689 72.286) (xy 150.088311 72.286) (xy 149.869224 72.329579) (xy 149.66285 72.415062) (xy 149.477117 72.539165)
- (xy 149.319165 72.697117) (xy 149.195062 72.88285) (xy 149.109579 73.089224) (xy 149.066 73.308311) (xy 145.704 73.308311)
- (xy 145.704 72.048673) (xy 145.706824 72.019999) (xy 145.704 71.991325) (xy 145.704 71.991318) (xy 145.695549 71.905516)
- (xy 145.662156 71.795432) (xy 145.607927 71.693977) (xy 145.534948 71.605052) (xy 145.512669 71.586768) (xy 144.284 70.3581)
- (xy 144.284 70.100399) (xy 144.308545 70.08728) (xy 144.35179 70.05179) (xy 144.38728 70.008545) (xy 144.413651 69.959208)
- (xy 144.429891 69.905674) (xy 144.435374 69.85) (xy 144.435374 69.05) (xy 144.429891 68.994326) (xy 144.413651 68.940792)
- (xy 144.38728 68.891455) (xy 144.35179 68.84821) (xy 144.308545 68.81272) (xy 144.259208 68.786349) (xy 144.205674 68.770109)
- (xy 144.15 68.764626) (xy 143.25 68.764626) (xy 143.194326 68.770109) (xy 143.140792 68.786349) (xy 143.091455 68.81272)
- (xy 143.04821 68.84821) (xy 143.01272 68.891455) (xy 142.986349 68.940792) (xy 142.970109 68.994326) (xy 142.964626 69.05)
- (xy 135.509 69.05) (xy 135.509 67.15) (xy 142.964626 67.15) (xy 142.964626 67.95) (xy 142.970109 68.005674)
- (xy 142.986349 68.059208) (xy 143.01272 68.108545) (xy 143.04821 68.15179) (xy 143.091455 68.18728) (xy 143.140792 68.213651)
- (xy 143.194326 68.229891) (xy 143.25 68.235374) (xy 144.15 68.235374) (xy 144.205674 68.229891) (xy 144.259208 68.213651)
- (xy 144.308545 68.18728) (xy 144.35179 68.15179) (xy 144.38728 68.108545) (xy 144.391847 68.1) (xy 144.964626 68.1)
- (xy 144.964626 68.9) (xy 144.970109 68.955674) (xy 144.986349 69.009208) (xy 145.01272 69.058545) (xy 145.04821 69.10179)
- (xy 145.091455 69.13728) (xy 145.140792 69.163651) (xy 145.194326 69.179891) (xy 145.25 69.185374) (xy 146.15 69.185374)
- (xy 146.205674 69.179891) (xy 146.259208 69.163651) (xy 146.308545 69.13728) (xy 146.35179 69.10179) (xy 146.38728 69.058545)
- (xy 146.413651 69.009208) (xy 146.429891 68.955674) (xy 146.435374 68.9) (xy 146.435374 68.880434) (xy 150.909876 68.880434)
- (xy 150.924465 68.953327) (xy 151.043178 69.251988) (xy 151.217876 69.521751) (xy 151.441845 69.752248) (xy 151.706479 69.934622)
- (xy 151.750868 69.95376) (xy 151.587682 70.087682) (xy 151.427228 70.283197) (xy 151.308 70.506257) (xy 151.234579 70.748292)
- (xy 151.209788 71) (xy 151.234579 71.251708) (xy 151.308 71.493743) (xy 151.427228 71.716803) (xy 151.587682 71.912318)
- (xy 151.783197 72.072772) (xy 152.006257 72.192) (xy 152.248292 72.265421) (xy 152.436928 72.284) (xy 152.963072 72.284)
- (xy 153.151708 72.265421) (xy 153.393743 72.192) (xy 153.616803 72.072772) (xy 153.812318 71.912318) (xy 153.972772 71.716803)
- (xy 154.092 71.493743) (xy 154.165421 71.251708) (xy 154.190212 71) (xy 154.165421 70.748292) (xy 154.092 70.506257)
- (xy 153.972772 70.283197) (xy 153.812318 70.087682) (xy 153.649132 69.95376) (xy 153.693521 69.934622) (xy 153.958155 69.752248)
- (xy 154.182124 69.521751) (xy 154.356822 69.251988) (xy 154.475535 68.953327) (xy 154.490124 68.880434) (xy 154.370777 68.627)
- (xy 152.827 68.627) (xy 152.827 68.647) (xy 152.573 68.647) (xy 152.573 68.627) (xy 151.029223 68.627)
- (xy 150.909876 68.880434) (xy 146.435374 68.880434) (xy 146.435374 68.875381) (xy 146.497661 68.856486) (xy 146.564371 68.820829)
- (xy 146.622843 68.772843) (xy 146.634874 68.758183) (xy 147.273491 68.119566) (xy 150.909876 68.119566) (xy 151.029223 68.373)
- (xy 152.573 68.373) (xy 152.573 68.353) (xy 152.827 68.353) (xy 152.827 68.373) (xy 154.370777 68.373)
- (xy 154.490124 68.119566) (xy 154.475535 68.046673) (xy 154.356822 67.748012) (xy 154.182124 67.478249) (xy 153.958155 67.247752)
- (xy 153.693521 67.065378) (xy 153.649132 67.04624) (xy 153.812318 66.912318) (xy 153.972772 66.716803) (xy 154.092 66.493743)
- (xy 154.165421 66.251708) (xy 154.190212 66) (xy 154.165421 65.748292) (xy 154.092 65.506257) (xy 153.972772 65.283197)
- (xy 153.812318 65.087682) (xy 153.616803 64.927228) (xy 153.393743 64.808) (xy 153.151708 64.734579) (xy 152.963072 64.716)
- (xy 152.436928 64.716) (xy 152.248292 64.734579) (xy 152.006257 64.808) (xy 151.783197 64.927228) (xy 151.587682 65.087682)
- (xy 151.427228 65.283197) (xy 151.308 65.506257) (xy 151.234579 65.748292) (xy 151.209788 66) (xy 151.234579 66.251708)
- (xy 151.308 66.493743) (xy 151.427228 66.716803) (xy 151.587682 66.912318) (xy 151.750868 67.04624) (xy 151.706479 67.065378)
- (xy 151.441845 67.247752) (xy 151.217876 67.478249) (xy 151.043178 67.748012) (xy 150.924465 68.046673) (xy 150.909876 68.119566)
- (xy 147.273491 68.119566) (xy 149.789058 65.604) (xy 150.861138 65.604) (xy 150.88 65.605858) (xy 150.898862 65.604)
- (xy 150.898865 65.604) (xy 150.955277 65.598444) (xy 151.027661 65.576486) (xy 151.094371 65.540829) (xy 151.152843 65.492843)
- (xy 151.164874 65.478183) (xy 152.019058 64.624) (xy 157.180943 64.624) (xy 157.575131 65.018188) (xy 157.587157 65.032843)
- (xy 157.60181 65.044868) (xy 157.645628 65.080829) (xy 157.672184 65.095023) (xy 157.712339 65.116486) (xy 157.784723 65.138444)
- (xy 157.841135 65.144) (xy 157.841137 65.144) (xy 157.859999 65.145858) (xy 157.878862 65.144) (xy 159.800943 65.144)
- (xy 162.066 67.409058) (xy 162.066 67.507519) (xy 162.088443 67.620347) (xy 162.132466 67.726628) (xy 162.196377 67.822278)
- (xy 162.277722 67.903623) (xy 162.373372 67.967534) (xy 162.479653 68.011557) (xy 162.592481 68.034) (xy 162.707519 68.034)
- (xy 162.820347 68.011557) (xy 162.926628 67.967534) (xy 163.022278 67.903623) (xy 163.103623 67.822278) (xy 163.167534 67.726628)
- (xy 163.211557 67.620347) (xy 163.234 67.507519) (xy 163.234 67.392481) (xy 163.211557 67.279653) (xy 163.167534 67.173372)
- (xy 163.103623 67.077722) (xy 163.022278 66.996377) (xy 162.926628 66.932466) (xy 162.820347 66.888443) (xy 162.707519 66.866)
- (xy 162.609058 66.866) (xy 160.244874 64.501817) (xy 160.232843 64.487157) (xy 160.174371 64.439171) (xy 160.107661 64.403514)
- (xy 160.035277 64.381556) (xy 159.978865 64.376) (xy 159.978862 64.376) (xy 159.96 64.374142) (xy 159.941138 64.376)
- (xy 158.019058 64.376) (xy 157.624874 63.981817) (xy 157.612843 63.967157) (xy 157.554371 63.919171) (xy 157.487661 63.883514)
- (xy 157.415277 63.861556) (xy 157.358865 63.856) (xy 157.358862 63.856) (xy 157.34 63.854142) (xy 157.321138 63.856)
- (xy 151.878861 63.856) (xy 151.859999 63.854142) (xy 151.841137 63.856) (xy 151.841135 63.856) (xy 151.784723 63.861556)
- (xy 151.712339 63.883514) (xy 151.645629 63.919171) (xy 151.587157 63.967157) (xy 151.575131 63.981811) (xy 150.720943 64.836)
- (xy 149.648854 64.836) (xy 149.629999 64.834143) (xy 149.611144 64.836) (xy 149.611135 64.836) (xy 149.554723 64.841556)
- (xy 149.482339 64.863514) (xy 149.415629 64.899171) (xy 149.357157 64.947157) (xy 149.345131 64.961811) (xy 146.377457 67.929486)
- (xy 146.35179 67.89821) (xy 146.308545 67.86272) (xy 146.259208 67.836349) (xy 146.205674 67.820109) (xy 146.15 67.814626)
- (xy 145.25 67.814626) (xy 145.194326 67.820109) (xy 145.140792 67.836349) (xy 145.091455 67.86272) (xy 145.04821 67.89821)
- (xy 145.01272 67.941455) (xy 144.986349 67.990792) (xy 144.970109 68.044326) (xy 144.964626 68.1) (xy 144.391847 68.1)
- (xy 144.413651 68.059208) (xy 144.429891 68.005674) (xy 144.435374 67.95) (xy 144.435374 67.15) (xy 144.429891 67.094326)
- (xy 144.413651 67.040792) (xy 144.38728 66.991455) (xy 144.35179 66.94821) (xy 144.308545 66.91272) (xy 144.284 66.899601)
- (xy 144.284 66.2419) (xy 145.51267 65.013231) (xy 145.534948 64.994948) (xy 145.607927 64.906023) (xy 145.662156 64.804568)
- (xy 145.695549 64.694484) (xy 145.704 64.608682) (xy 145.704 64.608675) (xy 145.706824 64.580001) (xy 145.704 64.551327)
- (xy 145.704 62.173688) (xy 146.276 62.173688) (xy 146.276 62.446312) (xy 146.329186 62.713698) (xy 146.433515 62.96557)
- (xy 146.584977 63.192249) (xy 146.777751 63.385023) (xy 147.00443 63.536485) (xy 147.256302 63.640814) (xy 147.523688 63.694)
- (xy 147.796312 63.694) (xy 148.063698 63.640814) (xy 148.31557 63.536485) (xy 148.417599 63.468311) (xy 149.066 63.468311)
- (xy 149.066 63.691689) (xy 149.109579 63.910776) (xy 149.195062 64.11715) (xy 149.319165 64.302883) (xy 149.477117 64.460835)
- (xy 149.66285 64.584938) (xy 149.869224 64.670421) (xy 150.088311 64.714) (xy 150.311689 64.714) (xy 150.530776 64.670421)
- (xy 150.73715 64.584938) (xy 150.922883 64.460835) (xy 151.080835 64.302883) (xy 151.204938 64.11715) (xy 151.290421 63.910776)
- (xy 151.334 63.691689) (xy 151.334 63.468311) (xy 151.290421 63.249224) (xy 151.204938 63.04285) (xy 151.080835 62.857117)
- (xy 150.922883 62.699165) (xy 150.73715 62.575062) (xy 150.530776 62.489579) (xy 150.311689 62.446) (xy 150.088311 62.446)
- (xy 149.869224 62.489579) (xy 149.66285 62.575062) (xy 149.477117 62.699165) (xy 149.319165 62.857117) (xy 149.195062 63.04285)
- (xy 149.109579 63.249224) (xy 149.066 63.468311) (xy 148.417599 63.468311) (xy 148.542249 63.385023) (xy 148.735023 63.192249)
- (xy 148.886485 62.96557) (xy 148.990814 62.713698) (xy 149.044 62.446312) (xy 149.044 62.398003) (xy 157.567573 62.398003)
- (xy 157.647947 62.695368) (xy 157.846388 63.119439) (xy 158.123748 63.496648) (xy 158.469369 63.812498) (xy 158.869968 64.054852)
- (xy 159.31015 64.214396) (xy 159.773 64.285) (xy 160.273 64.285) (xy 160.273 62.027) (xy 160.527 62.027)
- (xy 160.527 64.285) (xy 161.027 64.285) (xy 161.48985 64.214396) (xy 161.930032 64.054852) (xy 162.330631 63.812498)
- (xy 162.676252 63.496648) (xy 162.953612 63.119439) (xy 163.152053 62.695368) (xy 163.232427 62.398003) (xy 163.122625 62.027)
- (xy 160.527 62.027) (xy 160.273 62.027) (xy 157.677375 62.027) (xy 157.567573 62.398003) (xy 149.044 62.398003)
- (xy 149.044 62.354) (xy 155.251318 62.354) (xy 155.28 62.356825) (xy 155.308682 62.354) (xy 155.394484 62.345549)
- (xy 155.504568 62.312156) (xy 155.606023 62.257927) (xy 155.694948 62.184948) (xy 155.713236 62.162664) (xy 156.473903 61.401997)
- (xy 157.567573 61.401997) (xy 157.677375 61.773) (xy 160.273 61.773) (xy 160.273 59.515) (xy 160.527 59.515)
- (xy 160.527 61.773) (xy 163.122625 61.773) (xy 163.232427 61.401997) (xy 163.152053 61.104632) (xy 162.953612 60.680561)
- (xy 162.676252 60.303352) (xy 162.330631 59.987502) (xy 161.930032 59.745148) (xy 161.48985 59.585604) (xy 161.027 59.515)
- (xy 160.527 59.515) (xy 160.273 59.515) (xy 159.773 59.515) (xy 159.31015 59.585604) (xy 158.869968 59.745148)
- (xy 158.469369 59.987502) (xy 158.123748 60.303352) (xy 157.846388 60.680561) (xy 157.647947 61.104632) (xy 157.567573 61.401997)
- (xy 156.473903 61.401997) (xy 164.7919 53.084) (xy 166.501646 53.084) (xy 166.493175 53.17) (xy 166.496 53.198682)
- (xy 166.496 55.783471) (xy 166.450814 55.556302) (xy 166.346485 55.30443) (xy 166.195023 55.077751) (xy 166.002249 54.884977)
- (xy 165.77557 54.733515) (xy 165.523698 54.629186) (xy 165.256312 54.576) (xy 164.983688 54.576) (xy 164.716302 54.629186)
- (xy 164.46443 54.733515) (xy 164.237751 54.884977) (xy 164.044977 55.077751) (xy 163.893515 55.30443) (xy 163.789186 55.556302)
- (xy 163.736 55.823688) (xy 163.736 56.096312) (xy 163.789186 56.363698) (xy 163.893515 56.61557) (xy 164.044977 56.842249)
- (xy 164.237751 57.035023) (xy 164.46443 57.186485) (xy 164.536 57.21613) (xy 164.536 57.544315) (xy 164.536001 57.544325)
- (xy 164.536 67.091318) (xy 164.533175 67.12) (xy 164.536 67.148681) (xy 164.544451 67.234483) (xy 164.577844 67.344567)
- (xy 164.632073 67.446022) (xy 164.705052 67.534948) (xy 164.727336 67.553236) (xy 165.445425 68.271326) (xy 165.363177 68.279427)
- (xy 165.342526 68.281461) (xy 165.232442 68.314854) (xy 165.130987 68.369083) (xy 165.042062 68.442062) (xy 165.023774 68.464346)
- (xy 164.727336 68.760784) (xy 164.705052 68.779072) (xy 164.632073 68.867998) (xy 164.577844 68.969453) (xy 164.555895 69.04181)
- (xy 164.544451 69.079537) (xy 164.533175 69.19402) (xy 164.536 69.222702) (xy 164.536001 74.703869) (xy 164.46443 74.733515)
- (xy 164.237751 74.884977) (xy 164.044977 75.077751) (xy 163.893515 75.30443) (xy 163.789186 75.556302) (xy 163.736 75.823688)
- (xy 163.736 76.096312) (xy 163.789186 76.363698) (xy 163.893515 76.61557) (xy 164.044977 76.842249) (xy 164.237751 77.035023)
- (xy 164.46443 77.186485) (xy 164.716302 77.290814) (xy 164.983688 77.344) (xy 165.256312 77.344) (xy 165.523698 77.290814)
- (xy 165.77557 77.186485) (xy 166.002249 77.035023) (xy 166.195023 76.842249) (xy 166.346485 76.61557) (xy 166.450814 76.363698)
- (xy 166.504 76.096312) (xy 166.504 75.823688) (xy 166.450814 75.556302) (xy 166.346485 75.30443) (xy 166.195023 75.077751)
- (xy 166.002249 74.884977) (xy 165.77557 74.733515) (xy 165.704 74.70387) (xy 165.704 69.44101) (xy 167.91511 69.44101)
- (xy 168.216768 69.742669) (xy 168.235052 69.764948) (xy 168.323977 69.837927) (xy 168.425432 69.892156) (xy 168.535516 69.925549)
- (xy 168.621318 69.934) (xy 168.621325 69.934) (xy 168.649999 69.936824) (xy 168.678673 69.934) (xy 169.03361 69.934)
- (xy 169.04821 69.95179) (xy 169.091455 69.98728) (xy 169.140792 70.013651) (xy 169.194326 70.029891) (xy 169.25 70.035374)
- (xy 169.784171 70.035374) (xy 169.203545 70.616) (xy 168.63669 70.616) (xy 168.62697 70.567133) (xy 168.515103 70.297063)
- (xy 168.352698 70.054005) (xy 168.145995 69.847302) (xy 167.902937 69.684897) (xy 167.632867 69.57303) (xy 167.346161 69.516)
- (xy 167.053839 69.516) (xy 166.767133 69.57303) (xy 166.497063 69.684897) (xy 166.254005 69.847302) (xy 166.047302 70.054005)
- (xy 165.884897 70.297063) (xy 165.77303 70.567133) (xy 165.716 70.853839) (xy 165.716 71.146161) (xy 165.77303 71.432867)
- (xy 165.884897 71.702937) (xy 166.047302 71.945995) (xy 166.254005 72.152698) (xy 166.497063 72.315103) (xy 166.616 72.364369)
- (xy 166.616001 81.396727) (xy 166.584977 81.427751) (xy 166.433515 81.65443) (xy 166.40387 81.726) (xy 152.711901 81.726)
- (xy 152.293236 81.307336) (xy 152.274948 81.285052) (xy 152.186023 81.212073) (xy 152.084568 81.157844) (xy 151.974484 81.124451)
- (xy 151.888682 81.116) (xy 151.86 81.113175) (xy 151.831318 81.116) (xy 148.378682 81.116) (xy 148.361681 81.114326)
- (xy 148.31557 81.083515) (xy 148.063698 80.979186) (xy 147.796312 80.926) (xy 147.523688 80.926) (xy 147.256302 80.979186)
- (xy 147.00443 81.083515) (xy 146.777751 81.234977) (xy 146.584977 81.427751) (xy 146.433515 81.65443) (xy 146.329186 81.906302)
- (xy 146.276 82.173688) (xy 146.276 82.446312) (xy 146.329186 82.713698) (xy 146.433515 82.96557) (xy 146.584977 83.192249)
- (xy 146.777751 83.385023) (xy 147.00443 83.536485) (xy 147.256302 83.640814) (xy 147.523688 83.694) (xy 147.796312 83.694)
- (xy 148.063698 83.640814) (xy 148.31557 83.536485) (xy 148.417599 83.468311) (xy 149.066 83.468311) (xy 149.066 83.691689)
- (xy 149.109579 83.910776) (xy 149.195062 84.11715) (xy 149.319165 84.302883) (xy 149.477117 84.460835) (xy 149.66285 84.584938)
- (xy 149.869224 84.670421) (xy 150.088311 84.714) (xy 150.311689 84.714) (xy 150.530776 84.670421) (xy 150.73715 84.584938)
- (xy 150.922883 84.460835) (xy 151.080835 84.302883) (xy 151.204938 84.11715) (xy 151.290421 83.910776) (xy 151.334 83.691689)
- (xy 151.334 83.468311) (xy 151.290421 83.249224) (xy 151.204938 83.04285) (xy 151.080835 82.857117) (xy 150.922883 82.699165)
- (xy 150.73715 82.575062) (xy 150.530776 82.489579) (xy 150.311689 82.446) (xy 150.088311 82.446) (xy 149.869224 82.489579)
- (xy 149.66285 82.575062) (xy 149.477117 82.699165) (xy 149.319165 82.857117) (xy 149.195062 83.04285) (xy 149.109579 83.249224)
- (xy 149.066 83.468311) (xy 148.417599 83.468311) (xy 148.542249 83.385023) (xy 148.735023 83.192249) (xy 148.886485 82.96557)
- (xy 148.990814 82.713698) (xy 149.044 82.446312) (xy 149.044 82.284) (xy 151.6181 82.284) (xy 152.036768 82.702669)
- (xy 152.055052 82.724948) (xy 152.143977 82.797927) (xy 152.245432 82.852156) (xy 152.355516 82.885549) (xy 152.441318 82.894)
- (xy 152.441325 82.894) (xy 152.469999 82.896824) (xy 152.498673 82.894) (xy 166.40387 82.894) (xy 166.433515 82.96557)
- (xy 166.584977 83.192249) (xy 166.777751 83.385023) (xy 167.00443 83.536485) (xy 167.256302 83.640814) (xy 167.523688 83.694)
- (xy 167.796312 83.694) (xy 168.063698 83.640814) (xy 168.31557 83.536485) (xy 168.417599 83.468311) (xy 169.066 83.468311)
- (xy 169.066 83.691689) (xy 169.109579 83.910776) (xy 169.195062 84.11715) (xy 169.319165 84.302883) (xy 169.477117 84.460835)
- (xy 169.66285 84.584938) (xy 169.869224 84.670421) (xy 170.088311 84.714) (xy 170.311689 84.714) (xy 170.530776 84.670421)
- (xy 170.73715 84.584938) (xy 170.922883 84.460835) (xy 171.080835 84.302883) (xy 171.204938 84.11715) (xy 171.290421 83.910776)
- (xy 171.334 83.691689) (xy 171.334 83.468311) (xy 189.066 83.468311) (xy 189.066 83.691689) (xy 189.109579 83.910776)
- (xy 189.195062 84.11715) (xy 189.319165 84.302883) (xy 189.477117 84.460835) (xy 189.66285 84.584938) (xy 189.869224 84.670421)
- (xy 190.088311 84.714) (xy 190.311689 84.714) (xy 190.530776 84.670421) (xy 190.73715 84.584938) (xy 190.922883 84.460835)
- (xy 191.080835 84.302883) (xy 191.204938 84.11715) (xy 191.290421 83.910776) (xy 191.334 83.691689) (xy 191.334 83.468311)
- (xy 191.290421 83.249224) (xy 191.204938 83.04285) (xy 191.080835 82.857117) (xy 190.922883 82.699165) (xy 190.73715 82.575062)
- (xy 190.530776 82.489579) (xy 190.311689 82.446) (xy 190.088311 82.446) (xy 189.869224 82.489579) (xy 189.66285 82.575062)
- (xy 189.477117 82.699165) (xy 189.319165 82.857117) (xy 189.195062 83.04285) (xy 189.109579 83.249224) (xy 189.066 83.468311)
- (xy 171.334 83.468311) (xy 171.290421 83.249224) (xy 171.204938 83.04285) (xy 171.080835 82.857117) (xy 170.922883 82.699165)
- (xy 170.73715 82.575062) (xy 170.530776 82.489579) (xy 170.311689 82.446) (xy 170.088311 82.446) (xy 169.869224 82.489579)
- (xy 169.66285 82.575062) (xy 169.477117 82.699165) (xy 169.319165 82.857117) (xy 169.195062 83.04285) (xy 169.109579 83.249224)
- (xy 169.066 83.468311) (xy 168.417599 83.468311) (xy 168.542249 83.385023) (xy 168.735023 83.192249) (xy 168.886485 82.96557)
- (xy 168.990814 82.713698) (xy 169.044 82.446312) (xy 169.044 82.173688) (xy 169.02616 82.084) (xy 184.041318 82.084)
- (xy 184.07 82.086825) (xy 184.098682 82.084) (xy 184.184484 82.075549) (xy 184.294568 82.042156) (xy 184.396023 81.987927)
- (xy 184.484948 81.914948) (xy 184.503236 81.892664) (xy 187.042669 79.353232) (xy 187.064948 79.334948) (xy 187.137927 79.246023)
- (xy 187.192156 79.144568) (xy 187.225549 79.034484) (xy 187.234 78.948682) (xy 187.236825 78.92) (xy 187.234 78.891318)
- (xy 187.234 77.5419) (xy 188.881901 75.894) (xy 192.050779 75.894) (xy 192.08443 75.916485) (xy 192.156 75.94613)
- (xy 192.156 76.216952) (xy 192.153175 76.245634) (xy 192.156 76.274315) (xy 192.164451 76.360117) (xy 192.197844 76.470201)
- (xy 192.252073 76.571656) (xy 192.325052 76.660582) (xy 192.347336 76.67887) (xy 192.596001 76.927536) (xy 192.596 81.950675)
- (xy 191.20233 80.557005) (xy 191.28188 80.524054) (xy 191.655965 80.274098) (xy 191.974098 79.955965) (xy 192.224054 79.58188)
- (xy 192.396227 79.166218) (xy 192.484 78.724954) (xy 192.484 78.275046) (xy 192.396227 77.833782) (xy 192.224054 77.41812)
- (xy 191.974098 77.044035) (xy 191.655965 76.725902) (xy 191.28188 76.475946) (xy 190.866218 76.303773) (xy 190.424954 76.216)
- (xy 189.975046 76.216) (xy 189.533782 76.303773) (xy 189.11812 76.475946) (xy 188.744035 76.725902) (xy 188.425902 77.044035)
- (xy 188.175946 77.41812) (xy 188.003773 77.833782) (xy 187.916 78.275046) (xy 187.916 78.724954) (xy 188.003773 79.166218)
- (xy 188.175946 79.58188) (xy 188.425902 79.955965) (xy 188.744035 80.274098) (xy 188.956406 80.416) (xy 188.391901 80.416)
- (xy 188.322278 80.346377) (xy 188.226628 80.282466) (xy 188.120347 80.238443) (xy 188.007519 80.216) (xy 187.892481 80.216)
- (xy 187.779653 80.238443) (xy 187.673372 80.282466) (xy 187.577722 80.346377) (xy 187.496377 80.427722) (xy 187.432466 80.523372)
- (xy 187.388443 80.629653) (xy 187.366 80.742481) (xy 187.366 80.857519) (xy 187.388443 80.970347) (xy 187.432466 81.076628)
- (xy 187.496377 81.172278) (xy 187.577722 81.253623) (xy 187.673372 81.317534) (xy 187.779653 81.361557) (xy 187.892481 81.384)
- (xy 188.007519 81.384) (xy 188.120347 81.361557) (xy 188.226628 81.317534) (xy 188.322278 81.253623) (xy 188.391901 81.184)
- (xy 190.743211 81.184) (xy 193.374413 83.815203) (xy 193.386435 83.829852) (xy 193.401084 83.841874) (xy 193.401088 83.841878)
- (xy 193.444906 83.877839) (xy 193.511616 83.913495) (xy 193.584 83.935453) (xy 193.659278 83.942868) (xy 193.67815 83.941009)
- (xy 197.271858 83.941011) (xy 197.290721 83.942869) (xy 197.309583 83.941011) (xy 197.309585 83.941011) (xy 197.365997 83.935455)
- (xy 197.438381 83.913497) (xy 197.469435 83.896898) (xy 197.505092 83.87784) (xy 197.54891 83.841879) (xy 197.548911 83.841878)
- (xy 197.563563 83.829854) (xy 197.575589 83.8152) (xy 197.922478 83.468311) (xy 209.066 83.468311) (xy 209.066 83.691689)
- (xy 209.109579 83.910776) (xy 209.195062 84.11715) (xy 209.319165 84.302883) (xy 209.477117 84.460835) (xy 209.66285 84.584938)
- (xy 209.869224 84.670421) (xy 210.088311 84.714) (xy 210.311689 84.714) (xy 210.530776 84.670421) (xy 210.73715 84.584938)
- (xy 210.922883 84.460835) (xy 211.080835 84.302883) (xy 211.204938 84.11715) (xy 211.290421 83.910776) (xy 211.334 83.691689)
- (xy 211.334 83.468311) (xy 211.290421 83.249224) (xy 211.204938 83.04285) (xy 211.080835 82.857117) (xy 210.922883 82.699165)
- (xy 210.73715 82.575062) (xy 210.530776 82.489579) (xy 210.311689 82.446) (xy 210.088311 82.446) (xy 209.869224 82.489579)
- (xy 209.66285 82.575062) (xy 209.477117 82.699165) (xy 209.319165 82.857117) (xy 209.195062 83.04285) (xy 209.109579 83.249224)
- (xy 209.066 83.468311) (xy 197.922478 83.468311) (xy 200.487101 80.903688) (xy 213.896 80.903688) (xy 213.896 81.176312)
- (xy 213.949186 81.443698) (xy 214.053515 81.69557) (xy 214.204977 81.922249) (xy 214.397751 82.115023) (xy 214.62443 82.266485)
- (xy 214.876302 82.370814) (xy 215.143688 82.424) (xy 215.416312 82.424) (xy 215.683698 82.370814) (xy 215.93557 82.266485)
- (xy 216.162249 82.115023) (xy 216.355023 81.922249) (xy 216.506485 81.69557) (xy 216.610814 81.443698) (xy 216.664 81.176312)
- (xy 216.664 80.903688) (xy 216.617484 80.669837) (xy 218.159903 79.127419) (xy 218.186001 79.106001) (xy 218.271477 79.001848)
- (xy 218.334991 78.883022) (xy 218.374103 78.754087) (xy 218.384 78.653598) (xy 218.384 78.653596) (xy 218.387309 78.62)
- (xy 218.384 78.586404) (xy 218.384 78.512542) (xy 218.38728 78.508545) (xy 218.413651 78.459208) (xy 218.429891 78.405674)
- (xy 218.435374 78.35) (xy 218.435374 77.55) (xy 218.429891 77.494326) (xy 218.413651 77.440792) (xy 218.38728 77.391455)
- (xy 218.35179 77.34821) (xy 218.308545 77.31272) (xy 218.259208 77.286349) (xy 218.205674 77.270109) (xy 218.15 77.264626)
- (xy 217.719647 77.264626) (xy 217.7 77.262691) (xy 217.680353 77.264626) (xy 217.25 77.264626) (xy 217.194326 77.270109)
- (xy 217.140792 77.286349) (xy 217.091455 77.31272) (xy 217.04821 77.34821) (xy 217.01272 77.391455) (xy 216.986349 77.440792)
- (xy 216.970109 77.494326) (xy 216.964626 77.55) (xy 216.964626 78.35) (xy 216.968038 78.384641) (xy 215.650163 79.702516)
- (xy 215.416312 79.656) (xy 215.143688 79.656) (xy 214.876302 79.709186) (xy 214.62443 79.813515) (xy 214.397751 79.964977)
- (xy 214.204977 80.157751) (xy 214.053515 80.38443) (xy 213.949186 80.636302) (xy 213.896 80.903688) (xy 200.487101 80.903688)
- (xy 203.115743 78.275046) (xy 207.916 78.275046) (xy 207.916 78.724954) (xy 208.003773 79.166218) (xy 208.175946 79.58188)
- (xy 208.425902 79.955965) (xy 208.744035 80.274098) (xy 209.11812 80.524054) (xy 209.533782 80.696227) (xy 209.975046 80.784)
- (xy 210.424954 80.784) (xy 210.866218 80.696227) (xy 211.28188 80.524054) (xy 211.655965 80.274098) (xy 211.974098 79.955965)
- (xy 212.224054 79.58188) (xy 212.396227 79.166218) (xy 212.484 78.724954) (xy 212.484 78.275046) (xy 212.396227 77.833782)
- (xy 212.224054 77.41812) (xy 211.974098 77.044035) (xy 211.655965 76.725902) (xy 211.28188 76.475946) (xy 210.866218 76.303773)
- (xy 210.424954 76.216) (xy 209.975046 76.216) (xy 209.533782 76.303773) (xy 209.11812 76.475946) (xy 208.744035 76.725902)
- (xy 208.425902 77.044035) (xy 208.175946 77.41812) (xy 208.003773 77.833782) (xy 207.916 78.275046) (xy 203.115743 78.275046)
- (xy 205.299779 76.091011) (xy 211.137954 76.091011) (xy 212.305131 77.258189) (xy 212.317157 77.272843) (xy 212.339055 77.290814)
- (xy 212.375628 77.320829) (xy 212.442338 77.356486) (xy 212.459186 77.361596) (xy 212.514723 77.378444) (xy 212.571135 77.384)
- (xy 212.571138 77.384) (xy 212.59 77.385858) (xy 212.608862 77.384) (xy 214.964626 77.384) (xy 214.964626 77.4)
- (xy 214.970109 77.455674) (xy 214.986349 77.509208) (xy 215.01272 77.558545) (xy 215.04821 77.60179) (xy 215.091455 77.63728)
- (xy 215.140792 77.663651) (xy 215.194326 77.679891) (xy 215.25 77.685374) (xy 216.15 77.685374) (xy 216.205674 77.679891)
- (xy 216.259208 77.663651) (xy 216.308545 77.63728) (xy 216.35179 77.60179) (xy 216.38728 77.558545) (xy 216.413651 77.509208)
- (xy 216.429891 77.455674) (xy 216.435374 77.4) (xy 216.435374 76.6) (xy 216.429891 76.544326) (xy 216.413651 76.490792)
- (xy 216.38728 76.441455) (xy 216.35179 76.39821) (xy 216.308545 76.36272) (xy 216.259208 76.336349) (xy 216.205674 76.320109)
- (xy 216.15 76.314626) (xy 215.25 76.314626) (xy 215.194326 76.320109) (xy 215.140792 76.336349) (xy 215.091455 76.36272)
- (xy 215.04821 76.39821) (xy 215.01272 76.441455) (xy 214.986349 76.490792) (xy 214.970109 76.544326) (xy 214.964626 76.6)
- (xy 214.964626 76.616) (xy 212.749058 76.616) (xy 211.97929 75.846233) (xy 212.08443 75.916485) (xy 212.336302 76.020814)
- (xy 212.603688 76.074) (xy 212.876312 76.074) (xy 213.143698 76.020814) (xy 213.39557 75.916485) (xy 213.622249 75.765023)
- (xy 213.815023 75.572249) (xy 213.966485 75.34557) (xy 214.070814 75.093698) (xy 214.124 74.826312) (xy 214.124 74.553688)
- (xy 214.070814 74.286302) (xy 213.966485 74.03443) (xy 213.815023 73.807751) (xy 213.622249 73.614977) (xy 213.39557 73.463515)
- (xy 213.143698 73.359186) (xy 212.876312 73.306) (xy 212.603688 73.306) (xy 212.336302 73.359186) (xy 212.08443 73.463515)
- (xy 211.857751 73.614977) (xy 211.664977 73.807751) (xy 211.513515 74.03443) (xy 211.409186 74.286302) (xy 211.356 74.553688)
- (xy 211.356 74.566) (xy 204.938673 74.566) (xy 204.909999 74.563176) (xy 204.881325 74.566) (xy 204.881318 74.566)
- (xy 204.795516 74.574451) (xy 204.685432 74.607844) (xy 204.583977 74.662073) (xy 204.495052 74.735052) (xy 204.476768 74.757331)
- (xy 196.8181 82.416) (xy 195.456531 82.416) (xy 195.683698 82.370814) (xy 195.93557 82.266485) (xy 196.162249 82.115023)
- (xy 196.355023 81.922249) (xy 196.506485 81.69557) (xy 196.610814 81.443698) (xy 196.664 81.176312) (xy 196.664 80.903688)
- (xy 196.610814 80.636302) (xy 196.506485 80.38443) (xy 196.355023 80.157751) (xy 196.162249 79.964977) (xy 195.964 79.832511)
- (xy 195.964 75.598003) (xy 197.167573 75.598003) (xy 197.247947 75.895368) (xy 197.446388 76.319439) (xy 197.723748 76.696648)
- (xy 198.069369 77.012498) (xy 198.469968 77.254852) (xy 198.91015 77.414396) (xy 199.373 77.485) (xy 199.873 77.485)
- (xy 199.873 75.227) (xy 200.127 75.227) (xy 200.127 77.485) (xy 200.627 77.485) (xy 201.08985 77.414396)
- (xy 201.530032 77.254852) (xy 201.930631 77.012498) (xy 202.276252 76.696648) (xy 202.553612 76.319439) (xy 202.752053 75.895368)
- (xy 202.832427 75.598003) (xy 202.722625 75.227) (xy 200.127 75.227) (xy 199.873 75.227) (xy 197.277375 75.227)
- (xy 197.167573 75.598003) (xy 195.964 75.598003) (xy 195.964 74.601997) (xy 197.167573 74.601997) (xy 197.277375 74.973)
- (xy 199.873 74.973) (xy 199.873 72.715) (xy 199.373 72.715) (xy 198.91015 72.785604) (xy 198.469968 72.945148)
- (xy 198.069369 73.187502) (xy 197.723748 73.503352) (xy 197.446388 73.880561) (xy 197.247947 74.304632) (xy 197.167573 74.601997)
- (xy 195.964 74.601997) (xy 195.964 70.653321) (xy 196.481949 70.135374) (xy 196.65 70.135374) (xy 196.705674 70.129891)
- (xy 196.759208 70.113651) (xy 196.808545 70.08728) (xy 196.85179 70.05179) (xy 196.88728 70.008545) (xy 196.913651 69.959208)
- (xy 196.929891 69.905674) (xy 196.935374 69.85) (xy 196.935374 69.05) (xy 196.929891 68.994326) (xy 196.913651 68.940792)
- (xy 196.88728 68.891455) (xy 196.85179 68.84821) (xy 196.808545 68.81272) (xy 196.759208 68.786349) (xy 196.705674 68.770109)
- (xy 196.65 68.764626) (xy 196.219636 68.764626) (xy 196.199999 68.762692) (xy 196.180362 68.764626) (xy 195.75 68.764626)
- (xy 195.694326 68.770109) (xy 195.640792 68.786349) (xy 195.591455 68.81272) (xy 195.54821 68.84821) (xy 195.51272 68.891455)
- (xy 195.486349 68.940792) (xy 195.470109 68.994326) (xy 195.464626 69.05) (xy 195.464626 69.218052) (xy 194.820098 69.862581)
- (xy 194.793999 69.884) (xy 194.708523 69.988153) (xy 194.645009 70.106979) (xy 194.605897 70.235914) (xy 194.596 70.336402)
- (xy 194.592691 70.37) (xy 194.596 70.403595) (xy 194.596 70.492365) (xy 194.515103 70.297063) (xy 194.352698 70.054005)
- (xy 194.145995 69.847302) (xy 193.902937 69.684897) (xy 193.632867 69.57303) (xy 193.346161 69.516) (xy 193.053839 69.516)
- (xy 192.767133 69.57303) (xy 192.497063 69.684897) (xy 192.254005 69.847302) (xy 192.047302 70.054005) (xy 191.884897 70.297063)
- (xy 191.77303 70.567133) (xy 191.716 70.853839) (xy 191.716 71.146161) (xy 191.77303 71.432867) (xy 191.884897 71.702937)
- (xy 192.047302 71.945995) (xy 192.254005 72.152698) (xy 192.497063 72.315103) (xy 192.767133 72.42697) (xy 193.053839 72.484)
- (xy 193.346161 72.484) (xy 193.632867 72.42697) (xy 193.902937 72.315103) (xy 194.145995 72.152698) (xy 194.352698 71.945995)
- (xy 194.515103 71.702937) (xy 194.596 71.507635) (xy 194.596001 79.832511) (xy 194.397751 79.964977) (xy 194.204977 80.157751)
- (xy 194.053515 80.38443) (xy 193.949186 80.636302) (xy 193.896 80.903688) (xy 193.896 81.176312) (xy 193.949186 81.443698)
- (xy 194.053515 81.69557) (xy 194.204977 81.922249) (xy 194.397751 82.115023) (xy 194.62443 82.266485) (xy 194.876302 82.370814)
- (xy 195.103469 82.416) (xy 194.1319 82.416) (xy 193.764 82.0481) (xy 193.764 76.714316) (xy 193.766825 76.685634)
- (xy 193.755549 76.57115) (xy 193.722156 76.461066) (xy 193.667927 76.359611) (xy 193.594948 76.270686) (xy 193.572669 76.252402)
- (xy 193.324 76.003734) (xy 193.324 75.94613) (xy 193.39557 75.916485) (xy 193.622249 75.765023) (xy 193.815023 75.572249)
- (xy 193.966485 75.34557) (xy 194.070814 75.093698) (xy 194.124 74.826312) (xy 194.124 74.553688) (xy 194.070814 74.286302)
- (xy 193.966485 74.03443) (xy 193.815023 73.807751) (xy 193.622249 73.614977) (xy 193.39557 73.463515) (xy 193.143698 73.359186)
- (xy 192.876312 73.306) (xy 192.603688 73.306) (xy 192.336302 73.359186) (xy 192.08443 73.463515) (xy 191.857751 73.614977)
- (xy 191.664977 73.807751) (xy 191.513515 74.03443) (xy 191.409186 74.286302) (xy 191.356 74.553688) (xy 191.356 74.726)
- (xy 188.668682 74.726) (xy 188.64 74.723175) (xy 188.611318 74.726) (xy 188.525516 74.734451) (xy 188.415432 74.767844)
- (xy 188.313977 74.822073) (xy 188.225052 74.895052) (xy 188.206768 74.917331) (xy 186.257336 76.866764) (xy 186.235052 76.885052)
- (xy 186.162073 76.973978) (xy 186.107844 77.075433) (xy 186.078078 77.173561) (xy 186.074451 77.185517) (xy 186.063175 77.3)
- (xy 186.066 77.328682) (xy 186.066001 78.678098) (xy 183.8281 80.916) (xy 168.498682 80.916) (xy 168.47 80.913175)
- (xy 168.441318 80.916) (xy 168.355516 80.924451) (xy 168.245432 80.957844) (xy 168.143977 81.012073) (xy 168.143681 81.012316)
- (xy 168.063698 80.979186) (xy 167.796312 80.926) (xy 167.784 80.926) (xy 167.784 78.275046) (xy 167.916 78.275046)
- (xy 167.916 78.724954) (xy 168.003773 79.166218) (xy 168.175946 79.58188) (xy 168.425902 79.955965) (xy 168.744035 80.274098)
- (xy 169.11812 80.524054) (xy 169.533782 80.696227) (xy 169.975046 80.784) (xy 170.424954 80.784) (xy 170.866218 80.696227)
- (xy 171.28188 80.524054) (xy 171.655965 80.274098) (xy 171.974098 79.955965) (xy 172.224054 79.58188) (xy 172.396227 79.166218)
- (xy 172.484 78.724954) (xy 172.484 78.275046) (xy 172.396227 77.833782) (xy 172.224054 77.41812) (xy 171.974098 77.044035)
- (xy 171.655965 76.725902) (xy 171.28188 76.475946) (xy 170.866218 76.303773) (xy 170.424954 76.216) (xy 169.975046 76.216)
- (xy 169.533782 76.303773) (xy 169.11812 76.475946) (xy 168.744035 76.725902) (xy 168.425902 77.044035) (xy 168.175946 77.41812)
- (xy 168.003773 77.833782) (xy 167.916 78.275046) (xy 167.784 78.275046) (xy 167.784 73.308311) (xy 169.066 73.308311)
- (xy 169.066 73.531689) (xy 169.109579 73.750776) (xy 169.195062 73.95715) (xy 169.319165 74.142883) (xy 169.477117 74.300835)
- (xy 169.66285 74.424938) (xy 169.869224 74.510421) (xy 170.088311 74.554) (xy 170.311689 74.554) (xy 170.530776 74.510421)
- (xy 170.73715 74.424938) (xy 170.774472 74.4) (xy 173.386928 74.4) (xy 173.399188 74.524482) (xy 173.435498 74.64418)
- (xy 173.494463 74.754494) (xy 173.573815 74.851185) (xy 173.670506 74.930537) (xy 173.78082 74.989502) (xy 173.900518 75.025812)
- (xy 174.025 75.038072) (xy 174.21425 75.035) (xy 174.373 74.87625) (xy 174.373 74.002) (xy 174.627 74.002)
- (xy 174.627 74.87625) (xy 174.78575 75.035) (xy 174.975 75.038072) (xy 175.099482 75.025812) (xy 175.21918 74.989502)
- (xy 175.329494 74.930537) (xy 175.426185 74.851185) (xy 175.505537 74.754494) (xy 175.564502 74.64418) (xy 175.600812 74.524482)
- (xy 175.613072 74.4) (xy 175.61 74.16075) (xy 175.45125 74.002) (xy 174.627 74.002) (xy 174.373 74.002)
- (xy 173.54875 74.002) (xy 173.39 74.16075) (xy 173.386928 74.4) (xy 170.774472 74.4) (xy 170.922883 74.300835)
- (xy 171.080835 74.142883) (xy 171.204938 73.95715) (xy 171.290421 73.750776) (xy 171.334 73.531689) (xy 171.334 73.308311)
- (xy 171.290421 73.089224) (xy 171.204938 72.88285) (xy 171.080835 72.697117) (xy 170.922883 72.539165) (xy 170.73715 72.415062)
- (xy 170.530776 72.329579) (xy 170.311689 72.286) (xy 170.088311 72.286) (xy 169.869224 72.329579) (xy 169.66285 72.415062)
- (xy 169.477117 72.539165) (xy 169.319165 72.697117) (xy 169.195062 72.88285) (xy 169.109579 73.089224) (xy 169.066 73.308311)
- (xy 167.784 73.308311) (xy 167.784 72.364368) (xy 167.902937 72.315103) (xy 168.145995 72.152698) (xy 168.352698 71.945995)
- (xy 168.515103 71.702937) (xy 168.62697 71.432867) (xy 168.63669 71.384) (xy 169.34374 71.384) (xy 169.362602 71.385858)
- (xy 169.381464 71.384) (xy 169.381467 71.384) (xy 169.437879 71.378444) (xy 169.510263 71.356486) (xy 169.576973 71.320829)
- (xy 169.635445 71.272843) (xy 169.647476 71.258183) (xy 171.058189 69.847471) (xy 171.072843 69.835445) (xy 171.107948 69.792669)
- (xy 171.120829 69.776974) (xy 171.148062 69.726023) (xy 171.156486 69.710263) (xy 171.178444 69.637879) (xy 171.184 69.581467)
- (xy 171.184 69.581464) (xy 171.185858 69.562602) (xy 171.184 69.54374) (xy 171.184 69.076759) (xy 171.194326 69.079891)
- (xy 171.25 69.085374) (xy 171.992317 69.085374) (xy 171.992775 69.085833) (xy 171.979653 69.088443) (xy 171.873372 69.132466)
- (xy 171.777722 69.196377) (xy 171.696377 69.277722) (xy 171.632466 69.373372) (xy 171.588443 69.479653) (xy 171.566 69.592481)
- (xy 171.566 69.707519) (xy 171.588443 69.820347) (xy 171.632466 69.926628) (xy 171.696377 70.022278) (xy 171.777722 70.103623)
- (xy 171.873372 70.167534) (xy 171.979653 70.211557) (xy 172.092481 70.234) (xy 172.170943 70.234) (xy 173.641 71.704058)
- (xy 173.641001 72.106126) (xy 173.639142 72.125) (xy 173.646556 72.200277) (xy 173.668514 72.272661) (xy 173.704171 72.339371)
- (xy 173.739626 72.382574) (xy 173.739626 72.4125) (xy 173.749673 72.514508) (xy 173.779427 72.612595) (xy 173.827746 72.702993)
- (xy 173.856178 72.737638) (xy 173.78082 72.760498) (xy 173.670506 72.819463) (xy 173.573815 72.898815) (xy 173.494463 72.995506)
- (xy 173.435498 73.10582) (xy 173.399188 73.225518) (xy 173.386928 73.35) (xy 173.39 73.58925) (xy 173.54875 73.748)
- (xy 174.373 73.748) (xy 174.373 73.728) (xy 174.627 73.728) (xy 174.627 73.748) (xy 175.45125 73.748)
- (xy 175.61 73.58925) (xy 175.613072 73.35) (xy 175.600812 73.225518) (xy 175.564502 73.10582) (xy 175.505537 72.995506)
- (xy 175.426185 72.898815) (xy 175.329494 72.819463) (xy 175.21918 72.760498) (xy 175.143822 72.737638) (xy 175.172254 72.702993)
- (xy 175.220573 72.612595) (xy 175.250327 72.514508) (xy 175.260374 72.4125) (xy 175.260374 72.214917) (xy 175.720302 71.754989)
- (xy 175.851824 71.754989) (xy 176.620272 70.986541) (xy 176.590839 70.957108) (xy 176.770444 70.777503) (xy 176.799877 70.806936)
- (xy 176.81402 70.792794) (xy 176.846547 70.825321) (xy 176.832404 70.839463) (xy 176.861838 70.868897) (xy 176.682233 71.048502)
- (xy 176.652799 71.019068) (xy 175.884351 71.787516) (xy 175.884351 72.012023) (xy 175.964441 72.07637) (xy 176.07563 72.133668)
- (xy 176.195861 72.168173) (xy 176.320512 72.178559) (xy 176.444796 72.164427) (xy 176.554296 72.129403) (xy 176.566803 72.133197)
- (xy 176.579012 72.173443) (xy 176.612314 72.235747) (xy 176.657131 72.290357) (xy 176.763197 72.396423) (xy 176.817807 72.44124)
- (xy 176.880111 72.474542) (xy 176.920356 72.486751) (xy 176.932565 72.526996) (xy 176.965867 72.5893) (xy 177.010684 72.64391)
- (xy 177.11675 72.749976) (xy 177.17136 72.794793) (xy 177.233664 72.828095) (xy 177.273909 72.840304) (xy 177.286118 72.880549)
- (xy 177.31942 72.942853) (xy 177.364237 72.997463) (xy 177.470303 73.103529) (xy 177.524913 73.148346) (xy 177.587217 73.181648)
- (xy 177.627463 73.193857) (xy 177.639672 73.234103) (xy 177.672974 73.296407) (xy 177.717791 73.351017) (xy 177.823857 73.457083)
- (xy 177.865601 73.491342) (xy 177.841817 73.515126) (xy 177.827157 73.527157) (xy 177.803725 73.55571) (xy 177.779171 73.585629)
- (xy 177.765996 73.610279) (xy 177.743514 73.65234) (xy 177.721556 73.724724) (xy 177.717164 73.769321) (xy 177.714142 73.8)
- (xy 177.716 73.818862) (xy 177.716 74.081138) (xy 177.714142 74.1) (xy 177.716 74.118862) (xy 177.716 74.118865)
- (xy 177.721556 74.175277) (xy 177.743514 74.247661) (xy 177.758155 74.275052) (xy 177.779171 74.314371) (xy 177.813617 74.356343)
- (xy 177.827158 74.372843) (xy 177.841812 74.384869) (xy 178.915131 75.458189) (xy 178.927157 75.472843) (xy 178.94181 75.484868)
- (xy 178.985628 75.520829) (xy 179.011333 75.534568) (xy 179.052339 75.556486) (xy 179.124723 75.578444) (xy 179.181135 75.584)
- (xy 179.181137 75.584) (xy 179.2 75.585858) (xy 179.218862 75.584) (xy 185.985922 75.584) (xy 186.003756 75.585852)
- (xy 186.02364 75.584) (xy 186.024665 75.584) (xy 186.042525 75.582241) (xy 186.079071 75.578837) (xy 186.080053 75.578545)
- (xy 186.081077 75.578444) (xy 186.116436 75.567718) (xy 186.151571 75.557262) (xy 186.152474 75.556785) (xy 186.153461 75.556486)
- (xy 186.186011 75.539088) (xy 186.218468 75.52196) (xy 186.219264 75.521314) (xy 186.220171 75.520829) (xy 186.248715 75.497404)
- (xy 186.262476 75.486232) (xy 186.263195 75.485521) (xy 186.278643 75.472843) (xy 186.290027 75.458971) (xy 187.924056 73.842164)
- (xy 187.939494 73.829494) (xy 187.950872 73.815631) (xy 188.463594 73.308311) (xy 189.066 73.308311) (xy 189.066 73.531689)
- (xy 189.109579 73.750776) (xy 189.195062 73.95715) (xy 189.319165 74.142883) (xy 189.477117 74.300835) (xy 189.66285 74.424938)
- (xy 189.869224 74.510421) (xy 190.088311 74.554) (xy 190.311689 74.554) (xy 190.530776 74.510421) (xy 190.73715 74.424938)
- (xy 190.922883 74.300835) (xy 191.080835 74.142883) (xy 191.204938 73.95715) (xy 191.290421 73.750776) (xy 191.334 73.531689)
- (xy 191.334 73.308311) (xy 191.290421 73.089224) (xy 191.204938 72.88285) (xy 191.080835 72.697117) (xy 190.922883 72.539165)
- (xy 190.73715 72.415062) (xy 190.530776 72.329579) (xy 190.311689 72.286) (xy 190.088311 72.286) (xy 189.869224 72.329579)
- (xy 189.66285 72.415062) (xy 189.477117 72.539165) (xy 189.319165 72.697117) (xy 189.195062 72.88285) (xy 189.109579 73.089224)
- (xy 189.066 73.308311) (xy 188.463594 73.308311) (xy 190.45355 71.339325) (xy 190.465058 71.330411) (xy 190.480371 71.312787)
- (xy 190.483496 71.309695) (xy 190.492755 71.298534) (xy 190.51467 71.273312) (xy 190.51686 71.269477) (xy 190.519689 71.266068)
- (xy 190.535621 71.236637) (xy 190.55219 71.207632) (xy 190.553592 71.203438) (xy 190.555698 71.199548) (xy 190.56557 71.167614)
- (xy 190.576176 71.135894) (xy 190.576733 71.131504) (xy 190.578039 71.127281) (xy 190.581491 71.094043) (xy 190.585705 71.060856)
- (xy 190.585395 71.056442) (xy 190.585852 71.052044) (xy 190.582754 71.018788) (xy 190.580412 70.985401) (xy 190.579246 70.981127)
- (xy 190.578836 70.976729) (xy 190.56931 70.944716) (xy 190.560499 70.912428) (xy 190.558523 70.908467) (xy 190.557262 70.904229)
- (xy 190.541658 70.87466) (xy 190.54101 70.873361) (xy 190.54101 70.02484) (xy 190.629653 70.061557) (xy 190.742481 70.084)
- (xy 190.857519 70.084) (xy 190.970347 70.061557) (xy 191.076628 70.017534) (xy 191.172278 69.953623) (xy 191.253623 69.872278)
- (xy 191.317534 69.776628) (xy 191.361557 69.670347) (xy 191.384 69.557519) (xy 191.384 69.459057) (xy 191.959058 68.884)
- (xy 193.464626 68.884) (xy 193.464626 68.9) (xy 193.470109 68.955674) (xy 193.486349 69.009208) (xy 193.51272 69.058545)
- (xy 193.54821 69.10179) (xy 193.591455 69.13728) (xy 193.640792 69.163651) (xy 193.694326 69.179891) (xy 193.75 69.185374)
- (xy 194.65 69.185374) (xy 194.705674 69.179891) (xy 194.759208 69.163651) (xy 194.808545 69.13728) (xy 194.85179 69.10179)
- (xy 194.88728 69.058545) (xy 194.913651 69.009208) (xy 194.929891 68.955674) (xy 194.935374 68.9) (xy 194.935374 68.1)
- (xy 194.929891 68.044326) (xy 194.913651 67.990792) (xy 194.88728 67.941455) (xy 194.85179 67.89821) (xy 194.808545 67.86272)
- (xy 194.759208 67.836349) (xy 194.705674 67.820109) (xy 194.65 67.814626) (xy 193.75 67.814626) (xy 193.694326 67.820109)
- (xy 193.640792 67.836349) (xy 193.591455 67.86272) (xy 193.54821 67.89821) (xy 193.51272 67.941455) (xy 193.486349 67.990792)
- (xy 193.470109 68.044326) (xy 193.464626 68.1) (xy 193.464626 68.116) (xy 191.818862 68.116) (xy 191.8 68.114142)
- (xy 191.781138 68.116) (xy 191.781135 68.116) (xy 191.724723 68.121556) (xy 191.674389 68.136825) (xy 191.652338 68.143514)
- (xy 191.585628 68.179171) (xy 191.558093 68.201769) (xy 191.527157 68.227157) (xy 191.515131 68.241811) (xy 190.840943 68.916)
- (xy 190.742481 68.916) (xy 190.629653 68.938443) (xy 190.54101 68.97516) (xy 190.54101 65.975872) (xy 190.542868 65.95701)
- (xy 190.540412 65.932074) (xy 190.535454 65.881733) (xy 190.513496 65.809349) (xy 190.491214 65.767662) (xy 190.477839 65.742638)
- (xy 190.443437 65.70072) (xy 190.429853 65.684167) (xy 190.415199 65.672141) (xy 189.254 64.510943) (xy 189.254 64.205357)
- (xy 189.319165 64.302883) (xy 189.477117 64.460835) (xy 189.66285 64.584938) (xy 189.869224 64.670421) (xy 190.088311 64.714)
- (xy 190.311689 64.714) (xy 190.530776 64.670421) (xy 190.73715 64.584938) (xy 190.922883 64.460835) (xy 191.080835 64.302883)
- (xy 191.204938 64.11715) (xy 191.290421 63.910776) (xy 191.334 63.691689) (xy 191.334 63.468311) (xy 191.290421 63.249224)
- (xy 191.204938 63.04285) (xy 191.080835 62.857117) (xy 190.922883 62.699165) (xy 190.73715 62.575062) (xy 190.530776 62.489579)
- (xy 190.311689 62.446) (xy 190.088311 62.446) (xy 189.869224 62.489579) (xy 189.66285 62.575062) (xy 189.549442 62.650839)
- (xy 189.556486 62.637661) (xy 189.578444 62.565277) (xy 189.584 62.508865) (xy 189.584 62.508856) (xy 189.585857 62.490001)
- (xy 189.584 62.471146) (xy 189.584 61.248862) (xy 189.585858 61.23) (xy 189.584 61.211135) (xy 189.578444 61.154723)
- (xy 189.556486 61.082339) (xy 189.539887 61.051285) (xy 189.520829 61.015628) (xy 189.487218 60.974673) (xy 189.472843 60.957157)
- (xy 189.458189 60.945131) (xy 188.873987 60.360929) (xy 189.11812 60.524054) (xy 189.533782 60.696227) (xy 189.975046 60.784)
- (xy 190.424954 60.784) (xy 190.866218 60.696227) (xy 191.28188 60.524054) (xy 191.655965 60.274098) (xy 191.974098 59.955965)
- (xy 192.224054 59.58188) (xy 192.396227 59.166218) (xy 192.484 58.724954) (xy 192.484 58.275046) (xy 192.396227 57.833782)
- (xy 192.224054 57.41812) (xy 191.974098 57.044035) (xy 191.655965 56.725902) (xy 191.28188 56.475946) (xy 190.866218 56.303773)
- (xy 190.424954 56.216) (xy 189.975046 56.216) (xy 189.533782 56.303773) (xy 189.11812 56.475946) (xy 188.831141 56.667699)
- (xy 188.846434 56.617287) (xy 188.85199 56.560875) (xy 188.85199 56.560866) (xy 188.853847 56.542011) (xy 188.85199 56.523156)
- (xy 188.85199 53.308311) (xy 189.066 53.308311) (xy 189.066 53.531689) (xy 189.109579 53.750776) (xy 189.195062 53.95715)
- (xy 189.319165 54.142883) (xy 189.477117 54.300835) (xy 189.66285 54.424938) (xy 189.869224 54.510421) (xy 190.088311 54.554)
- (xy 190.311689 54.554) (xy 190.313257 54.553688) (xy 191.356 54.553688) (xy 191.356 54.826312) (xy 191.409186 55.093698)
- (xy 191.513515 55.34557) (xy 191.664977 55.572249) (xy 191.857751 55.765023) (xy 192.016 55.870762) (xy 192.016 56.371318)
- (xy 192.013175 56.4) (xy 192.019748 56.466734) (xy 192.024451 56.514483) (xy 192.057844 56.624567) (xy 192.112073 56.726022)
- (xy 192.185052 56.814948) (xy 192.207336 56.833236) (xy 192.616001 57.241902) (xy 192.616 64.635631) (xy 192.497063 64.684897)
- (xy 192.254005 64.847302) (xy 192.047302 65.054005) (xy 191.884897 65.297063) (xy 191.77303 65.567133) (xy 191.716 65.853839)
- (xy 191.716 66.146161) (xy 191.77303 66.432867) (xy 191.884897 66.702937) (xy 192.047302 66.945995) (xy 192.254005 67.152698)
- (xy 192.497063 67.315103) (xy 192.767133 67.42697) (xy 193.053839 67.484) (xy 193.346161 67.484) (xy 193.632867 67.42697)
- (xy 193.902937 67.315103) (xy 194.145995 67.152698) (xy 194.352698 66.945995) (xy 194.515103 66.702937) (xy 194.596 66.507635)
- (xy 194.596 66.596405) (xy 194.592691 66.63) (xy 194.596 66.663595) (xy 194.596 66.663597) (xy 194.605897 66.764086)
- (xy 194.645009 66.893021) (xy 194.708523 67.011847) (xy 194.793999 67.116) (xy 194.820098 67.137419) (xy 195.464626 67.781948)
- (xy 195.464626 67.95) (xy 195.470109 68.005674) (xy 195.486349 68.059208) (xy 195.51272 68.108545) (xy 195.54821 68.15179)
- (xy 195.591455 68.18728) (xy 195.640792 68.213651) (xy 195.694326 68.229891) (xy 195.75 68.235374) (xy 196.180362 68.235374)
- (xy 196.199999 68.237308) (xy 196.219636 68.235374) (xy 196.65 68.235374) (xy 196.705674 68.229891) (xy 196.759208 68.213651)
- (xy 196.808545 68.18728) (xy 196.85179 68.15179) (xy 196.88728 68.108545) (xy 196.913651 68.059208) (xy 196.929891 68.005674)
- (xy 196.935374 67.95) (xy 196.935374 67.15) (xy 196.929891 67.094326) (xy 196.913651 67.040792) (xy 196.88728 66.991455)
- (xy 196.85179 66.94821) (xy 196.808545 66.91272) (xy 196.759208 66.886349) (xy 196.705674 66.870109) (xy 196.65 66.864626)
- (xy 196.481949 66.864626) (xy 195.964 66.346679) (xy 195.964 62.247489) (xy 196.162249 62.115023) (xy 196.355023 61.922249)
- (xy 196.366 61.90582) (xy 196.366 64.716405) (xy 196.362691 64.75) (xy 196.366 64.783595) (xy 196.366 64.783597)
- (xy 196.375897 64.884086) (xy 196.415009 65.013021) (xy 196.478523 65.131847) (xy 196.563999 65.236) (xy 196.590098 65.25742)
- (xy 198.521848 67.18917) (xy 198.514626 67.2625) (xy 198.514626 67.7375) (xy 198.524673 67.839508) (xy 198.554427 67.937595)
- (xy 198.602746 68.027993) (xy 198.641001 68.074607) (xy 198.641 68.925394) (xy 198.602746 68.972007) (xy 198.554427 69.062405)
- (xy 198.524673 69.160492) (xy 198.514626 69.2625) (xy 198.514626 69.7375) (xy 198.524673 69.839508) (xy 198.554427 69.937595)
- (xy 198.602746 70.027993) (xy 198.648388 70.083608) (xy 198.650897 70.109086) (xy 198.690009 70.238021) (xy 198.753523 70.356847)
- (xy 198.838999 70.461) (xy 198.865099 70.48242) (xy 201.212871 72.830193) (xy 201.08985 72.785604) (xy 200.627 72.715)
- (xy 200.127 72.715) (xy 200.127 74.973) (xy 202.722625 74.973) (xy 202.832427 74.601997) (xy 202.752053 74.304632)
- (xy 202.686847 74.165286) (xy 202.715913 74.174103) (xy 202.816402 74.184) (xy 202.816404 74.184) (xy 202.85 74.187309)
- (xy 202.883596 74.184) (xy 207.867377 74.184) (xy 207.900972 74.187309) (xy 207.934567 74.184) (xy 207.93457 74.184)
- (xy 208.035059 74.174103) (xy 208.163994 74.134991) (xy 208.28282 74.071477) (xy 208.386973 73.986001) (xy 208.408396 73.959897)
- (xy 209.067494 73.300799) (xy 209.066 73.308311) (xy 209.066 73.531689) (xy 209.109579 73.750776) (xy 209.195062 73.95715)
- (xy 209.319165 74.142883) (xy 209.477117 74.300835) (xy 209.66285 74.424938) (xy 209.869224 74.510421) (xy 210.088311 74.554)
- (xy 210.311689 74.554) (xy 210.530776 74.510421) (xy 210.73715 74.424938) (xy 210.922883 74.300835) (xy 211.080835 74.142883)
- (xy 211.204938 73.95715) (xy 211.290421 73.750776) (xy 211.334 73.531689) (xy 211.334 73.308311) (xy 211.290421 73.089224)
- (xy 211.204938 72.88285) (xy 211.080835 72.697117) (xy 210.922883 72.539165) (xy 210.73715 72.415062) (xy 210.530776 72.329579)
- (xy 210.311689 72.286) (xy 210.088311 72.286) (xy 210.0808 72.287494) (xy 210.184294 72.184) (xy 213.064626 72.184)
- (xy 213.064626 72.35) (xy 213.070109 72.405674) (xy 213.086349 72.459208) (xy 213.11272 72.508545) (xy 213.14821 72.55179)
- (xy 213.191455 72.58728) (xy 213.240792 72.613651) (xy 213.294326 72.629891) (xy 213.35 72.635374) (xy 215.05 72.635374)
- (xy 215.105674 72.629891) (xy 215.159208 72.613651) (xy 215.208545 72.58728) (xy 215.25179 72.55179) (xy 215.28728 72.508545)
- (xy 215.313651 72.459208) (xy 215.329891 72.405674) (xy 215.335374 72.35) (xy 215.335374 70.65) (xy 215.329891 70.594326)
- (xy 215.313651 70.540792) (xy 215.28728 70.491455) (xy 215.25179 70.44821) (xy 215.208545 70.41272) (xy 215.159208 70.386349)
- (xy 215.105674 70.370109) (xy 215.05 70.364626) (xy 213.35 70.364626) (xy 213.294326 70.370109) (xy 213.240792 70.386349)
- (xy 213.191455 70.41272) (xy 213.14821 70.44821) (xy 213.11272 70.491455) (xy 213.086349 70.540792) (xy 213.070109 70.594326)
- (xy 213.064626 70.65) (xy 213.064626 70.816) (xy 209.934567 70.816) (xy 209.900971 70.812691) (xy 209.867375 70.816)
- (xy 209.867374 70.816) (xy 209.766885 70.825897) (xy 209.63795 70.865009) (xy 209.542553 70.916) (xy 209.519122 70.928524)
- (xy 209.47724 70.962896) (xy 209.414971 71.013999) (xy 209.393553 71.040097) (xy 209.158227 71.275423) (xy 209.165421 71.251708)
- (xy 209.190212 71) (xy 209.165421 70.748292) (xy 209.092 70.506257) (xy 208.972772 70.283197) (xy 208.812318 70.087682)
- (xy 208.649132 69.95376) (xy 208.693521 69.934622) (xy 208.958155 69.752248) (xy 209.182124 69.521751) (xy 209.356822 69.251988)
- (xy 209.475535 68.953327) (xy 209.490124 68.880434) (xy 209.370777 68.627) (xy 207.827 68.627) (xy 207.827 68.647)
- (xy 207.573 68.647) (xy 207.573 68.627) (xy 207.553 68.627) (xy 207.553 68.373) (xy 207.573 68.373)
- (xy 207.573 68.353) (xy 207.827 68.353) (xy 207.827 68.373) (xy 209.370777 68.373) (xy 209.490124 68.119566)
- (xy 209.475535 68.046673) (xy 209.356822 67.748012) (xy 209.182124 67.478249) (xy 208.958155 67.247752) (xy 208.693521 67.065378)
- (xy 208.649132 67.04624) (xy 208.812318 66.912318) (xy 208.972772 66.716803) (xy 209.092 66.493743) (xy 209.165421 66.251708)
- (xy 209.190212 66) (xy 209.165421 65.748292) (xy 209.092 65.506257) (xy 208.972772 65.283197) (xy 208.812318 65.087682)
- (xy 208.616803 64.927228) (xy 208.393743 64.808) (xy 208.151708 64.734579) (xy 207.963072 64.716) (xy 207.436928 64.716)
- (xy 207.248292 64.734579) (xy 207.006257 64.808) (xy 206.783197 64.927228) (xy 206.587682 65.087682) (xy 206.427228 65.283197)
- (xy 206.409694 65.316) (xy 205.885374 65.316) (xy 205.885374 65.2625) (xy 205.875327 65.160492) (xy 205.845573 65.062405)
- (xy 205.797254 64.972007) (xy 205.766063 64.934) (xy 206.281138 64.934) (xy 206.3 64.935858) (xy 206.318862 64.934)
- (xy 206.318865 64.934) (xy 206.375277 64.928444) (xy 206.447661 64.906486) (xy 206.514371 64.870829) (xy 206.572843 64.822843)
- (xy 206.584874 64.808183) (xy 206.709057 64.684) (xy 208.490943 64.684) (xy 209.765131 65.958189) (xy 209.777157 65.972843)
- (xy 209.801183 65.99256) (xy 209.835628 66.020829) (xy 209.871285 66.039887) (xy 209.902339 66.056486) (xy 209.974723 66.078444)
- (xy 210.031135 66.084) (xy 210.031137 66.084) (xy 210.05 66.085858) (xy 210.068862 66.084) (xy 210.780943 66.084)
- (xy 213.173016 68.476074) (xy 213.109579 68.629224) (xy 213.066 68.848311) (xy 213.066 69.071689) (xy 213.109579 69.290776)
- (xy 213.195062 69.49715) (xy 213.319165 69.682883) (xy 213.477117 69.840835) (xy 213.66285 69.964938) (xy 213.869224 70.050421)
- (xy 214.088311 70.094) (xy 214.311689 70.094) (xy 214.530776 70.050421) (xy 214.73715 69.964938) (xy 214.922883 69.840835)
- (xy 215.080835 69.682883) (xy 215.204938 69.49715) (xy 215.290421 69.290776) (xy 215.334 69.071689) (xy 215.334 68.848311)
- (xy 215.290421 68.629224) (xy 215.204938 68.42285) (xy 215.080835 68.237117) (xy 214.922883 68.079165) (xy 214.73715 67.955062)
- (xy 214.530776 67.869579) (xy 214.311689 67.826) (xy 214.088311 67.826) (xy 213.869224 67.869579) (xy 213.716074 67.933016)
- (xy 211.224874 65.441817) (xy 211.212843 65.427157) (xy 211.154371 65.379171) (xy 211.087661 65.343514) (xy 211.015277 65.321556)
- (xy 210.958865 65.316) (xy 210.958862 65.316) (xy 210.94 65.314142) (xy 210.921138 65.316) (xy 210.209058 65.316)
- (xy 208.934874 64.041817) (xy 208.922843 64.027157) (xy 208.864371 63.979171) (xy 208.797661 63.943514) (xy 208.725277 63.921556)
- (xy 208.668865 63.916) (xy 208.668862 63.916) (xy 208.65 63.914142) (xy 208.631138 63.916) (xy 206.568854 63.916)
- (xy 206.549999 63.914143) (xy 206.531144 63.916) (xy 206.531135 63.916) (xy 206.474723 63.921556) (xy 206.402339 63.943514)
- (xy 206.335629 63.979171) (xy 206.277157 64.027157) (xy 206.265126 64.041817) (xy 206.140943 64.166) (xy 202.768862 64.166)
- (xy 202.75 64.164142) (xy 202.731138 64.166) (xy 202.731135 64.166) (xy 202.674723 64.171556) (xy 202.602339 64.193514)
- (xy 202.535629 64.229171) (xy 202.477157 64.277157) (xy 202.465126 64.291817) (xy 202.441422 64.315521) (xy 202.422278 64.296377)
- (xy 202.326628 64.232466) (xy 202.220347 64.188443) (xy 202.107519 64.166) (xy 201.992481 64.166) (xy 201.879653 64.188443)
- (xy 201.773372 64.232466) (xy 201.677722 64.296377) (xy 201.596377 64.377722) (xy 201.532466 64.473372) (xy 201.488443 64.579653)
- (xy 201.466 64.692481) (xy 201.466 64.807519) (xy 201.488443 64.920347) (xy 201.532466 65.026628) (xy 201.596377 65.122278)
- (xy 201.677722 65.203623) (xy 201.773372 65.267534) (xy 201.879653 65.311557) (xy 201.992481 65.334) (xy 202.107519 65.334)
- (xy 202.220347 65.311557) (xy 202.326628 65.267534) (xy 202.422278 65.203623) (xy 202.491901 65.134) (xy 202.531138 65.134)
- (xy 202.532664 65.13415) (xy 202.524673 65.160492) (xy 202.514626 65.2625) (xy 202.514626 65.7375) (xy 202.524673 65.839508)
- (xy 202.554427 65.937595) (xy 202.602746 66.027993) (xy 202.641 66.074606) (xy 202.641001 66.816) (xy 201.631018 66.816)
- (xy 201.562595 66.779427) (xy 201.464508 66.749673) (xy 201.3625 66.739626) (xy 200.7875 66.739626) (xy 200.685492 66.749673)
- (xy 200.587405 66.779427) (xy 200.497007 66.827746) (xy 200.417772 66.892772) (xy 200.352746 66.972007) (xy 200.304427 67.062405)
- (xy 200.274673 67.160492) (xy 200.264626 67.2625) (xy 200.264626 67.7375) (xy 200.274673 67.839508) (xy 200.304427 67.937595)
- (xy 200.352746 68.027993) (xy 200.417772 68.107228) (xy 200.497007 68.172254) (xy 200.587405 68.220573) (xy 200.685492 68.250327)
- (xy 200.7875 68.260374) (xy 201.3625 68.260374) (xy 201.464508 68.250327) (xy 201.562595 68.220573) (xy 201.631018 68.184)
- (xy 201.692182 68.184) (xy 201.632466 68.273372) (xy 201.588443 68.379653) (xy 201.566 68.492481) (xy 201.566 68.607519)
- (xy 201.588443 68.720347) (xy 201.627224 68.813972) (xy 201.562595 68.779427) (xy 201.464508 68.749673) (xy 201.3625 68.739626)
- (xy 200.973486 68.739626) (xy 200.961557 68.679653) (xy 200.917534 68.573372) (xy 200.853623 68.477722) (xy 200.772278 68.396377)
- (xy 200.676628 68.332466) (xy 200.570347 68.288443) (xy 200.457519 68.266) (xy 200.342481 68.266) (xy 200.229653 68.288443)
- (xy 200.123372 68.332466) (xy 200.027722 68.396377) (xy 200.009 68.415099) (xy 200.009 68.074606) (xy 200.047254 68.027993)
- (xy 200.095573 67.937595) (xy 200.125327 67.839508) (xy 200.135374 67.7375) (xy 200.135374 67.2625) (xy 200.125327 67.160492)
- (xy 200.095573 67.062405) (xy 200.047254 66.972007) (xy 200.001612 66.916392) (xy 199.999103 66.890913) (xy 199.959991 66.761978)
- (xy 199.896477 66.643152) (xy 199.811001 66.538999) (xy 199.784903 66.517581) (xy 197.734 64.466679) (xy 197.734 63.506017)
- (xy 198.069369 63.812498) (xy 198.469968 64.054852) (xy 198.91015 64.214396) (xy 199.373 64.285) (xy 199.873 64.285)
- (xy 199.873 62.027) (xy 200.127 62.027) (xy 200.127 64.285) (xy 200.627 64.285) (xy 201.08985 64.214396)
- (xy 201.530032 64.054852) (xy 201.930631 63.812498) (xy 202.276252 63.496648) (xy 202.297088 63.468311) (xy 209.066 63.468311)
- (xy 209.066 63.691689) (xy 209.109579 63.910776) (xy 209.195062 64.11715) (xy 209.319165 64.302883) (xy 209.477117 64.460835)
- (xy 209.66285 64.584938) (xy 209.869224 64.670421) (xy 210.088311 64.714) (xy 210.311689 64.714) (xy 210.530776 64.670421)
- (xy 210.73715 64.584938) (xy 210.922883 64.460835) (xy 211.080835 64.302883) (xy 211.12493 64.23689) (xy 212.758524 64.23689)
- (xy 212.803175 64.384099) (xy 212.928359 64.64692) (xy 213.102412 64.880269) (xy 213.318645 65.075178) (xy 213.568748 65.224157)
- (xy 213.843109 65.321481) (xy 214.072998 65.200815) (xy 214.072998 65.289046) (xy 213.869224 65.329579) (xy 213.66285 65.415062)
- (xy 213.477117 65.539165) (xy 213.319165 65.697117) (xy 213.195062 65.88285) (xy 213.109579 66.089224) (xy 213.066 66.308311)
- (xy 213.066 66.531689) (xy 213.109579 66.750776) (xy 213.195062 66.95715) (xy 213.319165 67.142883) (xy 213.477117 67.300835)
- (xy 213.66285 67.424938) (xy 213.869224 67.510421) (xy 214.088311 67.554) (xy 214.311689 67.554) (xy 214.530776 67.510421)
- (xy 214.73715 67.424938) (xy 214.922883 67.300835) (xy 215.080835 67.142883) (xy 215.204938 66.95715) (xy 215.290421 66.750776)
- (xy 215.334 66.531689) (xy 215.334 66.308311) (xy 215.290421 66.089224) (xy 215.204938 65.88285) (xy 215.080835 65.697117)
- (xy 214.922883 65.539165) (xy 214.73715 65.415062) (xy 214.530776 65.329579) (xy 214.327002 65.289046) (xy 214.327002 65.200815)
- (xy 214.556891 65.321481) (xy 214.831252 65.224157) (xy 215.081355 65.075178) (xy 215.297588 64.880269) (xy 215.471641 64.64692)
- (xy 215.596825 64.384099) (xy 215.641476 64.23689) (xy 215.520155 64.007) (xy 214.327 64.007) (xy 214.327 64.027)
- (xy 214.073 64.027) (xy 214.073 64.007) (xy 212.879845 64.007) (xy 212.758524 64.23689) (xy 211.12493 64.23689)
- (xy 211.204938 64.11715) (xy 211.290421 63.910776) (xy 211.334 63.691689) (xy 211.334 63.52311) (xy 212.758524 63.52311)
- (xy 212.879845 63.753) (xy 214.073 63.753) (xy 214.073 62.559186) (xy 214.327 62.559186) (xy 214.327 63.753)
- (xy 215.520155 63.753) (xy 215.641476 63.52311) (xy 215.596825 63.375901) (xy 215.471641 63.11308) (xy 215.297588 62.879731)
- (xy 215.081355 62.684822) (xy 214.831252 62.535843) (xy 214.556891 62.438519) (xy 214.327 62.559186) (xy 214.073 62.559186)
- (xy 213.843109 62.438519) (xy 213.568748 62.535843) (xy 213.318645 62.684822) (xy 213.102412 62.879731) (xy 212.928359 63.11308)
- (xy 212.803175 63.375901) (xy 212.758524 63.52311) (xy 211.334 63.52311) (xy 211.334 63.468311) (xy 211.290421 63.249224)
- (xy 211.204938 63.04285) (xy 211.080835 62.857117) (xy 210.922883 62.699165) (xy 210.73715 62.575062) (xy 210.530776 62.489579)
- (xy 210.311689 62.446) (xy 210.088311 62.446) (xy 209.869224 62.489579) (xy 209.66285 62.575062) (xy 209.477117 62.699165)
- (xy 209.319165 62.857117) (xy 209.195062 63.04285) (xy 209.109579 63.249224) (xy 209.066 63.468311) (xy 202.297088 63.468311)
- (xy 202.553612 63.119439) (xy 202.752053 62.695368) (xy 202.832427 62.398003) (xy 202.722625 62.027) (xy 200.127 62.027)
- (xy 199.873 62.027) (xy 199.853 62.027) (xy 199.853 61.773) (xy 199.873 61.773) (xy 199.873 61.753)
- (xy 200.127 61.753) (xy 200.127 61.773) (xy 202.722625 61.773) (xy 202.832427 61.401997) (xy 202.752053 61.104632)
- (xy 202.658023 60.903688) (xy 213.896 60.903688) (xy 213.896 61.176312) (xy 213.949186 61.443698) (xy 214.053515 61.69557)
- (xy 214.204977 61.922249) (xy 214.397751 62.115023) (xy 214.62443 62.266485) (xy 214.876302 62.370814) (xy 215.143688 62.424)
- (xy 215.416312 62.424) (xy 215.650163 62.377484) (xy 217.016001 63.743323) (xy 217.016 75.487458) (xy 217.01272 75.491455)
- (xy 216.986349 75.540792) (xy 216.970109 75.594326) (xy 216.964626 75.65) (xy 216.964626 76.45) (xy 216.970109 76.505674)
- (xy 216.986349 76.559208) (xy 217.01272 76.608545) (xy 217.04821 76.65179) (xy 217.091455 76.68728) (xy 217.140792 76.713651)
- (xy 217.194326 76.729891) (xy 217.25 76.735374) (xy 217.680353 76.735374) (xy 217.7 76.737309) (xy 217.719647 76.735374)
- (xy 218.15 76.735374) (xy 218.205674 76.729891) (xy 218.259208 76.713651) (xy 218.308545 76.68728) (xy 218.35179 76.65179)
- (xy 218.38728 76.608545) (xy 218.413651 76.559208) (xy 218.429891 76.505674) (xy 218.435374 76.45) (xy 218.435374 75.65)
- (xy 218.429891 75.594326) (xy 218.413651 75.540792) (xy 218.38728 75.491455) (xy 218.384 75.487458) (xy 218.384 63.493596)
- (xy 218.387309 63.46) (xy 218.384 63.426402) (xy 218.374103 63.325913) (xy 218.334991 63.196978) (xy 218.271477 63.078152)
- (xy 218.186001 62.973999) (xy 218.159903 62.952581) (xy 216.617484 61.410163) (xy 216.664 61.176312) (xy 216.664 60.903688)
- (xy 216.610814 60.636302) (xy 216.506485 60.38443) (xy 216.355023 60.157751) (xy 216.162249 59.964977) (xy 215.93557 59.813515)
- (xy 215.683698 59.709186) (xy 215.416312 59.656) (xy 215.143688 59.656) (xy 214.876302 59.709186) (xy 214.62443 59.813515)
- (xy 214.397751 59.964977) (xy 214.204977 60.157751) (xy 214.053515 60.38443) (xy 213.949186 60.636302) (xy 213.896 60.903688)
- (xy 202.658023 60.903688) (xy 202.553612 60.680561) (xy 202.276252 60.303352) (xy 201.930631 59.987502) (xy 201.530032 59.745148)
- (xy 201.08985 59.585604) (xy 200.627 59.515) (xy 200.335124 59.515) (xy 200.41918 59.489502) (xy 200.529494 59.430537)
- (xy 200.626185 59.351185) (xy 200.705537 59.254494) (xy 200.764502 59.14418) (xy 200.800812 59.024482) (xy 200.813072 58.9)
- (xy 200.81 58.66075) (xy 200.65125 58.502) (xy 199.827 58.502) (xy 199.827 58.522) (xy 199.573 58.522)
- (xy 199.573 58.502) (xy 199.553 58.502) (xy 199.553 58.275046) (xy 207.916 58.275046) (xy 207.916 58.724954)
- (xy 208.003773 59.166218) (xy 208.175946 59.58188) (xy 208.425902 59.955965) (xy 208.744035 60.274098) (xy 209.11812 60.524054)
- (xy 209.533782 60.696227) (xy 209.975046 60.784) (xy 210.424954 60.784) (xy 210.866218 60.696227) (xy 211.28188 60.524054)
- (xy 211.655965 60.274098) (xy 211.974098 59.955965) (xy 212.224054 59.58188) (xy 212.396227 59.166218) (xy 212.484 58.724954)
- (xy 212.484 58.275046) (xy 212.396227 57.833782) (xy 212.224054 57.41812) (xy 211.974098 57.044035) (xy 211.655965 56.725902)
- (xy 211.28188 56.475946) (xy 210.866218 56.303773) (xy 210.424954 56.216) (xy 209.975046 56.216) (xy 209.533782 56.303773)
- (xy 209.11812 56.475946) (xy 208.744035 56.725902) (xy 208.425902 57.044035) (xy 208.175946 57.41812) (xy 208.003773 57.833782)
- (xy 207.916 58.275046) (xy 199.553 58.275046) (xy 199.553 58.248) (xy 199.573 58.248) (xy 199.573 58.228)
- (xy 199.827 58.228) (xy 199.827 58.248) (xy 200.65125 58.248) (xy 200.81 58.08925) (xy 200.813072 57.85)
- (xy 200.800812 57.725518) (xy 200.764502 57.60582) (xy 200.705537 57.495506) (xy 200.626185 57.398815) (xy 200.529494 57.319463)
- (xy 200.41918 57.260498) (xy 200.343822 57.237638) (xy 200.372254 57.202993) (xy 200.420573 57.112595) (xy 200.450327 57.014508)
- (xy 200.460374 56.9125) (xy 200.460374 56.690527) (xy 200.642669 56.508232) (xy 200.664948 56.489948) (xy 200.737927 56.401023)
- (xy 200.792156 56.299568) (xy 200.825549 56.189484) (xy 200.834 56.103682) (xy 200.834 56.103675) (xy 200.836824 56.075001)
- (xy 200.834 56.046327) (xy 200.834 56.014034) (xy 200.875 56.018072) (xy 200.91425 56.015) (xy 201.073 55.85625)
- (xy 201.073 54.977) (xy 201.053 54.977) (xy 201.053 54.723) (xy 201.073 54.723) (xy 201.073 54.703)
- (xy 201.327 54.703) (xy 201.327 54.723) (xy 201.347 54.723) (xy 201.347 54.977) (xy 201.327 54.977)
- (xy 201.327 55.85625) (xy 201.48575 56.015) (xy 201.525 56.018072) (xy 201.649482 56.005812) (xy 201.76918 55.969502)
- (xy 201.879494 55.910537) (xy 201.976185 55.831185) (xy 202.055537 55.734494) (xy 202.092483 55.665374) (xy 202.475 55.665374)
- (xy 202.530674 55.659891) (xy 202.584208 55.643651) (xy 202.633545 55.61728) (xy 202.67679 55.58179) (xy 202.71228 55.538545)
- (xy 202.738651 55.489208) (xy 202.754891 55.435674) (xy 202.755056 55.434) (xy 203.596318 55.434) (xy 203.625 55.436825)
- (xy 203.653682 55.434) (xy 203.739484 55.425549) (xy 203.849568 55.392156) (xy 203.951023 55.337927) (xy 204.039948 55.264948)
- (xy 204.058236 55.242664) (xy 204.235526 55.065374) (xy 204.4575 55.065374) (xy 204.559508 55.055327) (xy 204.657595 55.025573)
- (xy 204.747993 54.977254) (xy 204.827228 54.912228) (xy 204.892254 54.832993) (xy 204.940573 54.742595) (xy 204.970327 54.644508)
- (xy 204.980374 54.5425) (xy 204.980374 53.9675) (xy 204.970327 53.865492) (xy 204.940573 53.767405) (xy 204.892254 53.677007)
- (xy 204.827228 53.597772) (xy 204.747993 53.532746) (xy 204.657595 53.484427) (xy 204.559508 53.454673) (xy 204.4575 53.444626)
- (xy 203.9825 53.444626) (xy 203.880492 53.454673) (xy 203.782405 53.484427) (xy 203.692007 53.532746) (xy 203.612772 53.597772)
- (xy 203.547746 53.677007) (xy 203.499427 53.767405) (xy 203.469673 53.865492) (xy 203.459626 53.9675) (xy 203.459626 54.189474)
- (xy 203.3831 54.266) (xy 202.755056 54.266) (xy 202.754891 54.264326) (xy 202.738651 54.210792) (xy 202.71228 54.161455)
- (xy 202.67679 54.11821) (xy 202.633545 54.08272) (xy 202.584208 54.056349) (xy 202.530674 54.040109) (xy 202.475 54.034626)
- (xy 202.092483 54.034626) (xy 202.055537 53.965506) (xy 201.976185 53.868815) (xy 201.879494 53.789463) (xy 201.76918 53.730498)
- (xy 201.742786 53.722491) (xy 201.775549 53.614484) (xy 201.784 53.528682) (xy 201.784 53.528681) (xy 201.786825 53.5)
- (xy 201.784 53.471318) (xy 201.784 53.461336) (xy 201.825 53.465374) (xy 202.475 53.465374) (xy 202.530674 53.459891)
- (xy 202.584208 53.443651) (xy 202.633545 53.41728) (xy 202.67679 53.38179) (xy 202.71228 53.338545) (xy 202.738651 53.289208)
- (xy 202.754891 53.235674) (xy 202.755056 53.234) (xy 203.704628 53.234) (xy 203.782405 53.275573) (xy 203.880492 53.305327)
- (xy 203.9825 53.315374) (xy 204.4575 53.315374) (xy 204.529211 53.308311) (xy 209.066 53.308311) (xy 209.066 53.531689)
- (xy 209.109579 53.750776) (xy 209.195062 53.95715) (xy 209.319165 54.142883) (xy 209.477117 54.300835) (xy 209.66285 54.424938)
- (xy 209.869224 54.510421) (xy 210.088311 54.554) (xy 210.311689 54.554) (xy 210.313257 54.553688) (xy 211.356 54.553688)
- (xy 211.356 54.826312) (xy 211.409186 55.093698) (xy 211.513515 55.34557) (xy 211.664977 55.572249) (xy 211.857751 55.765023)
- (xy 212.08443 55.916485) (xy 212.336302 56.020814) (xy 212.603688 56.074) (xy 212.876312 56.074) (xy 213.143698 56.020814)
- (xy 213.39557 55.916485) (xy 213.622249 55.765023) (xy 213.815023 55.572249) (xy 213.966485 55.34557) (xy 214.070814 55.093698)
- (xy 214.124 54.826312) (xy 214.124 54.553688) (xy 214.070814 54.286302) (xy 213.966485 54.03443) (xy 213.815023 53.807751)
- (xy 213.622249 53.614977) (xy 213.39557 53.463515) (xy 213.143698 53.359186) (xy 212.876312 53.306) (xy 212.603688 53.306)
- (xy 212.336302 53.359186) (xy 212.08443 53.463515) (xy 211.857751 53.614977) (xy 211.664977 53.807751) (xy 211.513515 54.03443)
- (xy 211.409186 54.286302) (xy 211.356 54.553688) (xy 210.313257 54.553688) (xy 210.530776 54.510421) (xy 210.73715 54.424938)
- (xy 210.922883 54.300835) (xy 211.080835 54.142883) (xy 211.204938 53.95715) (xy 211.290421 53.750776) (xy 211.334 53.531689)
- (xy 211.334 53.308311) (xy 211.290421 53.089224) (xy 211.204938 52.88285) (xy 211.080835 52.697117) (xy 210.922883 52.539165)
- (xy 210.73715 52.415062) (xy 210.530776 52.329579) (xy 210.311689 52.286) (xy 210.088311 52.286) (xy 209.869224 52.329579)
- (xy 209.66285 52.415062) (xy 209.477117 52.539165) (xy 209.319165 52.697117) (xy 209.195062 52.88285) (xy 209.109579 53.089224)
- (xy 209.066 53.308311) (xy 204.529211 53.308311) (xy 204.559508 53.305327) (xy 204.657595 53.275573) (xy 204.747993 53.227254)
- (xy 204.827228 53.162228) (xy 204.892254 53.082993) (xy 204.940573 52.992595) (xy 204.970327 52.894508) (xy 204.980374 52.7925)
- (xy 204.980374 52.2175) (xy 204.970327 52.115492) (xy 204.940573 52.017405) (xy 204.892254 51.927007) (xy 204.827228 51.847772)
- (xy 204.804 51.828709) (xy 204.804 51.060374) (xy 205.275 51.060374) (xy 205.379446 51.050087) (xy 205.479879 51.019621)
- (xy 205.572438 50.970147) (xy 205.653567 50.903567) (xy 205.720147 50.822438) (xy 205.769621 50.729879) (xy 205.800087 50.629446)
- (xy 205.810374 50.525) (xy 205.810374 49.775) (xy 205.800087 49.670554) (xy 205.769621 49.570121) (xy 205.720147 49.477562)
- (xy 205.653567 49.396433) (xy 205.572438 49.329853) (xy 205.479879 49.280379) (xy 205.379446 49.249913) (xy 205.275 49.239626)
- (xy 203.125 49.239626) (xy 203.020554 49.249913) (xy 202.920121 49.280379) (xy 202.827562 49.329853) (xy 202.746433 49.396433)
- (xy 202.679853 49.477562) (xy 202.630379 49.570121) (xy 202.599913 49.670554) (xy 202.589626 49.775) (xy 202.589626 50.525)
- (xy 202.599913 50.629446) (xy 202.630379 50.729879) (xy 202.679853 50.822438) (xy 202.746433 50.903567) (xy 202.827562 50.970147)
- (xy 202.920121 51.019621) (xy 203.020554 51.050087) (xy 203.125 51.060374) (xy 203.636001 51.060374) (xy 203.636 51.828709)
- (xy 203.612772 51.847772) (xy 203.547746 51.927007) (xy 203.499427 52.017405) (xy 203.484686 52.066) (xy 202.755056 52.066)
- (xy 202.754891 52.064326) (xy 202.738651 52.010792) (xy 202.71228 51.961455) (xy 202.67679 51.91821) (xy 202.633545 51.88272)
- (xy 202.584208 51.856349) (xy 202.530674 51.840109) (xy 202.475 51.834626) (xy 201.825 51.834626) (xy 201.784 51.838664)
- (xy 201.784 51.010374) (xy 201.8625 51.010374) (xy 201.964508 51.000327) (xy 202.062595 50.970573) (xy 202.152993 50.922254)
- (xy 202.232228 50.857228) (xy 202.297254 50.777993) (xy 202.345573 50.687595) (xy 202.375327 50.589508) (xy 202.385374 50.4875)
- (xy 202.385374 50.0125) (xy 202.375327 49.910492) (xy 202.345573 49.812405) (xy 202.297254 49.722007) (xy 202.232228 49.642772)
- (xy 202.152993 49.577746) (xy 202.062595 49.529427) (xy 201.964508 49.499673) (xy 201.8625 49.489626) (xy 201.2875 49.489626)
- (xy 201.230679 49.495222) (xy 201.253623 49.472278) (xy 201.253625 49.472275) (xy 201.490526 49.235374) (xy 201.88125 49.235374)
- (xy 201.984477 49.225207) (xy 202.083737 49.195097) (xy 202.175216 49.146201) (xy 202.255397 49.080397) (xy 202.321201 49.000216)
- (xy 202.370097 48.908737) (xy 202.400207 48.809477) (xy 202.410374 48.70625) (xy 202.410374 47.934) (xy 202.632582 47.934)
- (xy 202.679853 48.022438) (xy 202.746433 48.103567) (xy 202.827562 48.170147) (xy 202.920121 48.219621) (xy 203.020554 48.250087)
- (xy 203.125 48.260374) (xy 205.275 48.260374) (xy 205.379446 48.250087) (xy 205.479879 48.219621) (xy 205.572438 48.170147)
- (xy 205.653567 48.103567) (xy 205.720147 48.022438) (xy 205.769621 47.929879) (xy 205.800087 47.829446) (xy 205.810374 47.725)
- (xy 205.810374 47.314442) (xy 219.316 47.314442) (xy 219.316 47.685558) (xy 219.388401 48.049543) (xy 219.530421 48.392409)
- (xy 219.736602 48.70098) (xy 219.99902 48.963398) (xy 220.307591 49.169579) (xy 220.650457 49.311599) (xy 221.014442 49.384)
- (xy 221.385558 49.384) (xy 221.749543 49.311599) (xy 222.092409 49.169579) (xy 222.40098 48.963398) (xy 222.663398 48.70098)
- (xy 222.869579 48.392409) (xy 223.011599 48.049543) (xy 223.084 47.685558) (xy 223.084 47.314442) (xy 223.011599 46.950457)
- (xy 222.869579 46.607591) (xy 222.663398 46.29902) (xy 222.40098 46.036602) (xy 222.092409 45.830421) (xy 221.749543 45.688401)
- (xy 221.385558 45.616) (xy 221.014442 45.616) (xy 220.650457 45.688401) (xy 220.307591 45.830421) (xy 219.99902 46.036602)
- (xy 219.736602 46.29902) (xy 219.530421 46.607591) (xy 219.388401 46.950457) (xy 219.316 47.314442) (xy 205.810374 47.314442)
- (xy 205.810374 46.975) (xy 205.800087 46.870554) (xy 205.769621 46.770121) (xy 205.720147 46.677562) (xy 205.653567 46.596433)
- (xy 205.572438 46.529853) (xy 205.479879 46.480379) (xy 205.379446 46.449913) (xy 205.275 46.439626) (xy 203.125 46.439626)
- (xy 203.020554 46.449913) (xy 202.920121 46.480379) (xy 202.827562 46.529853) (xy 202.746433 46.596433) (xy 202.679853 46.677562)
- (xy 202.632582 46.766) (xy 202.303664 46.766) (xy 202.345573 46.687595) (xy 202.375327 46.589508) (xy 202.385374 46.4875)
- (xy 202.385374 46.484) (xy 202.418865 46.484) (xy 202.475277 46.478444) (xy 202.547661 46.456486) (xy 202.614371 46.420829)
- (xy 202.672843 46.372843) (xy 202.720829 46.314371) (xy 202.756486 46.247661) (xy 202.778444 46.175277) (xy 202.785858 46.1)
- (xy 202.778444 46.024723) (xy 202.756486 45.952339) (xy 202.720829 45.885629) (xy 202.672843 45.827157) (xy 202.614371 45.779171)
- (xy 202.547661 45.743514) (xy 202.475277 45.721556) (xy 202.418865 45.716) (xy 202.338145 45.716) (xy 202.295751 45.720175)
- (xy 202.232228 45.642772) (xy 202.152993 45.577746) (xy 202.062595 45.529427) (xy 201.964508 45.499673) (xy 201.8625 45.489626)
- (xy 201.832684 45.489626) (xy 201.034874 44.691817) (xy 201.022843 44.677157) (xy 200.964371 44.629171) (xy 200.897661 44.593514)
- (xy 200.825277 44.571556) (xy 200.768865 44.566) (xy 200.768862 44.566) (xy 200.75 44.564142) (xy 200.731138 44.566)
- (xy 193.818862 44.566) (xy 193.799999 44.564142) (xy 193.781137 44.566) (xy 193.781135 44.566) (xy 193.724723 44.571556)
- (xy 193.652339 44.593514) (xy 193.621285 44.610113) (xy 193.585628 44.629171) (xy 193.568912 44.64289) (xy 193.527157 44.677157)
- (xy 193.515131 44.691812) (xy 193.342316 44.864626) (xy 192.95 44.864626) (xy 192.894326 44.870109) (xy 192.840792 44.886349)
- (xy 192.791455 44.91272) (xy 192.74821 44.94821) (xy 192.71272 44.991455) (xy 192.686349 45.040792) (xy 192.670109 45.094326)
- (xy 192.664626 45.15) (xy 192.664626 46.2) (xy 192.670109 46.255674) (xy 192.686349 46.309208) (xy 192.71272 46.358545)
- (xy 192.74821 46.40179) (xy 192.791455 46.43728) (xy 192.840792 46.463651) (xy 192.891001 46.478882) (xy 192.891 49.021118)
- (xy 192.840792 49.036349) (xy 192.791455 49.06272) (xy 192.74821 49.09821) (xy 192.71272 49.141455) (xy 192.686349 49.190792)
- (xy 192.670109 49.244326) (xy 192.664626 49.3) (xy 192.664626 50.35) (xy 192.670109 50.405674) (xy 192.686349 50.459208)
- (xy 192.71272 50.508545) (xy 192.74821 50.55179) (xy 192.791455 50.58728) (xy 192.840792 50.613651) (xy 192.894326 50.629891)
- (xy 192.95 50.635374) (xy 193.6 50.635374) (xy 193.655674 50.629891) (xy 193.709208 50.613651) (xy 193.758545 50.58728)
- (xy 193.80179 50.55179) (xy 193.83728 50.508545) (xy 193.863651 50.459208) (xy 193.879891 50.405674) (xy 193.885374 50.35)
- (xy 193.885374 49.3) (xy 193.879891 49.244326) (xy 193.863651 49.190792) (xy 193.83728 49.141455) (xy 193.80179 49.09821)
- (xy 193.758545 49.06272) (xy 193.709208 49.036349) (xy 193.659 49.021118) (xy 193.659 47.55) (xy 198.636928 47.55)
- (xy 198.64 47.96425) (xy 198.79875 48.123) (xy 199.6355 48.123) (xy 199.6355 47.26375) (xy 199.698 47.20125)
- (xy 199.698 46.377) (xy 198.82375 46.377) (xy 198.665 46.53575) (xy 198.661928 46.725) (xy 198.674188 46.849482)
- (xy 198.710498 46.96918) (xy 198.769463 47.079494) (xy 198.804567 47.122269) (xy 198.744463 47.195506) (xy 198.685498 47.30582)
- (xy 198.649188 47.425518) (xy 198.636928 47.55) (xy 193.659 47.55) (xy 193.659 46.478882) (xy 193.709208 46.463651)
- (xy 193.758545 46.43728) (xy 193.80179 46.40179) (xy 193.83728 46.358545) (xy 193.863651 46.309208) (xy 193.879891 46.255674)
- (xy 193.885374 46.2) (xy 193.885374 45.407684) (xy 193.959058 45.334) (xy 198.840456 45.334) (xy 198.769463 45.420506)
- (xy 198.710498 45.53082) (xy 198.674188 45.650518) (xy 198.661928 45.775) (xy 198.665 45.96425) (xy 198.82375 46.123)
- (xy 199.698 46.123) (xy 199.698 46.103) (xy 199.952 46.103) (xy 199.952 46.123) (xy 199.972 46.123)
- (xy 199.972 46.377) (xy 199.952 46.377) (xy 199.952 47.01125) (xy 199.8895 47.07375) (xy 199.8895 48.123)
- (xy 199.9095 48.123) (xy 199.9095 48.377) (xy 199.8895 48.377) (xy 199.8895 48.397) (xy 199.6355 48.397)
- (xy 199.6355 48.377) (xy 198.79875 48.377) (xy 198.64 48.53575) (xy 198.636928 48.95) (xy 198.649188 49.074482)
- (xy 198.685498 49.19418) (xy 198.744463 49.304494) (xy 198.823815 49.401185) (xy 198.920506 49.480537) (xy 199.03082 49.539502)
- (xy 199.150518 49.575812) (xy 199.238772 49.584504) (xy 199.167772 49.642772) (xy 199.102746 49.722007) (xy 199.054427 49.812405)
- (xy 199.024673 49.910492) (xy 199.014626 50.0125) (xy 199.014626 50.4875) (xy 199.024673 50.589508) (xy 199.054427 50.687595)
- (xy 199.102746 50.777993) (xy 199.167772 50.857228) (xy 199.247007 50.922254) (xy 199.337405 50.970573) (xy 199.435492 51.000327)
- (xy 199.5375 51.010374) (xy 199.666001 51.010374) (xy 199.666 52.00209) (xy 199.661349 52.010792) (xy 199.645109 52.064326)
- (xy 199.639626 52.12) (xy 199.639626 53.113726) (xy 199.203898 52.677998) (xy 199.200687 52.6454) (xy 199.172 52.55083)
- (xy 199.125414 52.463674) (xy 199.062719 52.387281) (xy 198.986326 52.324586) (xy 198.89917 52.278) (xy 198.8046 52.249313)
- (xy 198.70625 52.239626) (xy 198.423302 52.239626) (xy 198.414484 52.236951) (xy 198.328682 52.2285) (xy 198.3 52.225675)
- (xy 198.271318 52.2285) (xy 197.308216 52.2285) (xy 197.280397 52.194603) (xy 197.200216 52.128799) (xy 197.108737 52.079903)
- (xy 197.009477 52.049793) (xy 196.90625 52.039626) (xy 196.503026 52.039626) (xy 196.070731 51.607331) (xy 196.052448 51.585053)
- (xy 195.963523 51.512074) (xy 195.963524 51.512074) (xy 195.963522 51.512073) (xy 195.862068 51.457845) (xy 195.751983 51.424451)
- (xy 195.6375 51.413175) (xy 195.608809 51.416001) (xy 194.741899 51.415999) (xy 194.653625 51.327726) (xy 194.653623 51.327722)
- (xy 194.572278 51.246377) (xy 194.548296 51.230353) (xy 194.526022 51.212073) (xy 194.500609 51.19849) (xy 194.476628 51.182466)
- (xy 194.449981 51.171428) (xy 194.424568 51.157845) (xy 194.396994 51.14948) (xy 194.370347 51.138443) (xy 194.342059 51.132816)
- (xy 194.314483 51.124451) (xy 194.285804 51.121626) (xy 194.257519 51.116) (xy 194.228682 51.116) (xy 194.2 51.113175)
- (xy 194.171318 51.116) (xy 194.142481 51.116) (xy 194.114196 51.121626) (xy 194.085517 51.124451) (xy 194.057941 51.132816)
- (xy 194.029653 51.138443) (xy 194.003006 51.14948) (xy 193.975432 51.157845) (xy 193.950019 51.171428) (xy 193.923372 51.182466)
- (xy 193.899391 51.19849) (xy 193.873978 51.212073) (xy 193.851706 51.230352) (xy 193.827722 51.246377) (xy 193.807322 51.266777)
- (xy 193.785053 51.285053) (xy 193.766777 51.307322) (xy 193.746377 51.327722) (xy 193.730352 51.351706) (xy 193.712073 51.373978)
- (xy 193.69849 51.399391) (xy 193.682466 51.423372) (xy 193.671428 51.450019) (xy 193.657845 51.475432) (xy 193.64948 51.503006)
- (xy 193.638443 51.529653) (xy 193.632816 51.557941) (xy 193.624451 51.585517) (xy 193.621626 51.614196) (xy 193.616 51.642481)
- (xy 193.616 51.671318) (xy 193.613175 51.7) (xy 193.616 51.728682) (xy 193.616 51.757519) (xy 193.621626 51.785804)
- (xy 193.624451 51.814483) (xy 193.632816 51.842059) (xy 193.638443 51.870347) (xy 193.64948 51.896994) (xy 193.657845 51.924568)
- (xy 193.671428 51.949981) (xy 193.682466 51.976628) (xy 193.69849 52.000609) (xy 193.712073 52.026022) (xy 193.730353 52.048296)
- (xy 193.746377 52.072278) (xy 193.827722 52.153623) (xy 193.827726 52.153625) (xy 194.066763 52.392662) (xy 194.085051 52.414946)
- (xy 194.173976 52.487925) (xy 194.173978 52.487926) (xy 194.27543 52.542154) (xy 194.385515 52.575548) (xy 194.499999 52.586824)
- (xy 194.52869 52.583998) (xy 195.3956 52.584) (xy 195.464626 52.653026) (xy 195.464626 53.05625) (xy 195.474793 53.159477)
- (xy 195.504903 53.258737) (xy 195.553799 53.350216) (xy 195.619603 53.430397) (xy 195.699784 53.496201) (xy 195.791263 53.545097)
- (xy 195.849172 53.562663) (xy 195.75 53.561928) (xy 195.625518 53.574188) (xy 195.50582 53.610498) (xy 195.395506 53.669463)
- (xy 195.298815 53.748815) (xy 195.219463 53.845506) (xy 195.160498 53.95582) (xy 195.124188 54.075518) (xy 195.111928 54.2)
- (xy 195.115 54.40175) (xy 195.27375 54.5605) (xy 196.323 54.5605) (xy 196.323 54.5405) (xy 196.577 54.5405)
- (xy 196.577 54.5605) (xy 196.597 54.5605) (xy 196.597 54.8145) (xy 196.577 54.8145) (xy 196.577 55.65125)
- (xy 196.73575 55.81) (xy 197.15 55.813072) (xy 197.274482 55.800812) (xy 197.39418 55.764502) (xy 197.504494 55.705537)
- (xy 197.601185 55.626185) (xy 197.675899 55.535146) (xy 197.73082 55.564502) (xy 197.850518 55.600812) (xy 197.975 55.613072)
- (xy 198.16425 55.61) (xy 198.323 55.45125) (xy 198.323 54.6645) (xy 198.577 54.6645) (xy 198.577 55.45125)
- (xy 198.73575 55.61) (xy 198.925 55.613072) (xy 199.049482 55.600812) (xy 199.16918 55.564502) (xy 199.279494 55.505537)
- (xy 199.376185 55.426185) (xy 199.455537 55.329494) (xy 199.514502 55.21918) (xy 199.550812 55.099482) (xy 199.563072 54.975)
- (xy 199.56 54.82325) (xy 199.40125 54.6645) (xy 198.577 54.6645) (xy 198.323 54.6645) (xy 198.303 54.6645)
- (xy 198.303 54.4105) (xy 198.323 54.4105) (xy 198.323 54.3905) (xy 198.577 54.3905) (xy 198.577 54.4105)
- (xy 199.40125 54.4105) (xy 199.52775 54.284) (xy 199.643171 54.284) (xy 199.639626 54.32) (xy 199.639626 55.38)
- (xy 199.645109 55.435674) (xy 199.661349 55.489208) (xy 199.666001 55.49791) (xy 199.666001 55.814626) (xy 199.4625 55.814626)
- (xy 199.360492 55.824673) (xy 199.262405 55.854427) (xy 199.172007 55.902746) (xy 199.125394 55.941) (xy 198.524606 55.941)
- (xy 198.477993 55.902746) (xy 198.387595 55.854427) (xy 198.289508 55.824673) (xy 198.1875 55.814626) (xy 197.7125 55.814626)
- (xy 197.610492 55.824673) (xy 197.512405 55.854427) (xy 197.422007 55.902746) (xy 197.342772 55.967772) (xy 197.277746 56.047007)
- (xy 197.229427 56.137405) (xy 197.199673 56.235492) (xy 197.189626 56.3375) (xy 197.189626 56.9125) (xy 197.199673 57.014508)
- (xy 197.229427 57.112595) (xy 197.277746 57.202993) (xy 197.342772 57.282228) (xy 197.422007 57.347254) (xy 197.512405 57.395573)
- (xy 197.610492 57.425327) (xy 197.7125 57.435374) (xy 198.1875 57.435374) (xy 198.289508 57.425327) (xy 198.387595 57.395573)
- (xy 198.477993 57.347254) (xy 198.524606 57.309) (xy 198.890081 57.309) (xy 198.870506 57.319463) (xy 198.773815 57.398815)
- (xy 198.694463 57.495506) (xy 198.635498 57.60582) (xy 198.599188 57.725518) (xy 198.595373 57.764252) (xy 198.557228 57.717772)
- (xy 198.477993 57.652746) (xy 198.387595 57.604427) (xy 198.289508 57.574673) (xy 198.1875 57.564626) (xy 197.7125 57.564626)
- (xy 197.610492 57.574673) (xy 197.512405 57.604427) (xy 197.422007 57.652746) (xy 197.366392 57.698388) (xy 197.340913 57.700897)
- (xy 197.211978 57.740009) (xy 197.093152 57.803523) (xy 197.077949 57.816) (xy 196.742481 57.816) (xy 196.714197 57.821626)
- (xy 196.685516 57.824451) (xy 196.657936 57.832817) (xy 196.629653 57.838443) (xy 196.603014 57.849477) (xy 196.575432 57.857844)
- (xy 196.550012 57.871432) (xy 196.523372 57.882466) (xy 196.499396 57.898486) (xy 196.473977 57.912073) (xy 196.451699 57.930356)
- (xy 196.427722 57.946377) (xy 196.407331 57.966768) (xy 196.385052 57.985052) (xy 196.366768 58.007331) (xy 196.346377 58.027722)
- (xy 196.330356 58.051699) (xy 196.312073 58.073977) (xy 196.298486 58.099396) (xy 196.282466 58.123372) (xy 196.271432 58.150012)
- (xy 196.257844 58.175432) (xy 196.249477 58.203014) (xy 196.238443 58.229653) (xy 196.232817 58.257936) (xy 196.224451 58.285516)
- (xy 196.221626 58.314197) (xy 196.216 58.342481) (xy 196.216 58.371318) (xy 196.213175 58.4) (xy 196.216 58.428682)
- (xy 196.216 58.457519) (xy 196.221626 58.485803) (xy 196.224451 58.514484) (xy 196.232817 58.542064) (xy 196.238443 58.570347)
- (xy 196.249477 58.596986) (xy 196.257844 58.624568) (xy 196.271432 58.649988) (xy 196.282466 58.676628) (xy 196.298486 58.700604)
- (xy 196.312073 58.726023) (xy 196.330356 58.748301) (xy 196.346377 58.772278) (xy 196.363714 58.789615) (xy 196.362691 58.8)
- (xy 196.366001 58.833605) (xy 196.366001 60.17418) (xy 196.355023 60.157751) (xy 196.162249 59.964977) (xy 195.93557 59.813515)
- (xy 195.683698 59.709186) (xy 195.416312 59.656) (xy 195.143688 59.656) (xy 194.876302 59.709186) (xy 194.62443 59.813515)
- (xy 194.397751 59.964977) (xy 194.204977 60.157751) (xy 194.053515 60.38443) (xy 193.949186 60.636302) (xy 193.896 60.903688)
- (xy 193.896 61.176312) (xy 193.949186 61.443698) (xy 194.053515 61.69557) (xy 194.204977 61.922249) (xy 194.397751 62.115023)
- (xy 194.596001 62.247489) (xy 194.596 65.492366) (xy 194.515103 65.297063) (xy 194.352698 65.054005) (xy 194.145995 64.847302)
- (xy 193.902937 64.684897) (xy 193.784 64.635632) (xy 193.784 57.028673) (xy 193.786824 56.999999) (xy 193.784 56.971325)
- (xy 193.784 56.971318) (xy 193.775549 56.885516) (xy 193.742156 56.775432) (xy 193.687927 56.673977) (xy 193.614948 56.585052)
- (xy 193.592669 56.566768) (xy 193.184 56.1581) (xy 193.184 56.00412) (xy 193.39557 55.916485) (xy 193.622249 55.765023)
- (xy 193.815023 55.572249) (xy 193.966485 55.34557) (xy 194.037137 55.175) (xy 195.111928 55.175) (xy 195.124188 55.299482)
- (xy 195.160498 55.41918) (xy 195.219463 55.529494) (xy 195.298815 55.626185) (xy 195.395506 55.705537) (xy 195.50582 55.764502)
- (xy 195.625518 55.800812) (xy 195.75 55.813072) (xy 196.16425 55.81) (xy 196.323 55.65125) (xy 196.323 54.8145)
- (xy 195.27375 54.8145) (xy 195.115 54.97325) (xy 195.111928 55.175) (xy 194.037137 55.175) (xy 194.070814 55.093698)
- (xy 194.124 54.826312) (xy 194.124 54.553688) (xy 194.070814 54.286302) (xy 193.966485 54.03443) (xy 193.815023 53.807751)
- (xy 193.622249 53.614977) (xy 193.39557 53.463515) (xy 193.143698 53.359186) (xy 192.876312 53.306) (xy 192.603688 53.306)
- (xy 192.336302 53.359186) (xy 192.08443 53.463515) (xy 191.857751 53.614977) (xy 191.664977 53.807751) (xy 191.513515 54.03443)
- (xy 191.409186 54.286302) (xy 191.356 54.553688) (xy 190.313257 54.553688) (xy 190.530776 54.510421) (xy 190.73715 54.424938)
- (xy 190.922883 54.300835) (xy 191.080835 54.142883) (xy 191.204938 53.95715) (xy 191.290421 53.750776) (xy 191.334 53.531689)
- (xy 191.334 53.308311) (xy 191.290421 53.089224) (xy 191.204938 52.88285) (xy 191.080835 52.697117) (xy 190.922883 52.539165)
- (xy 190.73715 52.415062) (xy 190.530776 52.329579) (xy 190.311689 52.286) (xy 190.088311 52.286) (xy 189.869224 52.329579)
- (xy 189.66285 52.415062) (xy 189.477117 52.539165) (xy 189.319165 52.697117) (xy 189.195062 52.88285) (xy 189.109579 53.089224)
- (xy 189.066 53.308311) (xy 188.85199 53.308311) (xy 188.85199 51.297748) (xy 188.860492 51.300327) (xy 188.9625 51.310374)
- (xy 189.4375 51.310374) (xy 189.539508 51.300327) (xy 189.637595 51.270573) (xy 189.727993 51.222254) (xy 189.807228 51.157228)
- (xy 189.872254 51.077993) (xy 189.920573 50.987595) (xy 189.950327 50.889508) (xy 189.960374 50.7875) (xy 189.960374 50.2125)
- (xy 189.960029 50.209) (xy 190.514626 50.209) (xy 190.514626 50.35) (xy 190.520109 50.405674) (xy 190.536349 50.459208)
- (xy 190.56272 50.508545) (xy 190.59821 50.55179) (xy 190.641455 50.58728) (xy 190.690792 50.613651) (xy 190.744326 50.629891)
- (xy 190.8 50.635374) (xy 191.45 50.635374) (xy 191.505674 50.629891) (xy 191.559208 50.613651) (xy 191.608545 50.58728)
- (xy 191.65179 50.55179) (xy 191.68728 50.508545) (xy 191.713651 50.459208) (xy 191.729891 50.405674) (xy 191.735374 50.35)
- (xy 191.735374 49.3) (xy 191.729891 49.244326) (xy 191.713651 49.190792) (xy 191.68728 49.141455) (xy 191.65179 49.09821)
- (xy 191.608545 49.06272) (xy 191.559208 49.036349) (xy 191.509 49.021118) (xy 191.509 46.478882) (xy 191.559208 46.463651)
- (xy 191.608545 46.43728) (xy 191.65179 46.40179) (xy 191.68728 46.358545) (xy 191.713651 46.309208) (xy 191.729891 46.255674)
- (xy 191.735374 46.2) (xy 191.735374 45.15) (xy 191.729891 45.094326) (xy 191.713651 45.040792) (xy 191.68728 44.991455)
- (xy 191.65179 44.94821) (xy 191.608545 44.91272) (xy 191.559208 44.886349) (xy 191.505674 44.870109) (xy 191.45 44.864626)
- (xy 190.8 44.864626) (xy 190.744326 44.870109) (xy 190.690792 44.886349) (xy 190.641455 44.91272) (xy 190.59821 44.94821)
- (xy 190.56272 44.991455) (xy 190.536349 45.040792) (xy 190.520109 45.094326) (xy 190.514626 45.15) (xy 190.514626 46.2)
- (xy 190.520109 46.255674) (xy 190.536349 46.309208) (xy 190.56272 46.358545) (xy 190.59821 46.40179) (xy 190.641455 46.43728)
- (xy 190.690792 46.463651) (xy 190.741 46.478882) (xy 190.741001 49.021118) (xy 190.690792 49.036349) (xy 190.641455 49.06272)
- (xy 190.59821 49.09821) (xy 190.56272 49.141455) (xy 190.536349 49.190792) (xy 190.520109 49.244326) (xy 190.514626 49.3)
- (xy 190.514626 49.441) (xy 189.766076 49.441) (xy 189.807228 49.407228) (xy 189.872254 49.327993) (xy 189.920573 49.237595)
- (xy 189.950327 49.139508) (xy 189.960374 49.0375) (xy 189.960374 48.4625) (xy 189.950327 48.360492) (xy 189.920573 48.262405)
- (xy 189.872254 48.172007) (xy 189.807228 48.092772) (xy 189.727993 48.027746) (xy 189.637595 47.979427) (xy 189.539508 47.949673)
- (xy 189.460311 47.941873) (xy 189.458188 47.94013) (xy 189.330558 47.8125) (xy 189.45819 47.684868) (xy 189.460311 47.683127)
- (xy 189.539508 47.675327) (xy 189.637595 47.645573) (xy 189.727993 47.597254) (xy 189.807228 47.532228) (xy 189.872254 47.452993)
- (xy 189.920573 47.362595) (xy 189.950327 47.264508) (xy 189.960374 47.1625) (xy 189.960374 46.5875) (xy 189.950327 46.485492)
- (xy 189.920573 46.387405) (xy 189.872254 46.297007) (xy 189.843822 46.262362) (xy 189.91918 46.239502) (xy 190.029494 46.180537)
- (xy 190.126185 46.101185) (xy 190.205537 46.004494) (xy 190.264502 45.89418) (xy 190.300812 45.774482) (xy 190.313072 45.65)
- (xy 190.31 45.41075) (xy 190.15125 45.252) (xy 189.327 45.252) (xy 189.327 45.272) (xy 189.073 45.272)
- (xy 189.073 45.252) (xy 188.24875 45.252) (xy 188.09 45.41075) (xy 188.086928 45.65) (xy 188.099188 45.774482)
- (xy 188.135498 45.89418) (xy 188.194463 46.004494) (xy 188.273815 46.101185) (xy 188.370506 46.180537) (xy 188.48082 46.239502)
- (xy 188.556178 46.262362) (xy 188.527746 46.297007) (xy 188.479427 46.387405) (xy 188.449673 46.485492) (xy 188.439626 46.5875)
- (xy 188.439626 47.1625) (xy 188.449673 47.264508) (xy 188.479427 47.362595) (xy 188.507973 47.416) (xy 188.085374 47.416)
- (xy 188.085374 47.3) (xy 188.079891 47.244326) (xy 188.063651 47.190792) (xy 188.03728 47.141455) (xy 188.00179 47.09821)
- (xy 187.958545 47.06272) (xy 187.909208 47.036349) (xy 187.855674 47.020109) (xy 187.8 47.014626) (xy 186.8 47.014626)
- (xy 186.744326 47.020109) (xy 186.690792 47.036349) (xy 186.641455 47.06272) (xy 186.59821 47.09821) (xy 186.56272 47.141455)
- (xy 186.536349 47.190792) (xy 186.520109 47.244326) (xy 186.514626 47.3) (xy 186.514626 48.3) (xy 186.520109 48.355674)
- (xy 186.536349 48.409208) (xy 186.56272 48.458545) (xy 186.59821 48.50179) (xy 186.641455 48.53728) (xy 186.690792 48.563651)
- (xy 186.744326 48.579891) (xy 186.8 48.585374) (xy 187.8 48.585374) (xy 187.855674 48.579891) (xy 187.909208 48.563651)
- (xy 187.958545 48.53728) (xy 188.00179 48.50179) (xy 188.03728 48.458545) (xy 188.063651 48.409208) (xy 188.079891 48.355674)
- (xy 188.085374 48.3) (xy 188.085374 48.184) (xy 188.521336 48.184) (xy 188.479427 48.262405) (xy 188.449673 48.360492)
- (xy 188.439626 48.4625) (xy 188.439626 48.492317) (xy 188.209802 48.722141) (xy 188.195148 48.734167) (xy 188.183122 48.748821)
- (xy 188.147161 48.792639) (xy 188.111505 48.859349) (xy 188.089547 48.931733) (xy 188.082132 49.00701) (xy 188.083991 49.025882)
- (xy 188.083991 52.462813) (xy 188.075 52.461928) (xy 187.88575 52.465) (xy 187.727 52.62375) (xy 187.727 53.498)
- (xy 187.747 53.498) (xy 187.747 53.752) (xy 187.727 53.752) (xy 187.727 53.772) (xy 187.473 53.772)
- (xy 187.473 53.752) (xy 186.64875 53.752) (xy 186.566372 53.834378) (xy 186.532228 53.792772) (xy 186.452993 53.727746)
- (xy 186.362595 53.679427) (xy 186.264508 53.649673) (xy 186.1625 53.639626) (xy 185.5875 53.639626) (xy 185.485492 53.649673)
- (xy 185.387405 53.679427) (xy 185.297007 53.727746) (xy 185.217772 53.792772) (xy 185.152746 53.872007) (xy 185.104427 53.962405)
- (xy 185.074673 54.060492) (xy 185.064626 54.1625) (xy 185.064626 54.6375) (xy 185.074673 54.739508) (xy 185.104427 54.837595)
- (xy 185.152746 54.927993) (xy 185.217772 55.007228) (xy 185.297007 55.072254) (xy 185.387405 55.120573) (xy 185.485492 55.150327)
- (xy 185.5875 55.160374) (xy 185.616 55.160374) (xy 185.616001 55.632119) (xy 185.580367 55.62131) (xy 185.5125 55.614626)
- (xy 185.3875 55.614626) (xy 185.319633 55.62131) (xy 185.254374 55.641106) (xy 185.2 55.67017) (xy 185.145626 55.641106)
- (xy 185.080367 55.62131) (xy 185.0125 55.614626) (xy 184.8875 55.614626) (xy 184.819633 55.62131) (xy 184.754374 55.641106)
- (xy 184.7 55.67017) (xy 184.645626 55.641106) (xy 184.580367 55.62131) (xy 184.5125 55.614626) (xy 184.3875 55.614626)
- (xy 184.319633 55.62131) (xy 184.254374 55.641106) (xy 184.194232 55.673253) (xy 184.141516 55.716516) (xy 184.098253 55.769232)
- (xy 184.066106 55.829374) (xy 184.04631 55.894633) (xy 184.039626 55.9625) (xy 184.039626 56.310839) (xy 184.023815 56.323815)
- (xy 183.944463 56.420506) (xy 183.910618 56.483825) (xy 183.804817 56.589626) (xy 183.6625 56.589626) (xy 183.594633 56.59631)
- (xy 183.529723 56.616) (xy 183.218862 56.616) (xy 183.199999 56.614142) (xy 183.181137 56.616) (xy 183.181135 56.616)
- (xy 183.124723 56.621556) (xy 183.052339 56.643514) (xy 183.021285 56.660113) (xy 182.985628 56.679171) (xy 182.957967 56.701872)
- (xy 182.927157 56.727157) (xy 182.915132 56.74181) (xy 182.633933 57.02301) (xy 180.291067 57.02301) (xy 180.259874 56.991817)
- (xy 180.258127 56.989689) (xy 180.250327 56.910492) (xy 180.220573 56.812405) (xy 180.172254 56.722007) (xy 180.107228 56.642772)
- (xy 180.027993 56.577746) (xy 179.937595 56.529427) (xy 179.839508 56.499673) (xy 179.7375 56.489626) (xy 179.45284 56.489626)
- (xy 179.51918 56.469502) (xy 179.629494 56.410537) (xy 179.726185 56.331185) (xy 179.805537 56.234494) (xy 179.842483 56.165374)
- (xy 180.225 56.165374) (xy 180.280674 56.159891) (xy 180.334208 56.143651) (xy 180.383545 56.11728) (xy 180.42679 56.08179)
- (xy 180.46228 56.038545) (xy 180.488651 55.989208) (xy 180.504891 55.935674) (xy 180.510374 55.88) (xy 180.510374 55.734)
- (xy 183.631138 55.734) (xy 183.65 55.735858) (xy 183.668862 55.734) (xy 183.668865 55.734) (xy 183.725277 55.728444)
- (xy 183.797661 55.706486) (xy 183.864371 55.670829) (xy 183.922843 55.622843) (xy 183.934874 55.608183) (xy 184.382684 55.160374)
- (xy 184.4125 55.160374) (xy 184.514508 55.150327) (xy 184.612595 55.120573) (xy 184.702993 55.072254) (xy 184.782228 55.007228)
- (xy 184.847254 54.927993) (xy 184.895573 54.837595) (xy 184.925327 54.739508) (xy 184.935374 54.6375) (xy 184.935374 54.1625)
- (xy 184.925327 54.060492) (xy 184.895573 53.962405) (xy 184.847254 53.872007) (xy 184.782228 53.792772) (xy 184.702993 53.727746)
- (xy 184.612595 53.679427) (xy 184.514508 53.649673) (xy 184.4125 53.639626) (xy 183.8375 53.639626) (xy 183.735492 53.649673)
- (xy 183.637405 53.679427) (xy 183.547007 53.727746) (xy 183.467772 53.792772) (xy 183.402746 53.872007) (xy 183.354427 53.962405)
- (xy 183.324673 54.060492) (xy 183.314626 54.1625) (xy 183.314626 54.6375) (xy 183.324673 54.739508) (xy 183.354427 54.837595)
- (xy 183.402746 54.927993) (xy 183.433937 54.966) (xy 183.306572 54.966) (xy 183.313072 54.9) (xy 183.31 54.66075)
- (xy 183.15125 54.502) (xy 182.327 54.502) (xy 182.327 54.522) (xy 182.073 54.522) (xy 182.073 54.502)
- (xy 181.24875 54.502) (xy 181.09 54.66075) (xy 181.086928 54.9) (xy 181.093428 54.966) (xy 180.510374 54.966)
- (xy 180.510374 54.82) (xy 180.504891 54.764326) (xy 180.498802 54.744255) (xy 181.121904 54.121154) (xy 181.24875 54.248)
- (xy 182.073 54.248) (xy 182.073 54.228) (xy 182.327 54.228) (xy 182.327 54.248) (xy 183.15125 54.248)
- (xy 183.31 54.08925) (xy 183.313072 53.85) (xy 183.300812 53.725518) (xy 183.264502 53.60582) (xy 183.205537 53.495506)
- (xy 183.126185 53.398815) (xy 183.029494 53.319463) (xy 182.91918 53.260498) (xy 182.843822 53.237638) (xy 182.872254 53.202993)
- (xy 182.920573 53.112595) (xy 182.924393 53.1) (xy 186.486928 53.1) (xy 186.49 53.33925) (xy 186.64875 53.498)
- (xy 187.473 53.498) (xy 187.473 52.62375) (xy 187.31425 52.465) (xy 187.125 52.461928) (xy 187.000518 52.474188)
- (xy 186.88082 52.510498) (xy 186.770506 52.569463) (xy 186.673815 52.648815) (xy 186.594463 52.745506) (xy 186.535498 52.85582)
- (xy 186.499188 52.975518) (xy 186.486928 53.1) (xy 182.924393 53.1) (xy 182.950327 53.014508) (xy 182.960374 52.9125)
- (xy 182.960374 52.3375) (xy 182.955105 52.284) (xy 183.057519 52.284) (xy 183.085803 52.278374) (xy 183.114484 52.275549)
- (xy 183.142064 52.267183) (xy 183.170347 52.261557) (xy 183.196986 52.250523) (xy 183.224568 52.242156) (xy 183.249988 52.228568)
- (xy 183.276628 52.217534) (xy 183.300604 52.201514) (xy 183.326023 52.187927) (xy 183.348301 52.169644) (xy 183.372278 52.153623)
- (xy 183.39267 52.133231) (xy 183.414948 52.114948) (xy 183.433232 52.092669) (xy 183.453623 52.072278) (xy 183.469644 52.048301)
- (xy 183.487927 52.026023) (xy 183.501514 52.000604) (xy 183.517534 51.976628) (xy 183.528568 51.949988) (xy 183.542156 51.924568)
- (xy 183.550523 51.896986) (xy 183.561557 51.870347) (xy 183.567183 51.842064) (xy 183.575549 51.814484) (xy 183.578374 51.785803)
- (xy 183.583879 51.758129) (xy 183.68575 51.86) (xy 183.7 51.863072) (xy 183.824482 51.850812) (xy 183.94418 51.814502)
- (xy 184.054494 51.755537) (xy 184.151185 51.676185) (xy 184.230537 51.579494) (xy 184.289502 51.46918) (xy 184.325812 51.349482)
- (xy 184.338072 51.225) (xy 184.337498 51.142996) (xy 184.393 51.102954) (xy 184.393 49.712) (xy 184.647 49.712)
- (xy 184.647 51.102954) (xy 184.821874 51.229119) (xy 185.044976 51.149276) (xy 185.232764 51.027369) (xy 185.393161 50.871169)
- (xy 185.520003 50.686678) (xy 185.608415 50.480987) (xy 185.655 50.262) (xy 185.655 49.712) (xy 184.647 49.712)
- (xy 184.393 49.712) (xy 184.373 49.712) (xy 184.373 49.458) (xy 184.393 49.458) (xy 184.393 48.067046)
- (xy 184.647 48.067046) (xy 184.647 49.458) (xy 185.655 49.458) (xy 185.655 48.908) (xy 185.608415 48.689013)
- (xy 185.520003 48.483322) (xy 185.393161 48.298831) (xy 185.232764 48.142631) (xy 185.044976 48.020724) (xy 184.821874 47.940881)
- (xy 184.647 48.067046) (xy 184.393 48.067046) (xy 184.218126 47.940881) (xy 183.995024 48.020724) (xy 183.807236 48.142631)
- (xy 183.646839 48.298831) (xy 183.519997 48.483322) (xy 183.477737 48.58164) (xy 183.37847 48.515311) (xy 183.267639 48.469403)
- (xy 183.149981 48.446) (xy 183.030019 48.446) (xy 182.912361 48.469403) (xy 182.80153 48.515311) (xy 182.701785 48.581959)
- (xy 182.616959 48.666785) (xy 182.550311 48.76653) (xy 182.504403 48.877361) (xy 182.481 48.995019) (xy 182.481 49.114981)
- (xy 182.504403 49.232639) (xy 182.550311 49.34347) (xy 182.587279 49.398797) (xy 182.569463 49.420506) (xy 182.532517 49.489626)
- (xy 182.3 49.489626) (xy 182.244326 49.495109) (xy 182.2 49.508556) (xy 182.155674 49.495109) (xy 182.1 49.489626)
- (xy 181.8 49.489626) (xy 181.744326 49.495109) (xy 181.7 49.508556) (xy 181.655674 49.495109) (xy 181.6 49.489626)
- (xy 181.3 49.489626) (xy 181.244326 49.495109) (xy 181.2 49.508556) (xy 181.155674 49.495109) (xy 181.1 49.489626)
- (xy 180.8 49.489626) (xy 180.793411 49.490275) (xy 180.770829 49.448027) (xy 180.722843 49.389555) (xy 180.708183 49.377524)
- (xy 180.590475 49.259816) (xy 180.578444 49.245156) (xy 180.519972 49.19717) (xy 180.453262 49.161513) (xy 180.380878 49.139555)
- (xy 180.324466 49.133999) (xy 180.324463 49.133999) (xy 180.305601 49.132141) (xy 180.286739 49.133999) (xy 179.525863 49.133999)
- (xy 179.507001 49.132141) (xy 179.488139 49.133999) (xy 179.488136 49.133999) (xy 179.431724 49.139555) (xy 179.35934 49.161513)
- (xy 179.29263 49.19717) (xy 179.234158 49.245156) (xy 179.222127 49.259816) (xy 179.191817 49.290126) (xy 179.177157 49.302157)
- (xy 179.14696 49.338953) (xy 179.129171 49.360629) (xy 179.110113 49.396286) (xy 179.093514 49.42734) (xy 179.074619 49.489626)
- (xy 178.8 49.489626) (xy 178.744326 49.495109) (xy 178.7 49.508556) (xy 178.655674 49.495109) (xy 178.6 49.489626)
- (xy 178.3 49.489626) (xy 178.244326 49.495109) (xy 178.2 49.508556) (xy 178.155674 49.495109) (xy 178.1 49.489626)
- (xy 177.867483 49.489626) (xy 177.830537 49.420506) (xy 177.812721 49.398797) (xy 177.849689 49.34347) (xy 177.895597 49.232639)
- (xy 177.919 49.114981) (xy 177.919 48.995019) (xy 177.895597 48.877361) (xy 177.849689 48.76653) (xy 177.783041 48.666785)
- (xy 177.698215 48.581959) (xy 177.59847 48.515311) (xy 177.487639 48.469403) (xy 177.369981 48.446) (xy 177.250019 48.446)
- (xy 177.132361 48.469403) (xy 177.02153 48.515311) (xy 176.922263 48.58164) (xy 176.880003 48.483322) (xy 176.753161 48.298831)
- (xy 176.592764 48.142631) (xy 176.404976 48.020724) (xy 176.181874 47.940881) (xy 176.007 48.067046) (xy 176.007 49.458)
- (xy 176.027 49.458) (xy 176.027 49.712) (xy 176.007 49.712) (xy 176.007 51.102954) (xy 176.062502 51.142996)
- (xy 176.061928 51.225) (xy 176.074188 51.349482) (xy 176.110498 51.46918) (xy 176.169463 51.579494) (xy 176.248815 51.676185)
- (xy 176.345506 51.755537) (xy 176.45582 51.814502) (xy 176.575518 51.850812) (xy 176.7 51.863072) (xy 176.71425 51.86)
- (xy 176.873 51.70125) (xy 176.873 50.696864) (xy 176.880003 50.686678) (xy 176.968415 50.480987) (xy 176.995642 50.353)
- (xy 177.127 50.353) (xy 177.127 50.373) (xy 177.147 50.373) (xy 177.147 50.627) (xy 177.127 50.627)
- (xy 177.127 51.577114) (xy 177.124451 51.585517) (xy 177.121626 51.614196) (xy 177.116 51.642481) (xy 177.116 51.671318)
- (xy 177.113175 51.7) (xy 177.116 51.728682) (xy 177.116 51.757519) (xy 177.121626 51.785804) (xy 177.124451 51.814483)
- (xy 177.132816 51.842059) (xy 177.138443 51.870347) (xy 177.14948 51.896994) (xy 177.155246 51.916) (xy 176.518854 51.916)
- (xy 176.499999 51.914143) (xy 176.481144 51.916) (xy 176.481135 51.916) (xy 176.424723 51.921556) (xy 176.352339 51.943514)
- (xy 176.285629 51.979171) (xy 176.227157 52.027157) (xy 176.215131 52.041811) (xy 175.691817 52.565126) (xy 175.689689 52.566873)
- (xy 175.610492 52.574673) (xy 175.512405 52.604427) (xy 175.422007 52.652746) (xy 175.342772 52.717772) (xy 175.277746 52.797007)
- (xy 175.229427 52.887405) (xy 175.199673 52.985492) (xy 175.189626 53.0875) (xy 175.189626 53.6625) (xy 175.199673 53.764508)
- (xy 175.229427 53.862595) (xy 175.277746 53.952993) (xy 175.306178 53.987638) (xy 175.23082 54.010498) (xy 175.120506 54.069463)
- (xy 175.023815 54.148815) (xy 174.944463 54.245506) (xy 174.885498 54.35582) (xy 174.849188 54.475518) (xy 174.836928 54.6)
- (xy 174.84 54.83925) (xy 174.99875 54.998) (xy 175.823 54.998) (xy 175.823 54.978) (xy 176.077 54.978)
- (xy 176.077 54.998) (xy 176.097 54.998) (xy 176.097 55.252) (xy 176.077 55.252) (xy 176.077 56.12625)
- (xy 176.23575 56.285) (xy 176.425 56.288072) (xy 176.549482 56.275812) (xy 176.66918 56.239502) (xy 176.779494 56.180537)
- (xy 176.876185 56.101185) (xy 176.955537 56.004494) (xy 177.014502 55.89418) (xy 177.050812 55.774482) (xy 177.063072 55.65)
- (xy 177.06 55.41075) (xy 176.901252 55.252002) (xy 177.06 55.252002) (xy 177.06 55.203058) (xy 177.389626 55.532684)
- (xy 177.389626 55.88) (xy 177.395109 55.935674) (xy 177.411349 55.989208) (xy 177.43772 56.038545) (xy 177.47321 56.08179)
- (xy 177.516455 56.11728) (xy 177.565792 56.143651) (xy 177.616001 56.158882) (xy 177.616001 56.489626) (xy 177.4125 56.489626)
- (xy 177.310492 56.499673) (xy 177.212405 56.529427) (xy 177.122007 56.577746) (xy 177.042772 56.642772) (xy 176.977746 56.722007)
- (xy 176.929427 56.812405) (xy 176.899673 56.910492) (xy 176.889626 57.0125) (xy 176.889626 57.4875) (xy 176.899673 57.589508)
- (xy 176.929427 57.687595) (xy 176.977746 57.777993) (xy 177.042772 57.857228) (xy 177.122007 57.922254) (xy 177.212405 57.970573)
- (xy 177.310492 58.000327) (xy 177.4125 58.010374) (xy 177.9875 58.010374) (xy 178.089508 58.000327) (xy 178.187595 57.970573)
- (xy 178.277993 57.922254) (xy 178.357228 57.857228) (xy 178.422254 57.777993) (xy 178.470573 57.687595) (xy 178.500327 57.589508)
- (xy 178.510374 57.4875) (xy 178.510374 57.0125) (xy 178.500327 56.910492) (xy 178.470573 56.812405) (xy 178.422254 56.722007)
- (xy 178.384 56.675394) (xy 178.384 56.470467) (xy 178.500518 56.505812) (xy 178.625 56.518072) (xy 178.66425 56.515)
- (xy 178.823 56.35625) (xy 178.823 55.477) (xy 178.803 55.477) (xy 178.803 55.223) (xy 178.823 55.223)
- (xy 178.823 55.203) (xy 179.077 55.203) (xy 179.077 55.223) (xy 179.097 55.223) (xy 179.097 55.477)
- (xy 179.077 55.477) (xy 179.077 56.35625) (xy 179.210376 56.489626) (xy 179.1625 56.489626) (xy 179.060492 56.499673)
- (xy 178.962405 56.529427) (xy 178.872007 56.577746) (xy 178.792772 56.642772) (xy 178.727746 56.722007) (xy 178.679427 56.812405)
- (xy 178.649673 56.910492) (xy 178.639626 57.0125) (xy 178.639626 57.4875) (xy 178.649673 57.589508) (xy 178.679427 57.687595)
- (xy 178.727746 57.777993) (xy 178.792772 57.857228) (xy 178.872007 57.922254) (xy 178.962405 57.970573) (xy 179.060492 58.000327)
- (xy 179.1625 58.010374) (xy 179.7375 58.010374) (xy 179.839508 58.000327) (xy 179.937595 57.970573) (xy 180.027993 57.922254)
- (xy 180.107228 57.857228) (xy 180.161571 57.79101) (xy 182.774128 57.79101) (xy 182.79299 57.792868) (xy 182.811852 57.79101)
- (xy 182.811855 57.79101) (xy 182.868267 57.785454) (xy 182.940651 57.763496) (xy 182.975181 57.745039) (xy 182.975766 57.75)
- (xy 182.965 57.84125) (xy 183.028758 57.905008) (xy 183.078768 57.99304) (xy 183.102855 58.020895) (xy 182.965 58.15875)
- (xy 182.977602 58.265558) (xy 183.016983 58.384281) (xy 183.078768 58.49304) (xy 183.160585 58.587656) (xy 183.259288 58.664491)
- (xy 183.371084 58.720595) (xy 183.491677 58.75381) (xy 183.616433 58.76286) (xy 183.65175 58.76) (xy 183.8105 58.60125)
- (xy 183.8105 58.26) (xy 183.840375 58.26) (xy 183.849188 58.349482) (xy 183.885498 58.46918) (xy 183.944463 58.579494)
- (xy 184.023815 58.676185) (xy 184.039626 58.689161) (xy 184.039626 58.962428) (xy 181.805821 61.196234) (xy 179.637687 61.196234)
- (xy 179.637687 61.08879) (xy 179.615244 60.975962) (xy 179.571221 60.869681) (xy 179.50731 60.774031) (xy 179.425965 60.692686)
- (xy 179.330315 60.628775) (xy 179.224034 60.584752) (xy 179.111206 60.562309) (xy 179.055367 60.562309) (xy 178.260374 59.767317)
- (xy 178.260374 59.7375) (xy 178.250327 59.635492) (xy 178.220573 59.537405) (xy 178.172254 59.447007) (xy 178.107228 59.367772)
- (xy 178.027993 59.302746) (xy 177.937595 59.254427) (xy 177.839508 59.224673) (xy 177.7375 59.214626) (xy 177.2625 59.214626)
- (xy 177.160492 59.224673) (xy 177.062405 59.254427) (xy 176.972007 59.302746) (xy 176.892772 59.367772) (xy 176.827746 59.447007)
- (xy 176.779427 59.537405) (xy 176.749673 59.635492) (xy 176.749131 59.641) (xy 176.550869 59.641) (xy 176.550327 59.635492)
- (xy 176.520573 59.537405) (xy 176.472254 59.447007) (xy 176.407228 59.367772) (xy 176.327993 59.302746) (xy 176.237595 59.254427)
- (xy 176.139508 59.224673) (xy 176.0375 59.214626) (xy 175.5625 59.214626) (xy 175.460492 59.224673) (xy 175.362405 59.254427)
- (xy 175.272007 59.302746) (xy 175.192772 59.367772) (xy 175.127746 59.447007) (xy 175.079427 59.537405) (xy 175.049673 59.635492)
- (xy 175.039626 59.7375) (xy 175.039626 60.3125) (xy 175.049673 60.414508) (xy 175.079427 60.512595) (xy 175.127746 60.602993)
- (xy 175.192772 60.682228) (xy 175.272007 60.747254) (xy 175.362405 60.795573) (xy 175.460492 60.825327) (xy 175.5625 60.835374)
- (xy 176.0375 60.835374) (xy 176.139508 60.825327) (xy 176.237595 60.795573) (xy 176.327993 60.747254) (xy 176.407228 60.682228)
- (xy 176.472254 60.602993) (xy 176.520573 60.512595) (xy 176.550327 60.414508) (xy 176.550869 60.409) (xy 176.749131 60.409)
- (xy 176.749673 60.414508) (xy 176.779427 60.512595) (xy 176.827746 60.602993) (xy 176.892772 60.682228) (xy 176.972007 60.747254)
- (xy 177.062405 60.795573) (xy 177.160492 60.825327) (xy 177.2625 60.835374) (xy 177.7375 60.835374) (xy 177.839508 60.825327)
- (xy 177.937595 60.795573) (xy 178.027993 60.747254) (xy 178.09731 60.690367) (xy 178.474008 61.067066) (xy 178.469687 61.08879)
- (xy 178.469687 61.203828) (xy 178.49213 61.316656) (xy 178.493229 61.31931) (xy 178.230251 61.31931) (xy 178.220573 61.287405)
- (xy 178.172254 61.197007) (xy 178.107228 61.117772) (xy 178.027993 61.052746) (xy 177.937595 61.004427) (xy 177.839508 60.974673)
- (xy 177.7375 60.964626) (xy 177.2625 60.964626) (xy 177.160492 60.974673) (xy 177.062405 61.004427) (xy 176.972007 61.052746)
- (xy 176.892772 61.117772) (xy 176.827746 61.197007) (xy 176.779427 61.287405) (xy 176.749673 61.385492) (xy 176.739626 61.4875)
- (xy 176.739626 62.05899) (xy 176.642481 62.05899) (xy 176.559086 62.075578) (xy 176.560374 62.0625) (xy 176.560374 61.4875)
- (xy 176.550327 61.385492) (xy 176.520573 61.287405) (xy 176.472254 61.197007) (xy 176.407228 61.117772) (xy 176.327993 61.052746)
- (xy 176.237595 61.004427) (xy 176.139508 60.974673) (xy 176.0375 60.964626) (xy 175.5625 60.964626) (xy 175.460492 60.974673)
- (xy 175.362405 61.004427) (xy 175.272007 61.052746) (xy 175.192772 61.117772) (xy 175.127746 61.197007) (xy 175.079427 61.287405)
- (xy 175.049673 61.385492) (xy 175.039626 61.4875) (xy 175.039626 62.0625) (xy 175.049673 62.164508) (xy 175.079427 62.262595)
- (xy 175.127746 62.352993) (xy 175.192772 62.432228) (xy 175.272007 62.497254) (xy 175.362405 62.545573) (xy 175.460492 62.575327)
- (xy 175.506458 62.579854) (xy 175.527157 62.605077) (xy 175.541817 62.617108) (xy 176.040709 63.116) (xy 173.885374 63.116)
- (xy 173.885374 63.05) (xy 173.879891 62.994326) (xy 173.863651 62.940792) (xy 173.83728 62.891455) (xy 173.80179 62.84821)
- (xy 173.758545 62.81272) (xy 173.709208 62.786349) (xy 173.655674 62.770109) (xy 173.6 62.764626) (xy 172.8 62.764626)
- (xy 172.744326 62.770109) (xy 172.690792 62.786349) (xy 172.641455 62.81272) (xy 172.59821 62.84821) (xy 172.56272 62.891455)
- (xy 172.536349 62.940792) (xy 172.520109 62.994326) (xy 172.514626 63.05) (xy 172.514626 63.901569) (xy 172.344 63.730943)
- (xy 172.344 62.448854) (xy 172.345857 62.429999) (xy 172.344 62.411144) (xy 172.344 62.411135) (xy 172.338444 62.354723)
- (xy 172.316486 62.282339) (xy 172.280829 62.215629) (xy 172.232843 62.157157) (xy 172.218189 62.145131) (xy 171.624874 61.551817)
- (xy 171.612843 61.537157) (xy 171.554371 61.489171) (xy 171.487661 61.453514) (xy 171.415277 61.431556) (xy 171.358865 61.426)
- (xy 171.358862 61.426) (xy 171.34 61.424142) (xy 171.321138 61.426) (xy 169.734496 61.426) (xy 169.715634 61.424142)
- (xy 169.696771 61.426) (xy 169.696769 61.426) (xy 169.640357 61.431556) (xy 169.567973 61.453514) (xy 169.555517 61.460172)
- (xy 169.501262 61.489171) (xy 169.482027 61.504957) (xy 169.442791 61.537157) (xy 169.430765 61.551812) (xy 169.056577 61.926)
- (xy 168.994732 61.926) (xy 168.990814 61.906302) (xy 168.886485 61.65443) (xy 168.735023 61.427751) (xy 168.542249 61.234977)
- (xy 168.31557 61.083515) (xy 168.244 61.05387) (xy 168.244 60.783048) (xy 168.246825 60.754366) (xy 168.235549 60.639882)
- (xy 168.223825 60.601232) (xy 168.202156 60.529798) (xy 168.147927 60.428343) (xy 168.074948 60.339418) (xy 168.05267 60.321135)
- (xy 167.664 59.932466) (xy 167.664 58.275046) (xy 167.916 58.275046) (xy 167.916 58.724954) (xy 168.003773 59.166218)
- (xy 168.175946 59.58188) (xy 168.425902 59.955965) (xy 168.744035 60.274098) (xy 169.11812 60.524054) (xy 169.533782 60.696227)
- (xy 169.975046 60.784) (xy 170.424954 60.784) (xy 170.866218 60.696227) (xy 171.28188 60.524054) (xy 171.655965 60.274098)
- (xy 171.974098 59.955965) (xy 172.224054 59.58188) (xy 172.396227 59.166218) (xy 172.484 58.724954) (xy 172.484 58.275046)
- (xy 172.396227 57.833782) (xy 172.224054 57.41812) (xy 171.974098 57.044035) (xy 171.655965 56.725902) (xy 171.28188 56.475946)
- (xy 170.866218 56.303773) (xy 170.424954 56.216) (xy 169.975046 56.216) (xy 169.533782 56.303773) (xy 169.11812 56.475946)
- (xy 168.744035 56.725902) (xy 168.425902 57.044035) (xy 168.175946 57.41812) (xy 168.003773 57.833782) (xy 167.916 58.275046)
- (xy 167.664 58.275046) (xy 167.664 55.65) (xy 174.836928 55.65) (xy 174.849188 55.774482) (xy 174.885498 55.89418)
- (xy 174.944463 56.004494) (xy 175.023815 56.101185) (xy 175.120506 56.180537) (xy 175.23082 56.239502) (xy 175.350518 56.275812)
- (xy 175.475 56.288072) (xy 175.66425 56.285) (xy 175.823 56.12625) (xy 175.823 55.252) (xy 174.99875 55.252)
- (xy 174.84 55.41075) (xy 174.836928 55.65) (xy 167.664 55.65) (xy 167.664 53.4119) (xy 167.767589 53.308311)
- (xy 169.066 53.308311) (xy 169.066 53.531689) (xy 169.109579 53.750776) (xy 169.195062 53.95715) (xy 169.319165 54.142883)
- (xy 169.477117 54.300835) (xy 169.66285 54.424938) (xy 169.869224 54.510421) (xy 170.088311 54.554) (xy 170.311689 54.554)
- (xy 170.530776 54.510421) (xy 170.73715 54.424938) (xy 170.922883 54.300835) (xy 171.080835 54.142883) (xy 171.204938 53.95715)
- (xy 171.290421 53.750776) (xy 171.334 53.531689) (xy 171.334 53.308311) (xy 171.290421 53.089224) (xy 171.204938 52.88285)
- (xy 171.080835 52.697117) (xy 170.922883 52.539165) (xy 170.73715 52.415062) (xy 170.530776 52.329579) (xy 170.311689 52.286)
- (xy 170.088311 52.286) (xy 169.869224 52.329579) (xy 169.66285 52.415062) (xy 169.477117 52.539165) (xy 169.319165 52.697117)
- (xy 169.195062 52.88285) (xy 169.109579 53.089224) (xy 169.066 53.308311) (xy 167.767589 53.308311) (xy 168.078318 52.997583)
- (xy 168.096383 52.987927) (xy 168.185308 52.914948) (xy 168.203596 52.892664) (xy 171.38426 49.712) (xy 174.745 49.712)
- (xy 174.745 50.262) (xy 174.791585 50.480987) (xy 174.879997 50.686678) (xy 175.006839 50.871169) (xy 175.167236 51.027369)
- (xy 175.355024 51.149276) (xy 175.578126 51.229119) (xy 175.753 51.102954) (xy 175.753 49.712) (xy 174.745 49.712)
- (xy 171.38426 49.712) (xy 172.18826 48.908) (xy 174.745 48.908) (xy 174.745 49.458) (xy 175.753 49.458)
- (xy 175.753 48.067046) (xy 175.578126 47.940881) (xy 175.355024 48.020724) (xy 175.167236 48.142631) (xy 175.006839 48.298831)
- (xy 174.879997 48.483322) (xy 174.791585 48.689013) (xy 174.745 48.908) (xy 172.18826 48.908) (xy 173.21226 47.884)
- (xy 173.857519 47.884) (xy 173.885803 47.878374) (xy 173.914484 47.875549) (xy 173.942064 47.867183) (xy 173.970347 47.861557)
- (xy 173.996986 47.850523) (xy 174.024568 47.842156) (xy 174.049988 47.828568) (xy 174.076628 47.817534) (xy 174.100604 47.801514)
- (xy 174.126023 47.787927) (xy 174.148301 47.769644) (xy 174.172278 47.753623) (xy 174.192669 47.733232) (xy 174.214948 47.714948)
- (xy 174.233232 47.692669) (xy 174.253623 47.672278) (xy 174.269644 47.648301) (xy 174.287927 47.626023) (xy 174.301514 47.600604)
- (xy 174.317534 47.576628) (xy 174.328568 47.549988) (xy 174.342156 47.524568) (xy 174.350523 47.496986) (xy 174.361557 47.470347)
- (xy 174.367183 47.442064) (xy 174.375549 47.414484) (xy 174.378374 47.385803) (xy 174.384 47.357519) (xy 174.384 47.328682)
- (xy 174.386825 47.3) (xy 174.384 47.271318) (xy 174.384 47.242481) (xy 174.378374 47.214197) (xy 174.375549 47.185516)
- (xy 174.367183 47.157936) (xy 174.361557 47.129653) (xy 174.350523 47.103014) (xy 174.342156 47.075432) (xy 174.328568 47.050012)
- (xy 174.317534 47.023372) (xy 174.301514 46.999396) (xy 174.287927 46.973977) (xy 174.269644 46.951699) (xy 174.253623 46.927722)
- (xy 174.233232 46.907331) (xy 174.214948 46.885052) (xy 174.192669 46.866768) (xy 174.172278 46.846377) (xy 174.148301 46.830356)
- (xy 174.126023 46.812073) (xy 174.100604 46.798486) (xy 174.076628 46.782466) (xy 174.049988 46.771432) (xy 174.024568 46.757844)
- (xy 173.996986 46.749477) (xy 173.970347 46.738443) (xy 173.942064 46.732817) (xy 173.914484 46.724451) (xy 173.885803 46.721626)
- (xy 173.857519 46.716) (xy 172.999042 46.716) (xy 172.97036 46.713175) (xy 172.855876 46.724451) (xy 172.745791 46.757844)
- (xy 172.708096 46.777993) (xy 172.644337 46.812073) (xy 172.555412 46.885052) (xy 172.537124 46.907336) (xy 167.52846 51.916)
- (xy 164.578682 51.916) (xy 164.55 51.913175) (xy 164.521318 51.916) (xy 164.435516 51.924451) (xy 164.325432 51.957844)
- (xy 164.223977 52.012073) (xy 164.135052 52.085052) (xy 164.116764 52.107336) (xy 155.0381 61.186) (xy 148.46895 61.186)
- (xy 148.31557 61.083515) (xy 148.063698 60.979186) (xy 147.796312 60.926) (xy 147.523688 60.926) (xy 147.256302 60.979186)
- (xy 147.00443 61.083515) (xy 146.777751 61.234977) (xy 146.584977 61.427751) (xy 146.433515 61.65443) (xy 146.329186 61.906302)
- (xy 146.276 62.173688) (xy 145.704 62.173688) (xy 145.704 58.275046) (xy 147.916 58.275046) (xy 147.916 58.724954)
- (xy 148.003773 59.166218) (xy 148.175946 59.58188) (xy 148.425902 59.955965) (xy 148.744035 60.274098) (xy 149.11812 60.524054)
- (xy 149.533782 60.696227) (xy 149.975046 60.784) (xy 150.424954 60.784) (xy 150.866218 60.696227) (xy 151.28188 60.524054)
- (xy 151.655965 60.274098) (xy 151.974098 59.955965) (xy 152.224054 59.58188) (xy 152.396227 59.166218) (xy 152.484 58.724954)
- (xy 152.484 58.275046) (xy 152.396227 57.833782) (xy 152.224054 57.41812) (xy 151.974098 57.044035) (xy 151.655965 56.725902)
- (xy 151.28188 56.475946) (xy 150.866218 56.303773) (xy 150.424954 56.216) (xy 149.975046 56.216) (xy 149.533782 56.303773)
- (xy 149.11812 56.475946) (xy 148.744035 56.725902) (xy 148.425902 57.044035) (xy 148.175946 57.41812) (xy 148.003773 57.833782)
- (xy 147.916 58.275046) (xy 145.704 58.275046) (xy 145.704 57.21613) (xy 145.77557 57.186485) (xy 146.002249 57.035023)
- (xy 146.195023 56.842249) (xy 146.346485 56.61557) (xy 146.450814 56.363698) (xy 146.504 56.096312) (xy 146.504 55.823688)
- (xy 146.450814 55.556302) (xy 146.346485 55.30443) (xy 146.195023 55.077751) (xy 146.002249 54.884977) (xy 145.77557 54.733515)
- (xy 145.523698 54.629186) (xy 145.256312 54.576) (xy 144.983688 54.576) (xy 144.716302 54.629186) (xy 144.46443 54.733515)
- (xy 144.237751 54.884977) (xy 144.044977 55.077751) (xy 143.893515 55.30443) (xy 143.789186 55.556302) (xy 143.736 55.823688)
- (xy 143.736 56.096312) (xy 143.789186 56.363698) (xy 143.893515 56.61557) (xy 144.044977 56.842249) (xy 144.237751 57.035023)
- (xy 144.46443 57.186485) (xy 144.536001 57.216131) (xy 144.536 64.338099) (xy 143.307331 65.566768) (xy 143.285053 65.585052)
- (xy 143.266769 65.607331) (xy 143.212073 65.673978) (xy 143.157845 65.775432) (xy 143.124451 65.885517) (xy 143.113175 66)
- (xy 143.116001 66.028691) (xy 143.116 66.8996) (xy 143.091455 66.91272) (xy 143.04821 66.94821) (xy 143.01272 66.991455)
- (xy 142.986349 67.040792) (xy 142.970109 67.094326) (xy 142.964626 67.15) (xy 135.509 67.15) (xy 135.509 53.308311)
- (xy 149.066 53.308311) (xy 149.066 53.531689) (xy 149.109579 53.750776) (xy 149.195062 53.95715) (xy 149.319165 54.142883)
- (xy 149.477117 54.300835) (xy 149.66285 54.424938) (xy 149.869224 54.510421) (xy 150.088311 54.554) (xy 150.311689 54.554)
- (xy 150.530776 54.510421) (xy 150.73715 54.424938) (xy 150.922883 54.300835) (xy 151.080835 54.142883) (xy 151.204938 53.95715)
- (xy 151.290421 53.750776) (xy 151.334 53.531689) (xy 151.334 53.308311) (xy 151.290421 53.089224) (xy 151.204938 52.88285)
- (xy 151.080835 52.697117) (xy 150.922883 52.539165) (xy 150.73715 52.415062) (xy 150.530776 52.329579) (xy 150.311689 52.286)
- (xy 150.088311 52.286) (xy 149.869224 52.329579) (xy 149.66285 52.415062) (xy 149.477117 52.539165) (xy 149.319165 52.697117)
- (xy 149.195062 52.88285) (xy 149.109579 53.089224) (xy 149.066 53.308311) (xy 135.509 53.308311) (xy 135.509 48.513765)
- (xy 135.584348 47.66951) (xy 135.681483 47.314442) (xy 137.316 47.314442) (xy 137.316 47.685558) (xy 137.388401 48.049543)
- (xy 137.530421 48.392409) (xy 137.736602 48.70098) (xy 137.99902 48.963398) (xy 138.307591 49.169579) (xy 138.650457 49.311599)
- (xy 139.014442 49.384) (xy 139.385558 49.384) (xy 139.749543 49.311599) (xy 140.092409 49.169579) (xy 140.40098 48.963398)
- (xy 140.663398 48.70098) (xy 140.869579 48.392409) (xy 141.011599 48.049543) (xy 141.084 47.685558) (xy 141.084 47.314442)
- (xy 141.011599 46.950457) (xy 140.869579 46.607591) (xy 140.663398 46.29902) (xy 140.40098 46.036602) (xy 140.092409 45.830421)
- (xy 139.749543 45.688401) (xy 139.385558 45.616) (xy 139.014442 45.616) (xy 138.650457 45.688401) (xy 138.307591 45.830421)
- (xy 137.99902 46.036602) (xy 137.736602 46.29902) (xy 137.530421 46.607591) (xy 137.388401 46.950457) (xy 137.316 47.314442)
- (xy 135.681483 47.314442) (xy 135.804361 46.865279) (xy 136.163317 46.112711) (xy 136.580599 45.532) (xy 174.745 45.532)
- (xy 174.745 45.832) (xy 174.791585 46.050987) (xy 174.879997 46.256678) (xy 175.006839 46.441169) (xy 175.167236 46.597369)
- (xy 175.355024 46.719276) (xy 175.578126 46.799119) (xy 175.753 46.672954) (xy 175.753 45.532) (xy 176.007 45.532)
- (xy 176.007 46.672954) (xy 176.181874 46.799119) (xy 176.404976 46.719276) (xy 176.592764 46.597369) (xy 176.753161 46.441169)
- (xy 176.880003 46.256678) (xy 176.968415 46.050987) (xy 177.015 45.832) (xy 177.015 45.532) (xy 183.385 45.532)
- (xy 183.385 45.832) (xy 183.431585 46.050987) (xy 183.519997 46.256678) (xy 183.646839 46.441169) (xy 183.807236 46.597369)
- (xy 183.995024 46.719276) (xy 184.218126 46.799119) (xy 184.393 46.672954) (xy 184.393 45.532) (xy 184.647 45.532)
- (xy 184.647 46.672954) (xy 184.821874 46.799119) (xy 185.044976 46.719276) (xy 185.232764 46.597369) (xy 185.393161 46.441169)
- (xy 185.520003 46.256678) (xy 185.608415 46.050987) (xy 185.655 45.832) (xy 185.655 45.532) (xy 184.647 45.532)
- (xy 184.393 45.532) (xy 183.385 45.532) (xy 177.015 45.532) (xy 176.007 45.532) (xy 175.753 45.532)
- (xy 174.745 45.532) (xy 136.580599 45.532) (xy 136.649866 45.435606) (xy 137.122078 44.978) (xy 174.745 44.978)
- (xy 174.745 45.278) (xy 175.753 45.278) (xy 175.753 44.137046) (xy 176.007 44.137046) (xy 176.007 45.278)
- (xy 177.015 45.278) (xy 177.015 44.978) (xy 183.385 44.978) (xy 183.385 45.278) (xy 184.393 45.278)
- (xy 184.393 44.137046) (xy 184.647 44.137046) (xy 184.647 45.278) (xy 185.655 45.278) (xy 185.655 44.978)
- (xy 185.608415 44.759013) (xy 185.540067 44.6) (xy 188.086928 44.6) (xy 188.09 44.83925) (xy 188.24875 44.998)
- (xy 189.073 44.998) (xy 189.073 44.12375) (xy 189.327 44.12375) (xy 189.327 44.998) (xy 190.15125 44.998)
- (xy 190.31 44.83925) (xy 190.313072 44.6) (xy 190.300812 44.475518) (xy 190.264502 44.35582) (xy 190.205537 44.245506)
- (xy 190.126185 44.148815) (xy 190.029494 44.069463) (xy 189.91918 44.010498) (xy 189.799482 43.974188) (xy 189.675 43.961928)
- (xy 189.48575 43.965) (xy 189.327 44.12375) (xy 189.073 44.12375) (xy 188.91425 43.965) (xy 188.725 43.961928)
- (xy 188.600518 43.974188) (xy 188.48082 44.010498) (xy 188.370506 44.069463) (xy 188.273815 44.148815) (xy 188.194463 44.245506)
- (xy 188.135498 44.35582) (xy 188.099188 44.475518) (xy 188.086928 44.6) (xy 185.540067 44.6) (xy 185.520003 44.553322)
- (xy 185.393161 44.368831) (xy 185.232764 44.212631) (xy 185.044976 44.090724) (xy 184.821874 44.010881) (xy 184.647 44.137046)
- (xy 184.393 44.137046) (xy 184.218126 44.010881) (xy 183.995024 44.090724) (xy 183.807236 44.212631) (xy 183.646839 44.368831)
- (xy 183.519997 44.553322) (xy 183.431585 44.759013) (xy 183.385 44.978) (xy 177.015 44.978) (xy 176.968415 44.759013)
- (xy 176.880003 44.553322) (xy 176.753161 44.368831) (xy 176.592764 44.212631) (xy 176.404976 44.090724) (xy 176.181874 44.010881)
- (xy 176.007 44.137046) (xy 175.753 44.137046) (xy 175.578126 44.010881) (xy 175.355024 44.090724) (xy 175.167236 44.212631)
- (xy 175.006839 44.368831) (xy 174.879997 44.553322) (xy 174.791585 44.759013) (xy 174.745 44.978) (xy 137.122078 44.978)
- (xy 137.24863 44.855363) (xy 137.940684 44.390323) (xy 138.704156 44.055182) (xy 139.517281 43.859967) (xy 140.211328 43.809)
- (xy 220.186235 43.809)
- )
- )
- (filled_polygon
- (pts
- (xy 181.687842 73.078428) (xy 181.658408 73.107861) (xy 182.426856 73.876309) (xy 182.651363 73.876309) (xy 182.71571 73.796219)
- (xy 182.773008 73.68503) (xy 182.807513 73.564799) (xy 182.817899 73.440148) (xy 182.803767 73.315864) (xy 182.803395 73.314701)
- (xy 182.848514 73.319145) (xy 182.848516 73.319145) (xy 182.867379 73.321003) (xy 182.886241 73.319145) (xy 183.896376 73.319145)
- (xy 184.445699 73.868469) (xy 184.457725 73.883123) (xy 184.472378 73.895148) (xy 184.516196 73.931109) (xy 184.537527 73.94251)
- (xy 184.582907 73.966766) (xy 184.655291 73.988724) (xy 184.711703 73.99428) (xy 184.711705 73.99428) (xy 184.730567 73.996138)
- (xy 184.74943 73.99428) (xy 186.268379 73.99428) (xy 186.338002 74.063903) (xy 186.433652 74.127814) (xy 186.511049 74.159873)
- (xy 185.847934 74.816) (xy 179.359058 74.816) (xy 178.810377 74.267319) (xy 178.856092 74.262817) (xy 178.923696 74.242309)
- (xy 178.986 74.209007) (xy 179.04061 74.16419) (xy 179.977526 73.227274) (xy 180.022343 73.172664) (xy 180.055645 73.11036)
- (xy 180.066623 73.074173) (xy 180.2 73.087309) (xy 180.331318 73.074376) (xy 180.334362 73.07742) (xy 180.344355 73.11036)
- (xy 180.377657 73.172664) (xy 180.422474 73.227274) (xy 181.35939 74.16419) (xy 181.414 74.209007) (xy 181.476304 74.242309)
- (xy 181.543908 74.262817) (xy 181.614213 74.269741) (xy 181.684518 74.262817) (xy 181.724385 74.250723) (xy 181.833884 74.285747)
- (xy 181.958168 74.299879) (xy 182.082819 74.289493) (xy 182.20305 74.254988) (xy 182.314239 74.19769) (xy 182.394329 74.133343)
- (xy 182.394329 73.908836) (xy 181.625881 73.140388) (xy 181.596447 73.169823) (xy 181.416842 72.990218) (xy 181.446276 72.960783)
- (xy 181.432134 72.946641) (xy 181.464661 72.914114) (xy 181.478803 72.928256) (xy 181.508237 72.898823)
- )
- )
- (filled_polygon
- (pts
- (xy 185.765607 71.558189) (xy 185.777633 71.572843) (xy 185.792286 71.584868) (xy 185.836104 71.620829) (xy 185.850535 71.628542)
- (xy 185.902815 71.656486) (xy 185.975199 71.678444) (xy 186.031611 71.684) (xy 186.031613 71.684) (xy 186.050476 71.685858)
- (xy 186.069338 71.684) (xy 189.01329 71.684) (xy 187.668086 73.015029) (xy 187.394874 72.741817) (xy 187.382843 72.727157)
- (xy 187.324371 72.679171) (xy 187.257661 72.643514) (xy 187.185277 72.621556) (xy 187.128865 72.616) (xy 187.128862 72.616)
- (xy 187.11 72.614142) (xy 187.091138 72.616) (xy 185.292626 72.616) (xy 185.253951 72.577325) (xy 185.24192 72.562665)
- (xy 185.241034 72.561938) (xy 185.245579 72.555135) (xy 185.289602 72.448854) (xy 185.312045 72.336026) (xy 185.312045 72.220988)
- (xy 185.310556 72.213504) (xy 185.320347 72.211557) (xy 185.426628 72.167534) (xy 185.522278 72.103623) (xy 185.603623 72.022278)
- (xy 185.667534 71.926628) (xy 185.711557 71.820347) (xy 185.734 71.707519) (xy 185.734 71.592481) (xy 185.717637 71.510219)
- )
- )
- (filled_polygon
- (pts
- (xy 182.543795 66.403693) (xy 182.649861 66.509759) (xy 182.704471 66.554576) (xy 182.766775 66.587878) (xy 182.80702 66.600087)
- (xy 182.819229 66.640332) (xy 182.852531 66.702636) (xy 182.897348 66.757246) (xy 183.003414 66.863312) (xy 183.058024 66.908129)
- (xy 183.120328 66.941431) (xy 183.160573 66.95364) (xy 183.172782 66.993885) (xy 183.206084 67.056189) (xy 183.250901 67.110799)
- (xy 183.356967 67.216865) (xy 183.411577 67.261682) (xy 183.473881 67.294984) (xy 183.514127 67.307193) (xy 183.517921 67.3197)
- (xy 183.482897 67.4292) (xy 183.468765 67.553484) (xy 183.479151 67.678135) (xy 183.513656 67.798366) (xy 183.570954 67.909555)
- (xy 183.635301 67.989645) (xy 183.643033 67.989645) (xy 180.45 71.182679) (xy 178.351321 69.084) (xy 178.357519 69.084)
- (xy 178.470347 69.061557) (xy 178.576628 69.017534) (xy 178.672278 68.953623) (xy 178.741901 68.884) (xy 179.827584 68.884)
- (xy 179.846446 68.885858) (xy 179.865308 68.884) (xy 179.865311 68.884) (xy 179.921723 68.878444) (xy 179.994107 68.856486)
- (xy 180.060817 68.820829) (xy 180.119289 68.772843) (xy 180.13132 68.758183) (xy 182.517658 66.371845)
- )
- )
- (filled_polygon
- (pts
- (xy 186.092581 69.459902) (xy 186.113999 69.486001) (xy 186.140096 69.507418) (xy 186.21815 69.571476) (xy 186.225261 69.575277)
- (xy 186.336978 69.634991) (xy 186.465913 69.674103) (xy 186.566402 69.684) (xy 186.566411 69.684) (xy 186.599999 69.687308)
- (xy 186.633587 69.684) (xy 186.668982 69.684) (xy 186.737405 69.720573) (xy 186.835492 69.750327) (xy 186.9375 69.760374)
- (xy 187.5125 69.760374) (xy 187.614508 69.750327) (xy 187.712595 69.720573) (xy 187.802993 69.672254) (xy 187.837638 69.643822)
- (xy 187.860498 69.71918) (xy 187.919463 69.829494) (xy 187.998815 69.926185) (xy 188.095506 70.005537) (xy 188.20582 70.064502)
- (xy 188.262924 70.081824) (xy 188.227722 70.105345) (xy 188.146377 70.18669) (xy 188.082466 70.28234) (xy 188.038443 70.388621)
- (xy 188.021268 70.474967) (xy 186.819245 70.474967) (xy 185.584882 69.240605) (xy 185.572851 69.225945) (xy 185.514379 69.177959)
- (xy 185.447669 69.142302) (xy 185.375285 69.120344) (xy 185.319666 69.114866) (xy 185.189959 68.985159) (xy 185.617838 68.985159)
- )
- )
- (filled_polygon
- (pts
- (xy 177.816 66.708099) (xy 177.746377 66.777722) (xy 177.682466 66.873372) (xy 177.638443 66.979653) (xy 177.616 67.092481)
- (xy 177.616 67.207519) (xy 177.638443 67.320347) (xy 177.682466 67.426628) (xy 177.746377 67.522278) (xy 177.801694 67.577595)
- (xy 177.785627 67.586182) (xy 177.759131 67.607927) (xy 177.727156 67.634168) (xy 177.71513 67.648822) (xy 177.441814 67.922139)
- (xy 177.441809 67.922143) (xy 177.440942 67.92301) (xy 177.342481 67.92301) (xy 177.229653 67.945453) (xy 177.123372 67.989476)
- (xy 177.027722 68.053387) (xy 176.946377 68.134732) (xy 176.882466 68.230382) (xy 176.838443 68.336663) (xy 176.816 68.449491)
- (xy 176.816 68.564529) (xy 176.838443 68.677357) (xy 176.882466 68.783638) (xy 176.946377 68.879288) (xy 177.027722 68.960633)
- (xy 177.123372 69.024544) (xy 177.124793 69.025133) (xy 177.065009 69.136979) (xy 177.025898 69.265913) (xy 177.01526 69.373916)
- (xy 176.821682 69.567495) (xy 176.795545 69.535647) (xy 176.689479 69.429581) (xy 176.634869 69.384764) (xy 176.572565 69.351462)
- (xy 176.53232 69.339253) (xy 176.520111 69.299008) (xy 176.486809 69.236704) (xy 176.441992 69.182094) (xy 176.335926 69.076028)
- (xy 176.281316 69.031211) (xy 176.219012 68.997909) (xy 176.178766 68.9857) (xy 176.166557 68.945454) (xy 176.133255 68.88315)
- (xy 176.088438 68.82854) (xy 175.982372 68.722474) (xy 175.927762 68.677657) (xy 175.865458 68.644355) (xy 175.797854 68.623847)
- (xy 175.727549 68.616923) (xy 175.657244 68.623847) (xy 175.58964 68.644355) (xy 175.527336 68.677657) (xy 175.472726 68.722474)
- (xy 174.730447 69.464753) (xy 174.736825 69.400001) (xy 174.734 69.371319) (xy 174.734 67.5388) (xy 175.472726 68.277526)
- (xy 175.527336 68.322343) (xy 175.58964 68.355645) (xy 175.657244 68.376153) (xy 175.727549 68.383077) (xy 175.797854 68.376153)
- (xy 175.865458 68.355645) (xy 175.927762 68.322343) (xy 175.982372 68.277526) (xy 176.088438 68.17146) (xy 176.133255 68.11685)
- (xy 176.166557 68.054546) (xy 176.178766 68.0143) (xy 176.219012 68.002091) (xy 176.281316 67.968789) (xy 176.335926 67.923972)
- (xy 176.441992 67.817906) (xy 176.486809 67.763296) (xy 176.520111 67.700992) (xy 176.53232 67.660747) (xy 176.572565 67.648538)
- (xy 176.634869 67.615236) (xy 176.689479 67.570419) (xy 176.795545 67.464353) (xy 176.840362 67.409743) (xy 176.873664 67.347439)
- (xy 176.885873 67.307193) (xy 176.926119 67.294984) (xy 176.988423 67.261682) (xy 177.043033 67.216865) (xy 177.149099 67.110799)
- (xy 177.193916 67.056189) (xy 177.227218 66.993885) (xy 177.239427 66.95364) (xy 177.279672 66.941431) (xy 177.341976 66.908129)
- (xy 177.396586 66.863312) (xy 177.502652 66.757246) (xy 177.547469 66.702636) (xy 177.580771 66.640332) (xy 177.59298 66.600087)
- (xy 177.633225 66.587878) (xy 177.695529 66.554576) (xy 177.750139 66.509759) (xy 177.816001 66.443897)
- )
- )
- (filled_polygon
- (pts
- (xy 166.496001 60.145674) (xy 166.493175 60.174366) (xy 166.504451 60.288849) (xy 166.537845 60.398934) (xy 166.592073 60.500388)
- (xy 166.646769 60.567035) (xy 166.665053 60.589314) (xy 166.687331 60.607597) (xy 167.076 60.996267) (xy 167.076 61.05387)
- (xy 167.00443 61.083515) (xy 166.777751 61.234977) (xy 166.584977 61.427751) (xy 166.433515 61.65443) (xy 166.329186 61.906302)
- (xy 166.276 62.173688) (xy 166.276 62.446312) (xy 166.329186 62.713698) (xy 166.433515 62.96557) (xy 166.584977 63.192249)
- (xy 166.777751 63.385023) (xy 167.00443 63.536485) (xy 167.256302 63.640814) (xy 167.523688 63.694) (xy 167.796312 63.694)
- (xy 168.063698 63.640814) (xy 168.31557 63.536485) (xy 168.417599 63.468311) (xy 169.066 63.468311) (xy 169.066 63.691689)
- (xy 169.109579 63.910776) (xy 169.195062 64.11715) (xy 169.319165 64.302883) (xy 169.477117 64.460835) (xy 169.66285 64.584938)
- (xy 169.869224 64.670421) (xy 170.088311 64.714) (xy 170.311689 64.714) (xy 170.530776 64.670421) (xy 170.73715 64.584938)
- (xy 170.922883 64.460835) (xy 171.080835 64.302883) (xy 171.204938 64.11715) (xy 171.290421 63.910776) (xy 171.334 63.691689)
- (xy 171.334 63.468311) (xy 171.290421 63.249224) (xy 171.204938 63.04285) (xy 171.080835 62.857117) (xy 170.922883 62.699165)
- (xy 170.73715 62.575062) (xy 170.530776 62.489579) (xy 170.311689 62.446) (xy 170.088311 62.446) (xy 169.869224 62.489579)
- (xy 169.66285 62.575062) (xy 169.477117 62.699165) (xy 169.319165 62.857117) (xy 169.195062 63.04285) (xy 169.109579 63.249224)
- (xy 169.066 63.468311) (xy 168.417599 63.468311) (xy 168.542249 63.385023) (xy 168.735023 63.192249) (xy 168.886485 62.96557)
- (xy 168.990814 62.713698) (xy 168.994732 62.694) (xy 169.196772 62.694) (xy 169.215634 62.695858) (xy 169.234496 62.694)
- (xy 169.234499 62.694) (xy 169.290911 62.688444) (xy 169.363295 62.666486) (xy 169.430005 62.630829) (xy 169.488477 62.582843)
- (xy 169.500508 62.568183) (xy 169.874692 62.194) (xy 171.180943 62.194) (xy 171.576001 62.589059) (xy 171.576 63.871137)
- (xy 171.574142 63.89) (xy 171.576 63.908862) (xy 171.576 63.908864) (xy 171.581556 63.965276) (xy 171.603514 64.03766)
- (xy 171.605732 64.041809) (xy 171.639171 64.104371) (xy 171.651547 64.119451) (xy 171.687157 64.162843) (xy 171.701817 64.174874)
- (xy 172.291568 64.764626) (xy 171.85 64.764626) (xy 171.794326 64.770109) (xy 171.740792 64.786349) (xy 171.691455 64.81272)
- (xy 171.687458 64.816) (xy 168.099148 64.816) (xy 167.902937 64.684897) (xy 167.632867 64.57303) (xy 167.346161 64.516)
- (xy 167.053839 64.516) (xy 166.767133 64.57303) (xy 166.497063 64.684897) (xy 166.254005 64.847302) (xy 166.047302 65.054005)
- (xy 165.884897 65.297063) (xy 165.77303 65.567133) (xy 165.716 65.853839) (xy 165.716 66.146161) (xy 165.77303 66.432867)
- (xy 165.884897 66.702937) (xy 166.047302 66.945995) (xy 166.254005 67.152698) (xy 166.497063 67.315103) (xy 166.767133 67.42697)
- (xy 167.053839 67.484) (xy 167.346161 67.484) (xy 167.632867 67.42697) (xy 167.902937 67.315103) (xy 168.145995 67.152698)
- (xy 168.352698 66.945995) (xy 168.515103 66.702937) (xy 168.62697 66.432867) (xy 168.676473 66.184) (xy 171.687458 66.184)
- (xy 171.691455 66.18728) (xy 171.740792 66.213651) (xy 171.794326 66.229891) (xy 171.85 66.235374) (xy 172.65 66.235374)
- (xy 172.705674 66.229891) (xy 172.759208 66.213651) (xy 172.808545 66.18728) (xy 172.85179 66.15179) (xy 172.88728 66.108545)
- (xy 172.913651 66.059208) (xy 172.929891 66.005674) (xy 172.935374 65.95) (xy 172.935374 65.519647) (xy 172.937309 65.5)
- (xy 172.935374 65.480353) (xy 172.935374 65.05) (xy 172.929891 64.994326) (xy 172.913651 64.940792) (xy 172.89933 64.914)
- (xy 173.50067 64.914) (xy 173.486349 64.940792) (xy 173.470109 64.994326) (xy 173.464626 65.05) (xy 173.464626 65.95)
- (xy 173.470109 66.005674) (xy 173.486349 66.059208) (xy 173.51272 66.108545) (xy 173.54821 66.15179) (xy 173.566 66.16639)
- (xy 173.566001 69.158098) (xy 173.546377 69.177722) (xy 173.530353 69.201704) (xy 173.512073 69.223978) (xy 173.49849 69.249391)
- (xy 173.49101 69.260585) (xy 173.49101 68.513269) (xy 173.492868 68.494407) (xy 173.488378 68.44882) (xy 173.485454 68.419131)
- (xy 173.463496 68.346747) (xy 173.430735 68.285455) (xy 173.427839 68.280036) (xy 173.391878 68.236218) (xy 173.379853 68.221565)
- (xy 173.365199 68.209539) (xy 172.547476 67.391817) (xy 172.535445 67.377157) (xy 172.476973 67.329171) (xy 172.410263 67.293514)
- (xy 172.337879 67.271556) (xy 172.281467 67.266) (xy 172.281464 67.266) (xy 172.262602 67.264142) (xy 172.24374 67.266)
- (xy 171.15626 67.266) (xy 171.137398 67.264142) (xy 171.118535 67.266) (xy 171.118533 67.266) (xy 171.062121 67.271556)
- (xy 170.989737 67.293514) (xy 170.962149 67.30826) (xy 170.923026 67.329171) (xy 170.904265 67.344568) (xy 170.864555 67.377157)
- (xy 170.852528 67.391812) (xy 170.541816 67.702525) (xy 170.527157 67.714555) (xy 170.4993 67.7485) (xy 170.479171 67.773027)
- (xy 170.461288 67.806485) (xy 170.443514 67.839738) (xy 170.432957 67.874537) (xy 170.435374 67.85) (xy 170.435374 67.05)
- (xy 170.429891 66.994326) (xy 170.413651 66.940792) (xy 170.38728 66.891455) (xy 170.35179 66.84821) (xy 170.308545 66.81272)
- (xy 170.259208 66.786349) (xy 170.205674 66.770109) (xy 170.15 66.764626) (xy 169.25 66.764626) (xy 169.194326 66.770109)
- (xy 169.140792 66.786349) (xy 169.091455 66.81272) (xy 169.04821 66.84821) (xy 169.03361 66.866) (xy 168.753682 66.866)
- (xy 168.725 66.863175) (xy 168.696318 66.866) (xy 168.610516 66.874451) (xy 168.500432 66.907844) (xy 168.398977 66.962073)
- (xy 168.310052 67.035052) (xy 168.291764 67.057336) (xy 167.8331 67.516) (xy 166.341901 67.516) (xy 165.704 66.8781)
- (xy 165.704 57.21613) (xy 165.77557 57.186485) (xy 166.002249 57.035023) (xy 166.195023 56.842249) (xy 166.346485 56.61557)
- (xy 166.450814 56.363698) (xy 166.496 56.136529)
- )
- )
- (filled_polygon
- (pts
- (xy 189.518962 65.86202) (xy 189.32325 65.865) (xy 189.1645 66.02375) (xy 189.1645 67.073) (xy 189.1845 67.073)
- (xy 189.1845 67.327) (xy 189.1645 67.327) (xy 189.1645 67.98625) (xy 189.102 68.04875) (xy 189.102 68.873)
- (xy 189.122 68.873) (xy 189.122 69.127) (xy 189.102 69.127) (xy 189.102 69.147) (xy 188.848 69.147)
- (xy 188.848 69.127) (xy 188.828 69.127) (xy 188.828 68.873) (xy 188.848 68.873) (xy 188.848 68.43875)
- (xy 188.9105 68.37625) (xy 188.9105 67.327) (xy 188.8905 67.327) (xy 188.8905 67.073) (xy 188.9105 67.073)
- (xy 188.9105 66.02375) (xy 188.896989 66.010239) (xy 188.896989 65.240046)
- )
- )
- (filled_polygon
- (pts
- (xy 186.977722 65.003623) (xy 187.073372 65.067534) (xy 187.179653 65.111557) (xy 187.292481 65.134) (xy 187.407519 65.134)
- (xy 187.520347 65.111557) (xy 187.528989 65.107977) (xy 187.528989 65.866189) (xy 187.180553 66.214626) (xy 186.91875 66.214626)
- (xy 186.815523 66.224793) (xy 186.716263 66.254903) (xy 186.624784 66.303799) (xy 186.544603 66.369603) (xy 186.478799 66.449784)
- (xy 186.429903 66.541263) (xy 186.399793 66.640523) (xy 186.389626 66.74375) (xy 186.389626 67.65625) (xy 186.399793 67.759477)
- (xy 186.429903 67.858737) (xy 186.434318 67.866997) (xy 186.408582 67.841261) (xy 186.38716 67.815158) (xy 186.283007 67.729682)
- (xy 186.164181 67.666168) (xy 186.035246 67.627056) (xy 185.934757 67.617159) (xy 185.934754 67.617159) (xy 185.901159 67.61385)
- (xy 185.867564 67.617159) (xy 185.587641 67.617159) (xy 185.86419 67.34061) (xy 185.909007 67.286) (xy 185.942309 67.223696)
- (xy 185.962817 67.156092) (xy 185.969741 67.085787) (xy 185.962817 67.015482) (xy 185.950723 66.975615) (xy 185.985747 66.866116)
- (xy 185.999879 66.741832) (xy 185.989493 66.617181) (xy 185.954988 66.49695) (xy 185.89769 66.385761) (xy 185.833343 66.305671)
- (xy 185.608836 66.305671) (xy 184.840388 67.074119) (xy 184.869823 67.103554) (xy 184.690218 67.283159) (xy 184.660783 67.253724)
- (xy 184.646641 67.267867) (xy 184.614114 67.23534) (xy 184.628256 67.221197) (xy 184.598823 67.191764) (xy 184.778428 67.012159)
- (xy 184.807861 67.041592) (xy 185.576309 66.273144) (xy 185.576309 66.141622) (xy 186.783932 64.934) (xy 186.908099 64.934)
- )
- )
- (filled_polygon
- (pts
- (xy 180.422474 63.772726) (xy 180.377657 63.827336) (xy 180.344355 63.88964) (xy 180.323847 63.957244) (xy 180.316923 64.027549)
- (xy 180.323847 64.097854) (xy 180.344355 64.165458) (xy 180.377657 64.227762) (xy 180.422474 64.282372) (xy 180.52854 64.388438)
- (xy 180.58315 64.433255) (xy 180.645454 64.466557) (xy 180.6857 64.478766) (xy 180.697909 64.519012) (xy 180.731211 64.581316)
- (xy 180.776028 64.635926) (xy 180.882094 64.741992) (xy 180.936704 64.786809) (xy 180.999008 64.820111) (xy 181.039253 64.83232)
- (xy 181.051462 64.872565) (xy 181.084764 64.934869) (xy 181.129581 64.989479) (xy 181.235647 65.095545) (xy 181.290257 65.140362)
- (xy 181.352561 65.173664) (xy 181.392807 65.185873) (xy 181.405016 65.226119) (xy 181.438318 65.288423) (xy 181.483135 65.343033)
- (xy 181.589201 65.449099) (xy 181.621048 65.475235) (xy 179.573272 67.523011) (xy 178.65289 67.523011) (xy 178.653623 67.522278)
- (xy 178.717534 67.426628) (xy 178.761557 67.320347) (xy 178.784 67.207519) (xy 178.784 67.092481) (xy 178.761557 66.979653)
- (xy 178.717534 66.873372) (xy 178.653623 66.777722) (xy 178.584 66.708099) (xy 178.584 66.1653) (xy 178.585857 66.146445)
- (xy 178.584 66.12759) (xy 178.584 66.127581) (xy 178.578444 66.071169) (xy 178.556486 65.998785) (xy 178.520829 65.932075)
- (xy 178.472843 65.873603) (xy 178.458189 65.861577) (xy 178.4254 65.828788) (xy 178.457246 65.802652) (xy 178.563312 65.696586)
- (xy 178.608129 65.641976) (xy 178.641431 65.579672) (xy 178.65364 65.539427) (xy 178.693885 65.527218) (xy 178.756189 65.493916)
- (xy 178.810799 65.449099) (xy 178.916865 65.343033) (xy 178.961682 65.288423) (xy 178.994984 65.226119) (xy 179.007193 65.185873)
- (xy 179.0197 65.182079) (xy 179.1292 65.217103) (xy 179.253484 65.231235) (xy 179.378135 65.220849) (xy 179.498366 65.186344)
- (xy 179.609555 65.129046) (xy 179.689645 65.064699) (xy 179.689645 64.840192) (xy 178.921197 64.071744) (xy 178.891764 64.101178)
- (xy 178.712159 63.921573) (xy 178.741592 63.892139) (xy 178.72745 63.877997) (xy 178.759977 63.84547) (xy 178.774119 63.859612)
- (xy 178.803554 63.830178) (xy 178.983159 64.009783) (xy 178.953724 64.039217) (xy 179.722172 64.807665) (xy 179.946679 64.807665)
- (xy 180.011026 64.727575) (xy 180.068324 64.616386) (xy 180.102829 64.496155) (xy 180.113215 64.371504) (xy 180.099083 64.24722)
- (xy 180.064059 64.137721) (xy 180.076153 64.097854) (xy 180.083077 64.027549) (xy 180.076153 63.957244) (xy 180.055645 63.88964)
- (xy 180.022343 63.827336) (xy 179.977526 63.772726) (xy 179.780597 63.575797) (xy 179.958188 63.398205) (xy 179.972843 63.386179)
- (xy 179.999305 63.353935) (xy 180.020829 63.327708) (xy 180.056485 63.260998) (xy 180.056486 63.260997) (xy 180.078444 63.188613)
- (xy 180.084 63.132201) (xy 180.084 63.132199) (xy 180.085858 63.113336) (xy 180.084 63.094474) (xy 180.084 63.084)
- (xy 180.157519 63.084) (xy 180.270347 63.061557) (xy 180.376628 63.017534) (xy 180.426815 62.984) (xy 181.2112 62.984)
- )
- )
- (filled_polygon
- (pts
- (xy 176.795044 64.577441) (xy 176.763197 64.603577) (xy 176.657131 64.709643) (xy 176.612314 64.764253) (xy 176.579012 64.826557)
- (xy 176.566803 64.866803) (xy 176.526557 64.879012) (xy 176.464253 64.912314) (xy 176.409643 64.957131) (xy 176.303577 65.063197)
- (xy 176.25876 65.117807) (xy 176.225458 65.180111) (xy 176.213249 65.220356) (xy 176.173004 65.232565) (xy 176.1107 65.265867)
- (xy 176.05609 65.310684) (xy 175.950024 65.41675) (xy 175.905207 65.47136) (xy 175.871905 65.533664) (xy 175.859696 65.573909)
- (xy 175.819451 65.586118) (xy 175.757147 65.61942) (xy 175.702537 65.664237) (xy 175.596471 65.770303) (xy 175.551654 65.824913)
- (xy 175.518352 65.887217) (xy 175.506143 65.927463) (xy 175.465897 65.939672) (xy 175.403593 65.972974) (xy 175.348983 66.017791)
- (xy 175.279678 66.087096) (xy 175.191001 65.99842) (xy 175.191001 64.86326) (xy 175.192859 64.844398) (xy 175.190467 64.820111)
- (xy 175.185445 64.769122) (xy 175.163487 64.696738) (xy 175.12783 64.630028) (xy 175.079844 64.571556) (xy 175.065189 64.559529)
- (xy 174.777475 64.271816) (xy 174.765445 64.257157) (xy 174.706973 64.209171) (xy 174.640263 64.173514) (xy 174.567879 64.151556)
- (xy 174.511467 64.146) (xy 174.511464 64.146) (xy 174.492602 64.144142) (xy 174.47374 64.146) (xy 173.806542 64.146)
- (xy 173.83728 64.108545) (xy 173.863651 64.059208) (xy 173.879891 64.005674) (xy 173.885374 63.95) (xy 173.885374 63.884)
- (xy 176.101603 63.884)
- )
- )
- (filled_polygon
- (pts
- (xy 185.327 62.248) (xy 186.773 62.248) (xy 186.773 62.228) (xy 187.027 62.228) (xy 187.027 62.248)
- (xy 187.047 62.248) (xy 187.047 62.502) (xy 187.027 62.502) (xy 187.027 63.37625) (xy 187.18575 63.535)
- (xy 187.375 63.538072) (xy 187.499482 63.525812) (xy 187.52899 63.516861) (xy 187.52899 63.992023) (xy 187.520347 63.988443)
- (xy 187.407519 63.966) (xy 187.292481 63.966) (xy 187.179653 63.988443) (xy 187.073372 64.032466) (xy 186.977722 64.096377)
- (xy 186.908099 64.166) (xy 186.643736 64.166) (xy 186.624874 64.164142) (xy 186.606012 64.166) (xy 186.606009 64.166)
- (xy 186.549597 64.171556) (xy 186.477213 64.193514) (xy 186.455337 64.205207) (xy 186.410502 64.229171) (xy 186.391054 64.245132)
- (xy 186.352031 64.277157) (xy 186.340005 64.291811) (xy 185.541002 65.090814) (xy 185.541002 63.7515) (xy 185.54286 63.732638)
- (xy 185.539997 63.70357) (xy 185.535446 63.657361) (xy 185.513488 63.584977) (xy 185.513488 63.584976) (xy 185.486784 63.535017)
- (xy 185.675 63.538072) (xy 185.799482 63.525812) (xy 185.91918 63.489502) (xy 186.029494 63.430537) (xy 186.05 63.413708)
- (xy 186.070506 63.430537) (xy 186.18082 63.489502) (xy 186.300518 63.525812) (xy 186.425 63.538072) (xy 186.61425 63.535)
- (xy 186.773 63.37625) (xy 186.773 62.502) (xy 185.327 62.502) (xy 185.327 62.522) (xy 185.073 62.522)
- (xy 185.073 62.502) (xy 185.053 62.502) (xy 185.053 62.248) (xy 185.073 62.248) (xy 185.073 62.228)
- (xy 185.327 62.228)
- )
- )
- (filled_polygon
- (pts
- (xy 185.327 57.373) (xy 185.347 57.373) (xy 185.347 57.627) (xy 185.327 57.627) (xy 185.327 57.647)
- (xy 185.073 57.647) (xy 185.073 57.627) (xy 184.87825 57.627) (xy 184.846242 57.594992) (xy 184.796232 57.50696)
- (xy 184.772145 57.479105) (xy 184.87825 57.373) (xy 185.073 57.373) (xy 185.073 57.353) (xy 185.327 57.353)
- )
- )
- (filled_polygon
- (pts
- (xy 183.431585 50.480987) (xy 183.502942 50.647) (xy 183.273 50.647) (xy 183.273 50.627) (xy 183.253 50.627)
- (xy 183.253 50.373) (xy 183.273 50.373) (xy 183.273 50.353) (xy 183.404358 50.353)
- )
- )
- (filled_polygon
- (pts
- (xy 200.497007 70.172254) (xy 200.587405 70.220573) (xy 200.685492 70.250327) (xy 200.7875 70.260374) (xy 200.979574 70.260374)
- (xy 201.047915 70.281105) (xy 201.148404 70.291002) (xy 201.148407 70.291002) (xy 201.182002 70.294311) (xy 201.215598 70.291002)
- (xy 202.641001 70.291002) (xy 202.641 70.925394) (xy 202.602746 70.972007) (xy 202.554427 71.062405) (xy 202.524673 71.160492)
- (xy 202.514626 71.2625) (xy 202.514626 71.7375) (xy 202.524673 71.839508) (xy 202.554427 71.937595) (xy 202.602746 72.027993)
- (xy 202.667772 72.107228) (xy 202.747007 72.172254) (xy 202.837405 72.220573) (xy 202.935492 72.250327) (xy 203.0375 72.260374)
- (xy 203.6125 72.260374) (xy 203.714508 72.250327) (xy 203.812595 72.220573) (xy 203.902993 72.172254) (xy 203.982228 72.107228)
- (xy 204.047254 72.027993) (xy 204.095573 71.937595) (xy 204.125327 71.839508) (xy 204.135374 71.7375) (xy 204.135374 71.2625)
- (xy 204.125327 71.160492) (xy 204.095573 71.062405) (xy 204.047254 70.972007) (xy 204.009 70.925394) (xy 204.009 70.309919)
- (xy 204.019463 70.329494) (xy 204.098815 70.426185) (xy 204.195506 70.505537) (xy 204.30582 70.564502) (xy 204.425518 70.600812)
- (xy 204.55 70.613072) (xy 204.78925 70.61) (xy 204.947998 70.451252) (xy 204.947998 70.61) (xy 204.997678 70.61)
- (xy 204.868052 70.739626) (xy 204.7875 70.739626) (xy 204.685492 70.749673) (xy 204.587405 70.779427) (xy 204.497007 70.827746)
- (xy 204.417772 70.892772) (xy 204.352746 70.972007) (xy 204.304427 71.062405) (xy 204.274673 71.160492) (xy 204.264626 71.2625)
- (xy 204.264626 71.7375) (xy 204.274673 71.839508) (xy 204.304427 71.937595) (xy 204.352746 72.027993) (xy 204.417772 72.107228)
- (xy 204.497007 72.172254) (xy 204.587405 72.220573) (xy 204.685492 72.250327) (xy 204.7875 72.260374) (xy 205.3625 72.260374)
- (xy 205.464508 72.250327) (xy 205.562595 72.220573) (xy 205.652993 72.172254) (xy 205.732228 72.107228) (xy 205.797254 72.027993)
- (xy 205.845573 71.937595) (xy 205.875327 71.839508) (xy 205.885374 71.7375) (xy 205.885374 71.684) (xy 206.409694 71.684)
- (xy 206.427228 71.716803) (xy 206.587682 71.912318) (xy 206.783197 72.072772) (xy 207.006257 72.192) (xy 207.248292 72.265421)
- (xy 207.436928 72.284) (xy 207.963072 72.284) (xy 208.151708 72.265421) (xy 208.175424 72.258227) (xy 207.617651 72.816)
- (xy 203.133322 72.816) (xy 200.455564 70.138243)
- )
- )
- (filled_polygon
- (pts
- (xy 205.202 67.373) (xy 205.222 67.373) (xy 205.222 67.627) (xy 205.202 67.627) (xy 205.202 68.45125)
- (xy 205.25075 68.5) (xy 205.202 68.54875) (xy 205.202 69.373) (xy 205.222 69.373) (xy 205.222 69.627)
- (xy 205.202 69.627) (xy 205.202 69.647) (xy 204.948 69.647) (xy 204.948 69.627) (xy 204.928 69.627)
- (xy 204.928 69.373) (xy 204.948 69.373) (xy 204.948 68.54875) (xy 204.89925 68.5) (xy 204.948 68.45125)
- (xy 204.948 67.627) (xy 204.928 67.627) (xy 204.928 67.373) (xy 204.948 67.373) (xy 204.948 67.353)
- (xy 205.202 67.353)
- )
- )
- (filled_polygon
- (pts
- (xy 198.599188 59.024482) (xy 198.635498 59.14418) (xy 198.694463 59.254494) (xy 198.773815 59.351185) (xy 198.870506 59.430537)
- (xy 198.98082 59.489502) (xy 199.100518 59.525812) (xy 199.223025 59.537877) (xy 198.91015 59.585604) (xy 198.469968 59.745148)
- (xy 198.069369 59.987502) (xy 197.734 60.293983) (xy 197.734 59.185374) (xy 198.1875 59.185374) (xy 198.289508 59.175327)
- (xy 198.387595 59.145573) (xy 198.477993 59.097254) (xy 198.557228 59.032228) (xy 198.595373 58.985748)
- )
- )
- )
-)
+(kicad_pcb (version 20201002) (generator pcbnew)
+
+ (general
+ (thickness 1.6)
+ )
+
+ (paper "A4")
+ (layers
+ (0 "F.Cu" signal)
+ (31 "B.Cu" signal)
+ (32 "B.Adhes" user "B.Adhesive")
+ (33 "F.Adhes" user "F.Adhesive")
+ (34 "B.Paste" user)
+ (35 "F.Paste" user)
+ (36 "B.SilkS" user "B.Silkscreen")
+ (37 "F.SilkS" user "F.Silkscreen")
+ (38 "B.Mask" user)
+ (39 "F.Mask" user)
+ (40 "Dwgs.User" user "User.Drawings")
+ (41 "Cmts.User" user "User.Comments")
+ (42 "Eco1.User" user "User.Eco1")
+ (43 "Eco2.User" user "User.Eco2")
+ (44 "Edge.Cuts" user)
+ (45 "Margin" user)
+ (46 "B.CrtYd" user "B.Courtyard")
+ (47 "F.CrtYd" user "F.Courtyard")
+ (48 "B.Fab" user)
+ (49 "F.Fab" user)
+ )
+
+ (setup
+ (stackup
+ (layer "F.SilkS" (type "Top Silk Screen"))
+ (layer "F.Paste" (type "Top Solder Paste"))
+ (layer "F.Mask" (type "Top Solder Mask") (color "Green") (thickness 0.01))
+ (layer "F.Cu" (type "copper") (thickness 0.035))
+ (layer "dielectric 1" (type "core") (thickness 1.51) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02))
+ (layer "B.Cu" (type "copper") (thickness 0.035))
+ (layer "B.Mask" (type "Bottom Solder Mask") (color "Green") (thickness 0.01))
+ (layer "B.Paste" (type "Bottom Solder Paste"))
+ (layer "B.SilkS" (type "Bottom Silk Screen"))
+ (copper_finish "None")
+ (dielectric_constraints no)
+ )
+ (grid_origin 180.2 68.5)
+ (pcbplotparams
+ (layerselection 0x00010fc_ffffffff)
+ (disableapertmacros false)
+ (usegerberextensions true)
+ (usegerberattributes false)
+ (usegerberadvancedattributes false)
+ (creategerberjobfile false)
+ (svguseinch false)
+ (svgprecision 6)
+ (excludeedgelayer false)
+ (plotframeref false)
+ (viasonmask false)
+ (mode 1)
+ (useauxorigin false)
+ (hpglpennumber 1)
+ (hpglpenspeed 20)
+ (hpglpendiameter 15.000000)
+ (psnegative false)
+ (psa4output false)
+ (plotreference true)
+ (plotvalue true)
+ (plotinvisibletext false)
+ (sketchpadsonfab false)
+ (subtractmaskfromsilk false)
+ (outputformat 1)
+ (mirror false)
+ (drillshape 0)
+ (scaleselection 1)
+ (outputdirectory "gerber/")
+ )
+ )
+
+
+ (net 0 "")
+ (net 1 "GND")
+ (net 2 "+3V3")
+ (net 3 "Net-(J1-PadA8)")
+ (net 4 "Net-(J1-PadB8)")
+ (net 5 "Net-(U2-Pad7)")
+ (net 6 "Net-(U2-Pad6)")
+ (net 7 "Net-(U2-Pad5)")
+ (net 8 "PC14")
+ (net 9 "PC15")
+ (net 10 "SWCLK")
+ (net 11 "SWDIO")
+ (net 12 "CC2")
+ (net 13 "CC1")
+ (net 14 "INT_N")
+ (net 15 "SDA")
+ (net 16 "SCL")
+ (net 17 "USB_P")
+ (net 18 "USB_N")
+ (net 19 "VIN")
+ (net 20 "Net-(J7-Pad1)")
+ (net 21 "Net-(R13-Pad1)")
+ (net 22 "PB13")
+ (net 23 "PA9")
+ (net 24 "PA10")
+ (net 25 "PB9")
+ (net 26 "PA1")
+ (net 27 "PA2")
+ (net 28 "PA3")
+ (net 29 "PA4")
+ (net 30 "PA5")
+ (net 31 "PA6")
+ (net 32 "PA7")
+ (net 33 "PB0")
+ (net 34 "PB15")
+ (net 35 "PB14")
+ (net 36 "PB5")
+ (net 37 "PB4")
+ (net 38 "PB3")
+ (net 39 "PB6")
+ (net 40 "PB7")
+ (net 41 "PB2")
+ (net 42 "PA15")
+ (net 43 "PB8")
+ (net 44 "PB1")
+ (net 45 "PA8")
+ (net 46 "PA0")
+ (net 47 "Net-(D3-Pad1)")
+ (net 48 "Net-(D4-Pad1)")
+ (net 49 "Net-(D5-Pad1)")
+ (net 50 "Net-(D6-Pad1)")
+ (net 51 "Net-(D7-Pad1)")
+ (net 52 "Net-(R15-Pad1)")
+ (net 53 "Net-(R16-Pad2)")
+ (net 54 "Net-(R19-Pad1)")
+ (net 55 "Net-(R20-Pad2)")
+ (net 56 "Net-(D3-Pad2)")
+ (net 57 "Net-(D4-Pad2)")
+ (net 58 "Net-(D5-Pad2)")
+ (net 59 "Net-(D6-Pad2)")
+ (net 60 "Net-(D7-Pad2)")
+
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005eaf5b17)
+ (at 148.7 69.5)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb63940")
+ (attr smd)
+ (fp_text reference "C12" (at 3.6 -7.2) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp a33311aa-d200-4f4f-aab9-e1cd2b553df1)
+ )
+ (fp_text value "10n" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 7a83be9f-4b2b-4682-b192-3f8baa1355b3)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 55946163-e539-423c-a6c4-5cb13664c5b1)
+ )
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12) (tstamp 649e803d-4691-425d-bb3f-5b45caadb05c))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp e96bff23-9955-4e5a-9fac-7da6ff2002cf))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2a438670-b9ac-4ceb-a8b3-6a8a15e2d467))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 49deb2b4-c590-42e8-93f5-277299d72185))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 93fb7530-43f6-4603-8142-140662115b01))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp eb214d0e-3abd-4e1e-947e-4946f3d1b63f))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 34452930-dfeb-45d3-9368-2437fcf34418))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 4416fe42-c98d-4a89-990d-a64172ce287e))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ce151991-e333-4ba6-a8da-206e9ca6af79))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e2c8f9f4-6a18-4cce-91de-452955a64d33))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 45 "PA8") (tstamp 4c0f2ba4-6fc5-439e-af69-35a1f949bbf9))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 53c4a033-988e-438b-9c59-18f9d9d3935e))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005eaf5b28)
+ (at 148.7 67.5 180)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb636a4")
+ (attr smd)
+ (fp_text reference "C13" (at 0.9 -6.7) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 7e703d11-2708-46d6-86af-1767245290de)
+ )
+ (fp_text value "10n" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 6c3aae2a-f660-4292-bffd-aa4f7b8c7b36)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 064ba464-ab7c-47e0-a4d0-51a5a739c3c8)
+ )
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12) (tstamp ce8c0097-0fba-48aa-880e-814bc9eefb1b))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp d63e224f-af55-46d3-9994-c8c9af134ab9))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 28fa8d3e-09ac-4042-ba9d-a2c5fc98a8d1))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 631729a8-27e3-4b65-8f08-3ddb863f66d5))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 78a5ddd0-f1b2-4fe4-a75f-49b34911f00b))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp d363c722-276a-4191-9eb5-3b9ef191633f))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 53b4511d-fd48-4946-b557-dee730e52e96))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 71b8ba00-f656-411b-be56-f937d1890d5f))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a554f002-3c83-4305-ae15-cc524b8f1de9))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ed91e099-1f6e-482b-b70f-7eab0cda85ed))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 7d77b5ad-5cbb-4bc7-bdfd-1e4ad49c0351))
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 23 "PA9") (tstamp dfc1de4f-d1b7-499b-aac1-e1b57c284347))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005eaf5de2)
+ (at 145 69.5)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005ebc5408")
+ (attr smd)
+ (fp_text reference "R14" (at -3.2 0) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 97f90704-71d9-4296-aa7d-12208ef7926b)
+ )
+ (fp_text value "10k" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 2f0b867e-bd12-42ef-a87a-4cf3dff35ad7)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 376645c8-436a-46dc-8f96-63c2a4d4d6bb)
+ )
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 56c9a019-5da4-48a5-bb51-989e56d11437))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12) (tstamp c8d608b4-71df-403f-9352-8ac2c042f7f2))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 37b5165d-0b3e-46af-abf7-40140bad9065))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp c462b16a-1a5a-42a0-bc6b-d9eaab56658e))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp cc4a5ae4-6b07-4a05-80a2-e053a58a2e90))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e63f85ad-f781-4f59-88cb-1f55a01230f7))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 652cc742-6e45-462c-b503-7d4f998bc62f))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 67adbfbb-128b-4898-9d62-2e01fa16c793))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp aa1efbfe-e8d1-42cb-9e3d-11b5365175cb))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f96f5284-69cc-4eba-b5b5-525f42396b9c))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp a78796f1-343d-458d-a006-1b2ea69426eb))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 45 "PA8") (tstamp b9abf94b-63b5-433e-bb25-d8c59002192c))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005eaf5df3)
+ (at 148.7 71.5 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb90930")
+ (attr smd)
+ (fp_text reference "R15" (at 0.8 -4.1) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp fbdd903c-4cac-4ad4-8e74-bcb5c9957fde)
+ )
+ (fp_text value "10k" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp d9a45b64-d2f9-4848-879b-3500c8e57b54)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp babea3ae-563c-4cdd-b94b-632a7eb78d41)
+ )
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12) (tstamp 2fe80fe1-f2ae-47f8-9d41-d5ba8b84ade3))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 7f6b1244-4164-439c-bd12-cac4c5b90109))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 266f8093-8640-4334-aada-3a6b9b28bb09))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8c1c5b10-7221-4423-a1c6-1c01834ef60e))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp aa042abb-3072-4b0c-ae74-7ab718108bdf))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp f1fc41e8-8f34-4151-9454-b4d2fc0cda1f))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 0aa5ffd3-47cf-436c-933b-c21003400aba))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 1be100a4-76b1-43bc-90f3-9631e40c8c95))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5c68d4d0-df25-4df6-b6e1-6e4af7717f91))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp e8137e6f-1488-4b5e-b101-e72010400f8d))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 52 "Net-(R15-Pad1)") (tstamp 44a671dd-e932-4b46-8066-9c3e434316c9))
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 45 "PA8") (tstamp 6777ef4a-30b7-44ac-a6fc-daef296b763d))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005eaf5e04)
+ (at 148.7 65.5)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb902b4")
+ (attr smd)
+ (fp_text reference "R16" (at 3.7 -4.4) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 5a7c15d3-42fb-41cf-ba8f-fbd9677bfe4c)
+ )
+ (fp_text value "10k" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp b8dfb6b0-e236-4cb6-8573-ce22e8a1c619)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 40a12d2e-ee6a-455c-847a-448c6e41e49e)
+ )
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12) (tstamp 2b555518-69f8-4e86-858f-edc383b8e50c))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp e159545e-98f8-4438-ac80-a32aaa33755e))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5a1efd7e-ed83-49a9-a446-1a51def827ab))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 65848345-8855-4aff-956e-87b1bd5c349d))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp dc59e8bb-8438-43d3-a13c-0207f8806b26))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e0a1dda3-4cc6-496e-a140-b965f2a86784))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 6ef420a6-1251-4615-88a6-e26c9a8f77e2))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b26ee9c1-2900-405a-af2f-2933158fad92))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp bd562a7d-7d12-4eea-8c7f-928f4c480ad7))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f47351b1-bd47-4d70-ad28-6a605d2e2af2))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 23 "PA9") (tstamp d09e8f21-89e7-4078-84f8-e7f2bb101090))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 53 "Net-(R16-Pad2)") (tstamp f3ad8beb-fc5e-4ea3-8a63-78e23105b03c))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005eaf5e15)
+ (at 145 67.5 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005ebc58c6")
+ (attr smd)
+ (fp_text reference "R17" (at 3.2 0) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp bffba6b8-2b2c-4b41-906c-13661a201639)
+ )
+ (fp_text value "10k" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp b7ad22f0-101f-4d02-a057-9c938ec4f3da)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp ebfb3a2e-cfa3-41cc-9170-f55023445760)
+ )
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 0f540cf7-537c-44d2-91b7-661dcb98c8fd))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12) (tstamp 9da2d7c5-8fec-40e4-980a-3f582ba0e6ee))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a6325cf2-02be-4c8a-a1cf-d27b62e18f45))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b6e69dbc-b2d2-4ab0-afa8-43ccba8d07ae))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c57797c2-eb5f-45b3-9bb5-d2e5d2936f6c))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp c717defb-221a-4e34-9e0c-c5f3ff7467bd))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 0d901d47-8d1d-497c-8a6e-d8db68a086d7))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 560b3a92-929a-4c39-9b07-74872ade03a0))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp bfb1ad5a-e6f7-4416-827f-83058396aa00))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d4d3c37e-91d2-456b-a603-f19899f1f460))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 23 "PA9") (tstamp 11a6b5af-6f2a-4bcd-836a-ccd831597884))
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 4f96e02a-3dbd-42df-a0d4-4afe413f4eae))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "footprints:Bourns_PEC12R_4xxxF-Sxxxx_horizontal_sw" (layer "F.Cu") (tedit 5EAEEB02) (tstamp 00000000-0000-0000-0000-00005eaf5eb5)
+ (at 160.2 68.5 -90)
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eaee6dd")
+ (attr through_hole)
+ (fp_text reference "SW2" (at 0 0.5 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 2dcd1a57-9ce0-4039-9f5d-96cdb34687c5)
+ )
+ (fp_text value " BOURNS PEC12R-4230F-S0024 " (at 0 -0.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 4f271bab-6b0e-4ea3-83ee-2afcc89b018b)
+ )
+ (fp_line (start -6.2 -6.7) (end 6.2 -6.7) (layer "F.SilkS") (width 0.12) (tstamp 3a73e402-0eec-46fa-a1fe-8ec1affa91e8))
+ (fp_line (start 6.2 6.7) (end -6.2 6.7) (layer "F.SilkS") (width 0.12) (tstamp 456a972f-ed09-409a-9561-058855b9e0e3))
+ (fp_line (start -6.2 6.7) (end -6.2 -6.7) (layer "F.SilkS") (width 0.12) (tstamp 6ec5c548-ab7e-4fdd-8f89-5b5aff618a00))
+ (fp_line (start 6.2 -6.7) (end 6.2 6.7) (layer "F.SilkS") (width 0.12) (tstamp 96f9f256-6af3-45b6-84f7-b6f89c766641))
+ (fp_line (start 8.5 -2.5) (end 8.5 2) (layer "F.CrtYd") (width 0.12) (tstamp 0586100a-0c5d-4ddb-8013-150985b81363))
+ (fp_line (start 4.5 -7) (end 6.5 -7) (layer "F.CrtYd") (width 0.12) (tstamp 086de27e-0443-4872-b1d9-b44acfbe4db2))
+ (fp_line (start -6.5 2) (end -8.5 2) (layer "F.CrtYd") (width 0.12) (tstamp 08865ce5-f492-4554-bf3b-603913462a4c))
+ (fp_line (start -4.5 -7) (end -4.5 -8.5) (layer "F.CrtYd") (width 0.12) (tstamp 0a689f6a-e375-49a5-9a00-ea5748834744))
+ (fp_line (start 8.5 2) (end 6.5 2) (layer "F.CrtYd") (width 0.12) (tstamp 0e4d3bd1-62e2-4927-bfb0-f4c6df8af287))
+ (fp_line (start 4.5 -8.5) (end 4.5 -7) (layer "F.CrtYd") (width 0.12) (tstamp 4eeff95c-c9ae-49a3-b9ef-e26854676e41))
+ (fp_line (start -6.5 7) (end -6.5 2) (layer "F.CrtYd") (width 0.12) (tstamp 4f081e7a-b82c-40fd-99cd-75635a1c7a2b))
+ (fp_line (start 4 -8.5) (end 4.5 -8.5) (layer "F.CrtYd") (width 0.12) (tstamp 5a1465c1-2ca9-4f82-9130-d1ebc01be79d))
+ (fp_line (start 6.5 2) (end 6.5 7) (layer "F.CrtYd") (width 0.12) (tstamp 5dd738f8-4e92-438a-9a90-fb7c90feff66))
+ (fp_line (start -8.5 2) (end -8.5 -2.5) (layer "F.CrtYd") (width 0.12) (tstamp 5ea9d76b-4d44-4ff5-9de2-2ad384119364))
+ (fp_line (start -6.5 -7) (end -4.5 -7) (layer "F.CrtYd") (width 0.12) (tstamp 5efee7b8-9b00-4612-96d7-dedac0296f36))
+ (fp_line (start -4.5 -8.5) (end 4 -8.5) (layer "F.CrtYd") (width 0.12) (tstamp 67e345e8-f1f4-476d-befd-5e7592c9ebdf))
+ (fp_line (start -4 7) (end -6.5 7) (layer "F.CrtYd") (width 0.12) (tstamp 74e91e30-85bb-424e-892d-2129683c4fc2))
+ (fp_line (start 4.5 9) (end -4 9) (layer "F.CrtYd") (width 0.12) (tstamp 8b85a3cc-d5a3-4486-be31-ab3ab1406149))
+ (fp_line (start -4 9) (end -4 7) (layer "F.CrtYd") (width 0.12) (tstamp b608b7d5-1b4b-42f8-b647-f7980848713a))
+ (fp_line (start 6.5 -7) (end 6.5 -2.5) (layer "F.CrtYd") (width 0.12) (tstamp b9a965c0-15fc-4135-ac9b-3fa1e81d5f0c))
+ (fp_line (start 4.5 7) (end 4.5 9) (layer "F.CrtYd") (width 0.12) (tstamp c01b48cc-29d1-4faa-890f-e4e09cb8a878))
+ (fp_line (start 6.5 7) (end 4.5 7) (layer "F.CrtYd") (width 0.12) (tstamp cfd788d1-8930-4e40-a459-5bd2d3027765))
+ (fp_line (start -6.5 -2.5) (end -6.5 -7) (layer "F.CrtYd") (width 0.12) (tstamp da632740-733d-488d-95e5-26d3e3d44cc4))
+ (fp_line (start 6.5 -2.5) (end 8.5 -2.5) (layer "F.CrtYd") (width 0.12) (tstamp f29a810e-e771-4d59-ac2c-0dcae5510ec3))
+ (fp_line (start -8.5 -2.5) (end -6.5 -2.5) (layer "F.CrtYd") (width 0.12) (tstamp ffb501a3-ca2e-4802-9b78-ae6ec2ef936a))
+ (pad "1" thru_hole oval (at -2.5 7.5 270) (size 2 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 53 "Net-(R16-Pad2)") (pinfunction "A") (tstamp ae574770-8c63-488d-ae1d-8967652599a4))
+ (pad "2" thru_hole oval (at 0 7.5 270) (size 2 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 1 "GND") (pinfunction "C") (tstamp dc58ccfb-de39-4b3b-a221-c0b55d6c3db0))
+ (pad "3" thru_hole oval (at 2.5 7.5 270) (size 2 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 52 "Net-(R15-Pad1)") (pinfunction "B") (tstamp d081e504-1767-4a15-859d-5449b34ee72f))
+ (pad "4" thru_hole circle (at -2.5 -7 270) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 56 "Net-(D3-Pad2)") (pinfunction "S1") (tstamp da1203b0-bb3e-4ad4-9529-76b9daf98ce0))
+ (pad "5" thru_hole circle (at 2.5 -7 270) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 26 "PA1") (pinfunction "S2") (tstamp a3f1af33-81bf-408f-aa6f-2c58a9ad3272))
+ (pad "6" thru_hole oval (at 6.6 -0.2 270) (size 3.5 4.5) (drill oval 2 2.6) (layers *.Cu *.Mask)
+ (net 1 "GND") (pinfunction "case") (tstamp 2d6db3fa-e306-46f2-85fb-b89f2fb0b68a))
+ (pad "7" thru_hole oval (at -6.6 -0.2 270) (size 3.5 4.5) (drill oval 2 2.6) (layers *.Cu *.Mask)
+ (net 1 "GND") (pinfunction "case") (tstamp 7edab823-08e9-4115-b993-3015f1f84b31))
+ )
+
+ (module "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (layer "F.Cu") (tedit 5A02FE24) (tstamp 00000000-0000-0000-0000-00005eaf5ee9)
+ (at 145.12 75.96 90)
+ (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
+ (tags "Cherry MX keyswitch 1.00u PCB")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb07bbf")
+ (attr through_hole)
+ (fp_text reference "SW4" (at -2.54 -2.794 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp a23c936e-b641-47ba-9294-0c1c59459957)
+ )
+ (fp_text value "SW_Push" (at -2.54 12.954 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1f441133-5967-40f6-9ca3-3f2f55eef5ef)
+ )
+ (fp_text user "${REFERENCE}" (at -2.54 -2.794 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 8e7a2411-1090-429c-874a-89e5aa135ecf)
+ )
+ (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer "F.SilkS") (width 0.12) (tstamp 17a08f35-c55f-404c-8a23-9dc9bd3c3d9f))
+ (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer "F.SilkS") (width 0.12) (tstamp 264b69d9-3685-4ef1-ba1e-525c8a6be66d))
+ (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer "F.SilkS") (width 0.12) (tstamp 698bdece-9e05-47fb-988e-3ac4cd11eba0))
+ (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer "F.SilkS") (width 0.12) (tstamp bb16fd7f-f532-41f6-96df-6ad5062739a4))
+ (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer "Dwgs.User") (width 0.15) (tstamp 1db6621b-2e69-4c8e-8cf5-3e4a211ac72c))
+ (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp 6b622e46-7016-41c6-9896-9b9bc487eaa6))
+ (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp d4a742d0-e3a8-41f2-91b0-9030f3993280))
+ (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer "Dwgs.User") (width 0.15) (tstamp dc50152c-2aee-4cef-8093-a5f9564aaacd))
+ (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp 603e59d1-f66f-41fa-8167-b0c8af0a0076))
+ (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer "F.CrtYd") (width 0.05) (tstamp 89ce71a3-863c-4fcb-80b0-07a856bf67dc))
+ (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp b0166c3c-f414-43fd-9efc-7eaf4ca4cef7))
+ (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer "F.CrtYd") (width 0.05) (tstamp d9905c69-d38c-49f9-a54c-894fa96b2990))
+ (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer "F.Fab") (width 0.1) (tstamp 0a03fefc-56f8-491b-9c13-005a9f742266))
+ (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer "F.Fab") (width 0.1) (tstamp 0f7a3d4e-6ceb-4469-8d50-1dbb159a2660))
+ (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer "F.Fab") (width 0.1) (tstamp 394e52db-be2a-4e87-9f62-c1ea9bbcc073))
+ (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer "F.Fab") (width 0.1) (tstamp 57159fe7-557c-47f2-be06-99d1576dab52))
+ (pad "" np_thru_hole circle (at -2.54 5.08 90) (size 4 4) (drill 4) (layers *.Cu *.Mask) (tstamp 1d326c33-a04d-44eb-95a2-f7595fe0b552))
+ (pad "" np_thru_hole circle (at 2.54 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp c020b7cb-387e-4376-9ac8-c8154c38fcaa))
+ (pad "" np_thru_hole circle (at -7.62 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp fb7b323e-33da-4b3e-bd93-0b3a052f84a2))
+ (pad "1" thru_hole circle (at 0 0 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 49 "Net-(D5-Pad1)") (pinfunction "1") (tstamp 9b2fce7c-bda9-4bea-b03c-7af8081a8b85))
+ (pad "2" thru_hole circle (at -6.35 2.54 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 26 "PA1") (pinfunction "2") (tstamp 25d59333-4916-4da1-87bc-c9899602df76))
+ (model "${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "footprints:Bourns_PEC12R_4xxxF-Sxxxx_horizontal_sw" (layer "F.Cu") (tedit 5EAEEB02) (tstamp 00000000-0000-0000-0000-00005eaf5f41)
+ (at 200.2 68.5 90)
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eafecbb")
+ (attr through_hole)
+ (fp_text reference "SW7" (at 0 0.5 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 9a695fc1-88cd-49b4-a446-5bab02392f7a)
+ )
+ (fp_text value " BOURNS PEC12R-4230F-S0024 " (at 0 -0.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 7c258a43-9326-4ab7-a888-e6792bcdf1c5)
+ )
+ (fp_line (start -6.2 6.7) (end -6.2 -6.7) (layer "F.SilkS") (width 0.12) (tstamp 0f2fb72c-bf92-4828-bf51-3bffba562bf2))
+ (fp_line (start 6.2 6.7) (end -6.2 6.7) (layer "F.SilkS") (width 0.12) (tstamp 47a2fc49-c701-4fc6-a4a9-e6e5b0191bbc))
+ (fp_line (start 6.2 -6.7) (end 6.2 6.7) (layer "F.SilkS") (width 0.12) (tstamp 77c648d8-60eb-4b37-8668-06cf263f58a6))
+ (fp_line (start -6.2 -6.7) (end 6.2 -6.7) (layer "F.SilkS") (width 0.12) (tstamp 81e72e90-d473-4d1f-b601-1d010ae829ad))
+ (fp_line (start -6.5 -7) (end -4.5 -7) (layer "F.CrtYd") (width 0.12) (tstamp 09efe37f-8c61-4406-a346-b5ece27dc632))
+ (fp_line (start 4.5 -7) (end 6.5 -7) (layer "F.CrtYd") (width 0.12) (tstamp 138f0592-dd3b-4f65-b59d-f650f76e1b82))
+ (fp_line (start 8.5 -2.5) (end 8.5 2) (layer "F.CrtYd") (width 0.12) (tstamp 20325ae1-ba27-4c1f-9132-983ea3b42b27))
+ (fp_line (start 8.5 2) (end 6.5 2) (layer "F.CrtYd") (width 0.12) (tstamp 2ea04d89-85cc-4c7f-b023-17978607c6b0))
+ (fp_line (start 4.5 -8.5) (end 4.5 -7) (layer "F.CrtYd") (width 0.12) (tstamp 39c5a91b-89f5-4333-83bd-592e71d0509a))
+ (fp_line (start 6.5 2) (end 6.5 7) (layer "F.CrtYd") (width 0.12) (tstamp 3fb991bc-d8a5-403f-b802-ab65d6bb52c3))
+ (fp_line (start -6.5 7) (end -6.5 2) (layer "F.CrtYd") (width 0.12) (tstamp 406c5154-daf1-4f85-98be-9fc4ce7c4877))
+ (fp_line (start -8.5 -2.5) (end -6.5 -2.5) (layer "F.CrtYd") (width 0.12) (tstamp 4354ec2b-d895-4bf9-a481-29a40c538b8c))
+ (fp_line (start -8.5 2) (end -8.5 -2.5) (layer "F.CrtYd") (width 0.12) (tstamp 4fefaca5-b655-4d61-93ce-e1e52681ff69))
+ (fp_line (start 6.5 -7) (end 6.5 -2.5) (layer "F.CrtYd") (width 0.12) (tstamp 76496977-1a3b-4f87-8293-10af12f26307))
+ (fp_line (start 4.5 7) (end 4.5 9) (layer "F.CrtYd") (width 0.12) (tstamp 7b7b1fd2-1aef-41a1-a403-95076d0a47e3))
+ (fp_line (start 4 -8.5) (end 4.5 -8.5) (layer "F.CrtYd") (width 0.12) (tstamp 8086165a-09a7-4009-a76b-390efff501e3))
+ (fp_line (start 6.5 -2.5) (end 8.5 -2.5) (layer "F.CrtYd") (width 0.12) (tstamp 8499796e-7705-4fb4-a436-9be1d06aa878))
+ (fp_line (start -4.5 -7) (end -4.5 -8.5) (layer "F.CrtYd") (width 0.12) (tstamp 9ae5770f-76f6-4ab9-bc5e-a899d8b0683f))
+ (fp_line (start 6.5 7) (end 4.5 7) (layer "F.CrtYd") (width 0.12) (tstamp ab54bbc0-3faf-4835-99bb-4b9ef9ce3321))
+ (fp_line (start -4 7) (end -6.5 7) (layer "F.CrtYd") (width 0.12) (tstamp c1adfeec-5857-4dcc-972e-1d9f4643b114))
+ (fp_line (start -4.5 -8.5) (end 4 -8.5) (layer "F.CrtYd") (width 0.12) (tstamp c36219d2-f6ce-429b-8dd1-7ec000c89a1d))
+ (fp_line (start -4 9) (end -4 7) (layer "F.CrtYd") (width 0.12) (tstamp c3a36485-d6a8-4fa9-917e-5b21f2474e07))
+ (fp_line (start 4.5 9) (end -4 9) (layer "F.CrtYd") (width 0.12) (tstamp c3cb002f-f91b-40fe-882a-7131654aeeb6))
+ (fp_line (start -6.5 -2.5) (end -6.5 -7) (layer "F.CrtYd") (width 0.12) (tstamp cd068257-deda-451e-b1c2-02eb7cef58bf))
+ (fp_line (start -6.5 2) (end -8.5 2) (layer "F.CrtYd") (width 0.12) (tstamp db24e26d-366b-4dec-a326-4c53d6e0a88c))
+ (pad "1" thru_hole oval (at -2.5 7.5 90) (size 2 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 55 "Net-(R20-Pad2)") (pinfunction "A") (tstamp f865f496-0bf6-4235-bd80-c329fad7336b))
+ (pad "2" thru_hole oval (at 0 7.5 90) (size 2 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 1 "GND") (pinfunction "C") (tstamp 2ebae13d-c462-419d-8789-fa3ec6252211))
+ (pad "3" thru_hole oval (at 2.5 7.5 90) (size 2 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 54 "Net-(R19-Pad1)") (pinfunction "B") (tstamp 92dabce1-695f-430e-8e7b-70ccc88a20e6))
+ (pad "4" thru_hole circle (at -2.5 -7 90) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 47 "Net-(D3-Pad1)") (pinfunction "S1") (tstamp 7baa5003-3010-49a2-bb37-c10a68f08a6a))
+ (pad "5" thru_hole circle (at 2.5 -7 90) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 29 "PA4") (pinfunction "S2") (tstamp ee96f1cd-ad5b-42c6-b588-d7aaf2e587fa))
+ (pad "6" thru_hole oval (at 6.6 -0.2 90) (size 3.5 4.5) (drill oval 2 2.6) (layers *.Cu *.Mask)
+ (net 1 "GND") (pinfunction "case") (tstamp 4800ef27-55ee-4813-b8a3-16ef9014fb4a))
+ (pad "7" thru_hole oval (at -6.6 -0.2 90) (size 3.5 4.5) (drill oval 2 2.6) (layers *.Cu *.Mask)
+ (net 1 "GND") (pinfunction "case") (tstamp 5de7ee9d-7611-4100-8cd5-670a084bcfbd))
+ )
+
+ (module "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (layer "F.Cu") (tedit 5A02FE24) (tstamp 00000000-0000-0000-0000-00005eaf5f5b)
+ (at 215.28 61.04 -90)
+ (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
+ (tags "Cherry MX keyswitch 1.00u PCB")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb08aa9")
+ (attr through_hole)
+ (fp_text reference "SW8" (at -2.54 -2.794 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 3c9e4814-0a98-409c-a562-4531325f6173)
+ )
+ (fp_text value "SW_Push" (at -2.54 12.954 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 857ede6a-d138-41b5-9d37-102d4b38d99f)
+ )
+ (fp_text user "${REFERENCE}" (at -2.54 -2.794 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 3215ee94-5620-4d88-b3ec-0f1f43cd2535)
+ )
+ (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer "F.SilkS") (width 0.12) (tstamp 0460cdd5-0b81-43cb-be28-3729d1b46bdf))
+ (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer "F.SilkS") (width 0.12) (tstamp 74cd6eb0-c60a-4f4b-a11d-12abaa886542))
+ (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer "F.SilkS") (width 0.12) (tstamp 7682535f-9a83-4bcf-8d05-3c5bb9a51e73))
+ (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer "F.SilkS") (width 0.12) (tstamp 9efe3fda-6044-483a-86d0-bb384bc8998c))
+ (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer "Dwgs.User") (width 0.15) (tstamp 088caa24-10e4-4c63-bb43-c9de77de6265))
+ (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp 1fd5404a-243e-4380-957f-7b45c8e4818c))
+ (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer "Dwgs.User") (width 0.15) (tstamp 5eee3103-fe8f-4a7f-abd3-995c412be8f7))
+ (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp b297cc35-137b-4bb3-aa82-462946ae34db))
+ (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer "F.CrtYd") (width 0.05) (tstamp 74b1341d-a8f7-40de-a8a2-40009feec81b))
+ (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp ad95c360-26ab-4ddc-b214-9356ff5ae25f))
+ (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer "F.CrtYd") (width 0.05) (tstamp b8e95652-906b-47ab-bddb-6d6fa99131c5))
+ (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp e5fde7e9-690e-4157-9aec-a55610fdce7f))
+ (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer "F.Fab") (width 0.1) (tstamp 00cbea8b-c1fe-43fc-97e0-906bdf0e8e61))
+ (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer "F.Fab") (width 0.1) (tstamp 4126d75b-8f5a-4be0-8e51-2d4be9ce93db))
+ (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer "F.Fab") (width 0.1) (tstamp 833fb7f6-37b1-491b-b811-f02f626b73e3))
+ (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer "F.Fab") (width 0.1) (tstamp ebd01113-146c-46d1-b93c-599ec58a9088))
+ (pad "" np_thru_hole circle (at -7.62 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp 6842f53b-85d1-46a2-986e-b548acaf4fe7))
+ (pad "" np_thru_hole circle (at -2.54 5.08 270) (size 4 4) (drill 4) (layers *.Cu *.Mask) (tstamp 73de4904-05cb-4879-ad40-d6f667075d58))
+ (pad "" np_thru_hole circle (at 2.54 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp e3304d7b-4029-440a-857a-a148e783b9e6))
+ (pad "1" thru_hole circle (at 0 0 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 48 "Net-(D4-Pad1)") (pinfunction "1") (tstamp dad85462-800e-45d8-845b-126c201ae796))
+ (pad "2" thru_hole circle (at -6.35 2.54 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 29 "PA4") (pinfunction "2") (tstamp aa65d34e-1544-4c3c-9a13-5cd828f8a4d2))
+ (model "${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (layer "F.Cu") (tedit 5A02FE24) (tstamp 00000000-0000-0000-0000-00005eaf5f75)
+ (at 145.12 55.96 90)
+ (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
+ (tags "Cherry MX keyswitch 1.00u PCB")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb0972b")
+ (attr through_hole)
+ (fp_text reference "SW9" (at -2.54 -2.794 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 184ea5e7-fcbb-4b2c-b2a8-10c494a97e63)
+ )
+ (fp_text value "SW_Push" (at -2.54 12.954 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 10263dee-b8db-47d5-882b-03af4381feeb)
+ )
+ (fp_text user "${REFERENCE}" (at -2.54 -2.794 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 104a408f-16aa-462a-bd38-dd55c6daba9b)
+ )
+ (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer "F.SilkS") (width 0.12) (tstamp 0347aae8-cdfc-49e8-9a13-0e103cc70c69))
+ (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer "F.SilkS") (width 0.12) (tstamp 278b6323-8f61-4ecd-8fe1-f9e7dde72106))
+ (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer "F.SilkS") (width 0.12) (tstamp c5779647-70c4-4eaf-9bb5-599e10438fb2))
+ (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer "F.SilkS") (width 0.12) (tstamp c818bd4f-e615-4f60-bfb9-6dbd26a3f203))
+ (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp 003e7cbd-740d-4aae-b3ba-41b87f4f2f8e))
+ (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer "Dwgs.User") (width 0.15) (tstamp 6dac6390-b9ac-4c15-9d2d-874377c113ed))
+ (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp 8d111027-c083-43bd-8653-7fc54bdfe055))
+ (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer "Dwgs.User") (width 0.15) (tstamp e26d4286-805f-4131-8a3e-32e3f847b479))
+ (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp 3eeaf873-6450-4056-bbf1-7cb9ad40c2b4))
+ (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp 60f7842f-5442-49f3-9d43-27ccc10e5468))
+ (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer "F.CrtYd") (width 0.05) (tstamp c8ba3636-4eef-40c2-8b9b-52b1ab90cadf))
+ (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer "F.CrtYd") (width 0.05) (tstamp ef1db883-2dad-4b48-8a0b-fc29be3fbd41))
+ (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer "F.Fab") (width 0.1) (tstamp 356d75a4-8837-4c6d-9615-54cad13f55b4))
+ (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer "F.Fab") (width 0.1) (tstamp 36c4ee6b-cf51-4c0d-846e-9d633d1a3fdf))
+ (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer "F.Fab") (width 0.1) (tstamp 81a254ab-f920-435a-a340-6be900cd691d))
+ (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer "F.Fab") (width 0.1) (tstamp 9dee80f4-2488-429b-9f3c-6e7589100794))
+ (pad "" np_thru_hole circle (at -7.62 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp 165e6bb3-139c-40d6-a6d4-0e717827d37f))
+ (pad "" np_thru_hole circle (at 2.54 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp 1c017c38-3602-463d-ad85-65f1faee297e))
+ (pad "" np_thru_hole circle (at -2.54 5.08 90) (size 4 4) (drill 4) (layers *.Cu *.Mask) (tstamp ef703967-2bb3-4db4-9169-b1018999db80))
+ (pad "1" thru_hole circle (at 0 0 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 58 "Net-(D5-Pad2)") (pinfunction "1") (tstamp aa04db9f-9518-490f-9111-6a6c669a4836))
+ (pad "2" thru_hole circle (at -6.35 2.54 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 29 "PA4") (pinfunction "2") (tstamp 01fca89c-5844-4db6-8864-ab6fc9bbbe10))
+ (model "${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (layer "F.Cu") (tedit 5A02FE24) (tstamp 00000000-0000-0000-0000-00005eaf5f8f)
+ (at 195.28 61.04 -90)
+ (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
+ (tags "Cherry MX keyswitch 1.00u PCB")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb09a9a")
+ (attr through_hole)
+ (fp_text reference "SW10" (at -2.54 -2.794 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp c239408e-348f-4a88-bf6a-02882952434c)
+ )
+ (fp_text value "SW_Push" (at -2.54 12.954 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp a5fea1d8-cb3e-47a7-b750-86f0c1f37da2)
+ )
+ (fp_text user "${REFERENCE}" (at -2.54 -2.794 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 11850617-b396-4809-a565-09aa13987f5a)
+ )
+ (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer "F.SilkS") (width 0.12) (tstamp 1fc1c8bf-19ab-4878-85ef-f80e600abc7b))
+ (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer "F.SilkS") (width 0.12) (tstamp 34a40277-a889-4a35-81b5-4b45a5504b29))
+ (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer "F.SilkS") (width 0.12) (tstamp 524b7830-4477-4012-b0fc-4a80fa8383e3))
+ (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer "F.SilkS") (width 0.12) (tstamp 7d2b87ec-6ab5-4f52-b9c0-81bff7aa684e))
+ (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp 449967fb-542b-4464-bc5d-209bd0d20a58))
+ (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer "Dwgs.User") (width 0.15) (tstamp 491ec000-190b-4919-b992-c3e8eb1dbe15))
+ (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp 6ed1b655-90f8-4d01-a643-a7a098e42988))
+ (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer "Dwgs.User") (width 0.15) (tstamp 81f319ac-96c6-49b3-b1f5-204da4669f76))
+ (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer "F.CrtYd") (width 0.05) (tstamp 5df970ad-9e8d-4a09-8af1-514ff1ad0d74))
+ (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp 95217f4d-7639-4236-97a9-cb5b16bee8bd))
+ (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp b122507a-8f57-41c1-ab3a-5945a62c953f))
+ (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer "F.CrtYd") (width 0.05) (tstamp d83a56c4-5263-478f-ad4c-c751391055c7))
+ (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer "F.Fab") (width 0.1) (tstamp 3ed3f22c-4293-4bf6-a030-27276589ddad))
+ (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer "F.Fab") (width 0.1) (tstamp 6237f9f9-cfc1-474c-a516-5d6da8373678))
+ (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer "F.Fab") (width 0.1) (tstamp 7e405bc3-88ad-4718-9cce-5b774d316b57))
+ (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer "F.Fab") (width 0.1) (tstamp c6a8b227-8635-41f2-9c0f-0da4293c5c05))
+ (pad "" np_thru_hole circle (at -7.62 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp 0311bb17-540d-492f-b922-63d1f713dfed))
+ (pad "" np_thru_hole circle (at -2.54 5.08 270) (size 4 4) (drill 4) (layers *.Cu *.Mask) (tstamp 573d50f8-49e7-4c7b-b8a4-c1eb94b5546e))
+ (pad "" np_thru_hole circle (at 2.54 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp b1e090a7-de1e-4912-aaa2-30ef8d2962b4))
+ (pad "1" thru_hole circle (at 0 0 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 50 "Net-(D6-Pad1)") (pinfunction "1") (tstamp 36a85534-8487-4b02-bf92-62d30282e02d))
+ (pad "2" thru_hole circle (at -6.35 2.54 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 29 "PA4") (pinfunction "2") (tstamp c4c6f425-48d0-49d1-9718-05bc19e4d33a))
+ (model "${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (layer "F.Cu") (tedit 5A02FE24) (tstamp 00000000-0000-0000-0000-00005eaf5fa9)
+ (at 165.12 55.96 90)
+ (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
+ (tags "Cherry MX keyswitch 1.00u PCB")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb09c47")
+ (attr through_hole)
+ (fp_text reference "SW11" (at -2.54 -2.794 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp c8f1dfc5-5404-4b31-9a23-fa439c63f090)
+ )
+ (fp_text value "SW_Push" (at -2.54 12.954 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp f5cb9497-8b8a-4fcf-9179-a740e83ee47f)
+ )
+ (fp_text user "${REFERENCE}" (at -2.54 -2.794 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 3b571ab5-b21a-4775-99c0-e194f917f263)
+ )
+ (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer "F.SilkS") (width 0.12) (tstamp 1824dfa9-b8c8-42d0-9bde-a7c3c529914a))
+ (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer "F.SilkS") (width 0.12) (tstamp 9bb4bbf9-0f84-4437-96c1-af6a1d8a1cfd))
+ (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer "F.SilkS") (width 0.12) (tstamp c4a06374-78f7-476a-ac2b-5a719a0b592f))
+ (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer "F.SilkS") (width 0.12) (tstamp c6f7961f-d045-40fe-a32d-4ff19be8bacf))
+ (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer "Dwgs.User") (width 0.15) (tstamp 2e6b9857-8d89-4db3-9b8e-073cd03a3cd2))
+ (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp 3b063349-6bc8-4257-bdb4-22ee9779b6e8))
+ (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp aa9cc1e8-ae73-43c7-a216-5876e483ca55))
+ (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer "Dwgs.User") (width 0.15) (tstamp fe65403c-31ac-4d32-8421-3ffb916f58a4))
+ (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp 18243192-ecaa-4a1e-a83e-15545cddc0b1))
+ (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp 365e975d-078d-4b80-93c0-b3e61ebda4fb))
+ (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer "F.CrtYd") (width 0.05) (tstamp 3ce549d0-8754-4b9d-b8b2-14300663d08e))
+ (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer "F.CrtYd") (width 0.05) (tstamp e21f81f1-f17a-4f60-be53-97b1bae14d57))
+ (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer "F.Fab") (width 0.1) (tstamp 69061649-e331-4122-a044-26de4d2cc37a))
+ (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer "F.Fab") (width 0.1) (tstamp a19aff39-ca83-400b-adb9-fa7b4e637f18))
+ (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer "F.Fab") (width 0.1) (tstamp e811dc1b-0653-4c5c-a8c0-287eecadae8b))
+ (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer "F.Fab") (width 0.1) (tstamp ef5dff7b-2d48-4459-b645-c58c298a2570))
+ (pad "" np_thru_hole circle (at 2.54 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp 12011bc6-4f28-4a2a-b5db-607057de2332))
+ (pad "" np_thru_hole circle (at -2.54 5.08 90) (size 4 4) (drill 4) (layers *.Cu *.Mask) (tstamp 629dcf17-a626-4b25-9da7-f5451c15cb42))
+ (pad "" np_thru_hole circle (at -7.62 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp c8c9da20-e082-4f54-9fb7-e363d59d3969))
+ (pad "1" thru_hole circle (at 0 0 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 60 "Net-(D7-Pad2)") (pinfunction "1") (tstamp 20575bd8-4ef8-4f89-8250-ae68e04083ff))
+ (pad "2" thru_hole circle (at -6.35 2.54 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 29 "PA4") (pinfunction "2") (tstamp 79781b23-e765-4369-8968-e66fdc153c4e))
+ (model "${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (layer "F.Cu") (tedit 5A02FE24) (tstamp 00000000-0000-0000-0000-00005eaf7e2b)
+ (at 195.28 81.04 -90)
+ (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
+ (tags "Cherry MX keyswitch 1.00u PCB")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb08167")
+ (attr through_hole)
+ (fp_text reference "SW5" (at -2.54 -2.794 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 9b117a0e-f3ab-4495-9389-ae68fdabd6fb)
+ )
+ (fp_text value "SW_Push" (at -2.54 12.954 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 6394f39c-5858-4b26-8692-c67dde981b05)
+ )
+ (fp_text user "${REFERENCE}" (at -2.54 -2.794 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 2e0382d6-ef04-4bd8-9112-23815da58c19)
+ )
+ (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer "F.SilkS") (width 0.12) (tstamp 29a74f6a-c370-4383-947c-f55f5615c809))
+ (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer "F.SilkS") (width 0.12) (tstamp 2a1cfa32-e71b-4517-920e-03f9993c255f))
+ (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer "F.SilkS") (width 0.12) (tstamp 2f5da498-fb72-499a-a831-bd0a6f9da3f5))
+ (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer "F.SilkS") (width 0.12) (tstamp edd864bf-5f60-4589-a0ee-f75944187c7d))
+ (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer "Dwgs.User") (width 0.15) (tstamp a564fb8c-c399-404d-92f5-70715991ddb6))
+ (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp d8d53d1b-eee7-4b31-a217-6e66a1f721b4))
+ (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp ecd98744-fbb9-44e5-8cbb-ba785e19ff87))
+ (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer "Dwgs.User") (width 0.15) (tstamp fd4a1c7b-f1b9-4d30-9153-449e793ad6ed))
+ (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer "F.CrtYd") (width 0.05) (tstamp 24e09701-b8b5-44ac-8626-28a1d077683e))
+ (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer "F.CrtYd") (width 0.05) (tstamp 5e6006fa-0936-4899-9b86-a9c5ed61fdcb))
+ (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp 636e832e-dfd9-4c02-ac46-1a4310993204))
+ (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp 8cdbf28d-a665-4258-8c7f-6a9a79fb79a9))
+ (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer "F.Fab") (width 0.1) (tstamp 028cda23-3c18-47ad-81dc-f360a420230f))
+ (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer "F.Fab") (width 0.1) (tstamp 71886b47-66d1-4223-86ff-dbc64b453de2))
+ (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer "F.Fab") (width 0.1) (tstamp adc31d14-e07d-45eb-999f-2df6f8344f3a))
+ (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer "F.Fab") (width 0.1) (tstamp cdd8eca2-b708-483f-b0b9-3b6cd3e6a6b7))
+ (pad "" np_thru_hole circle (at -7.62 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp 27b577db-f300-4b38-a69b-2e7890fb093d))
+ (pad "" np_thru_hole circle (at -2.54 5.08 270) (size 4 4) (drill 4) (layers *.Cu *.Mask) (tstamp 4153f353-4d32-462c-9ff6-adfc3ed472b0))
+ (pad "" np_thru_hole circle (at 2.54 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp fc317fdb-61bd-43c0-897d-64ba1bc92402))
+ (pad "1" thru_hole circle (at 0 0 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 59 "Net-(D6-Pad2)") (pinfunction "1") (tstamp d8727260-b885-4be5-a244-67709a1f6c92))
+ (pad "2" thru_hole circle (at -6.35 2.54 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 26 "PA1") (pinfunction "2") (tstamp 85ee7156-c726-414e-84bc-7acd94daf475))
+ (model "${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (layer "F.Cu") (tedit 5A02FE24) (tstamp 00000000-0000-0000-0000-00005eaf7f2f)
+ (at 215.28 81.04 -90)
+ (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
+ (tags "Cherry MX keyswitch 1.00u PCB")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb06ba6")
+ (attr through_hole)
+ (fp_text reference "SW3" (at -2.54 -2.794 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 5b288e4e-72a0-4fd0-b87d-9a809afd21cb)
+ )
+ (fp_text value "SW_Push" (at -2.54 12.954 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp b66b091a-5c2b-470d-a4f2-98918e150e2a)
+ )
+ (fp_text user "${REFERENCE}" (at -2.54 -2.794 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 0035e844-6f47-438b-9eeb-f0ccfe4f2196)
+ )
+ (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer "F.SilkS") (width 0.12) (tstamp 1850501c-4558-4fd5-94da-6de46fb6da8f))
+ (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer "F.SilkS") (width 0.12) (tstamp 2515955a-a61f-440c-826e-78f6d9730217))
+ (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer "F.SilkS") (width 0.12) (tstamp 312bc9aa-d4ff-4c9b-8d13-bdc442bf2315))
+ (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer "F.SilkS") (width 0.12) (tstamp 4d5cff13-b4c2-4e70-82e3-27698ba217b7))
+ (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer "Dwgs.User") (width 0.15) (tstamp 0f3d7ef0-6690-45b9-97d8-5688ebf8aa3b))
+ (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer "Dwgs.User") (width 0.15) (tstamp 4df31e48-b841-48e2-9da9-891e1f14765d))
+ (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp 973824b8-a744-47e3-97e4-e2bd4c314e4b))
+ (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp da39ac52-b019-4fcf-a727-d27d1de8a2b9))
+ (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp 32bcf555-7a78-43d5-ba18-07b16ccf55fd))
+ (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer "F.CrtYd") (width 0.05) (tstamp 64811825-de77-403e-a029-c83e37dc4aea))
+ (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp 8ecaa3cb-35fc-4658-b151-07ee968085ed))
+ (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer "F.CrtYd") (width 0.05) (tstamp 8f2d18a0-48ea-4087-8baa-79dc81501049))
+ (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer "F.Fab") (width 0.1) (tstamp 3bcd603e-d2e9-472d-940b-c89334ed79ef))
+ (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer "F.Fab") (width 0.1) (tstamp 44372779-2148-4061-ada5-291adf241ace))
+ (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer "F.Fab") (width 0.1) (tstamp a40c5f79-d575-4ac2-8bae-0f4d4823aa81))
+ (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer "F.Fab") (width 0.1) (tstamp e81f25aa-21e4-4def-bcf7-32b2dfd42cca))
+ (pad "" np_thru_hole circle (at -2.54 5.08 270) (size 4 4) (drill 4) (layers *.Cu *.Mask) (tstamp 1169e3da-3ddf-4b49-8248-0a38b618eb30))
+ (pad "" np_thru_hole circle (at -7.62 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp 52313530-2426-4d16-9fae-5883ebda931f))
+ (pad "" np_thru_hole circle (at 2.54 5.08 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp 92044c0e-e28d-4fa2-8257-f88d76df4e36))
+ (pad "1" thru_hole circle (at 0 0 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 57 "Net-(D4-Pad2)") (pinfunction "1") (tstamp c1408af9-8719-4e00-ac2b-5ee440e298c3))
+ (pad "2" thru_hole circle (at -6.35 2.54 270) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 26 "PA1") (pinfunction "2") (tstamp d541d848-2ca7-41a1-970a-faf22b5cac2a))
+ (model "${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "MountingHole:MountingHole_3.2mm_M3_DIN965" (layer "F.Cu") (tedit 56D1B4CB) (tstamp 00000000-0000-0000-0000-00005eafdd61)
+ (at 221.2 89.5)
+ (descr "Mounting Hole 3.2mm, no annular, M3, DIN965")
+ (tags "mounting hole 3.2mm no annular m3 din965")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005ec8dbfd")
+ (attr exclude_from_pos_files)
+ (fp_text reference "H1" (at 0 -3.8) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 69550781-4afe-4925-bc9f-04b30f463211)
+ )
+ (fp_text value "MountingHole" (at 0 3.8) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 69cda631-cd46-42d6-89d3-54037c5a0bd3)
+ )
+ (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1ac543a6-933c-4e8a-9cab-12518cafdf1f)
+ )
+ (fp_circle (center 0 0) (end 2.8 0) (layer "Cmts.User") (width 0.15) (tstamp 70c731fd-0581-437f-b988-2ec8be7a80e1))
+ (fp_circle (center 0 0) (end 3.05 0) (layer "F.CrtYd") (width 0.05) (tstamp d0e3603c-7f5b-41de-9345-2f30ea13ac3b))
+ (pad "1" np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Mask) (tstamp bc6e9936-7bdf-4abe-b74a-0a4aefca795b))
+ )
+
+ (module "MountingHole:MountingHole_3.2mm_M3_DIN965" (layer "F.Cu") (tedit 56D1B4CB) (tstamp 00000000-0000-0000-0000-00005eafdd69)
+ (at 139.2 47.5)
+ (descr "Mounting Hole 3.2mm, no annular, M3, DIN965")
+ (tags "mounting hole 3.2mm no annular m3 din965")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005ec8f214")
+ (attr exclude_from_pos_files)
+ (fp_text reference "H2" (at 0 -3.8) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp c97a1f7f-4259-4daf-9530-b8209eb5d93b)
+ )
+ (fp_text value "MountingHole" (at 0 3.8) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 83b8cb4c-9f83-446a-80cf-7aae2c3c9c07)
+ )
+ (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 546da188-4c29-408d-a5a9-be899fe61fa4)
+ )
+ (fp_circle (center 0 0) (end 2.8 0) (layer "Cmts.User") (width 0.15) (tstamp 75d76baf-d964-4f1b-bea6-2bdd71d09f4d))
+ (fp_circle (center 0 0) (end 3.05 0) (layer "F.CrtYd") (width 0.05) (tstamp 2975e702-90c1-4151-8282-68f26bd50afe))
+ (pad "1" np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Mask) (tstamp 1b021085-c623-46c0-9e39-732296993141))
+ )
+
+ (module "MountingHole:MountingHole_3.2mm_M3_DIN965" (layer "F.Cu") (tedit 56D1B4CB) (tstamp 00000000-0000-0000-0000-00005eafdd79)
+ (at 221.2 47.5)
+ (descr "Mounting Hole 3.2mm, no annular, M3, DIN965")
+ (tags "mounting hole 3.2mm no annular m3 din965")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005ec926f2")
+ (attr exclude_from_pos_files)
+ (fp_text reference "H4" (at 0 -3.8) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp af6f7412-420f-4abc-96a4-90a2665f3981)
+ )
+ (fp_text value "MountingHole" (at 0 3.8) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 07f28e57-2c18-4d1d-b369-08e525ab86ff)
+ )
+ (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 512e03e6-7a8a-44d3-9b54-33eb7978bc44)
+ )
+ (fp_circle (center 0 0) (end 2.8 0) (layer "Cmts.User") (width 0.15) (tstamp 3856179f-a664-4ff5-b0e8-e426f6a9e44c))
+ (fp_circle (center 0 0) (end 3.05 0) (layer "F.CrtYd") (width 0.05) (tstamp dce0ef60-43f3-4d75-8def-8947f3daf528))
+ (pad "1" np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Mask) (tstamp 014e750c-6c58-42da-8be0-42cf4fa91e56))
+ )
+
+ (module "MountingHole:MountingHole_3.2mm_M3_DIN965" (layer "F.Cu") (tedit 56D1B4CB) (tstamp 00000000-0000-0000-0000-00005eafe54b)
+ (at 139.2 89.5)
+ (descr "Mounting Hole 3.2mm, no annular, M3, DIN965")
+ (tags "mounting hole 3.2mm no annular m3 din965")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005ec925ec")
+ (attr exclude_from_pos_files)
+ (fp_text reference "H3" (at 0 -3.8) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 2dfd7f94-efe4-4b2a-99bf-2017514a6d7f)
+ )
+ (fp_text value "MountingHole" (at 0 3.8) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 5677b9f5-f30b-4af8-b1ab-0d29fba7a63e)
+ )
+ (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 05134aec-c28e-4dc2-83c2-47a212e2aaaf)
+ )
+ (fp_circle (center 0 0) (end 2.8 0) (layer "Cmts.User") (width 0.15) (tstamp 4dc020ec-2bd9-4a06-a3e8-3b78bec46e28))
+ (fp_circle (center 0 0) (end 3.05 0) (layer "F.CrtYd") (width 0.05) (tstamp 3454e6fc-25d7-48f8-bd3b-ec5a2f88527d))
+ (pad "1" np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Mask) (tstamp 3740261d-aef6-4afb-8fb8-9566570c60b1))
+ )
+
+ (module "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (layer "F.Cu") (tedit 5A02FE24) (tstamp 00000000-0000-0000-0000-00005eafe780)
+ (at 165.12 75.96 90)
+ (descr "Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf")
+ (tags "Cherry MX keyswitch 1.00u PCB")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb08551")
+ (attr through_hole)
+ (fp_text reference "SW6" (at -2.54 -2.794 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp eaadcb9b-6c4c-4e73-9d1d-421ce2235517)
+ )
+ (fp_text value "SW_Push" (at -2.54 12.954 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1eb41d7f-01aa-4ea0-9f36-ed9608e98388)
+ )
+ (fp_text user "${REFERENCE}" (at -2.54 -2.794 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp d328c035-fa0f-4102-8c3d-c98851dec7d5)
+ )
+ (fp_line (start -9.525 12.065) (end -9.525 -1.905) (layer "F.SilkS") (width 0.12) (tstamp 2155fb62-d0e7-4cf2-8dbd-5606e95c783c))
+ (fp_line (start 4.445 -1.905) (end 4.445 12.065) (layer "F.SilkS") (width 0.12) (tstamp 4e89db97-11df-4fbf-bfdc-b72560b2e6f3))
+ (fp_line (start -9.525 -1.905) (end 4.445 -1.905) (layer "F.SilkS") (width 0.12) (tstamp befb1725-05db-4238-8c3c-aa6473503d07))
+ (fp_line (start 4.445 12.065) (end -9.525 12.065) (layer "F.SilkS") (width 0.12) (tstamp c5b158fe-1b37-4d87-bf94-d80f8c71e3c6))
+ (fp_line (start -12.065 -4.445) (end 6.985 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp 0acacf43-cbca-4658-abd9-a20f9fafdfc7))
+ (fp_line (start 6.985 14.605) (end -12.065 14.605) (layer "Dwgs.User") (width 0.15) (tstamp 1747e9ef-115c-4ce1-8390-f7d133460d2c))
+ (fp_line (start 6.985 -4.445) (end 6.985 14.605) (layer "Dwgs.User") (width 0.15) (tstamp 1fee8bd2-2b12-4933-9a11-3aae6c61d783))
+ (fp_line (start -12.065 14.605) (end -12.065 -4.445) (layer "Dwgs.User") (width 0.15) (tstamp 821a9dc3-3e8e-4bf9-8435-6da0db9bee69))
+ (fp_line (start -9.14 -1.52) (end 4.06 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp 01d5ffd4-fac5-4c52-b52c-62bfe4256175))
+ (fp_line (start 4.06 11.68) (end -9.14 11.68) (layer "F.CrtYd") (width 0.05) (tstamp 78202030-a80b-4562-a20d-6149ec3d75ab))
+ (fp_line (start -9.14 11.68) (end -9.14 -1.52) (layer "F.CrtYd") (width 0.05) (tstamp 9e2d8cd7-3b95-4ece-8c68-123b085f7981))
+ (fp_line (start 4.06 -1.52) (end 4.06 11.68) (layer "F.CrtYd") (width 0.05) (tstamp f502a024-a8a4-4f57-be16-e52286a0697a))
+ (fp_line (start -8.89 11.43) (end -8.89 -1.27) (layer "F.Fab") (width 0.1) (tstamp 5bbdd01d-c7bd-4c62-89ca-34f36bceb8e7))
+ (fp_line (start 3.81 11.43) (end -8.89 11.43) (layer "F.Fab") (width 0.1) (tstamp 8494a28b-eb18-4760-8d0a-13d0d2f1fbd5))
+ (fp_line (start 3.81 -1.27) (end 3.81 11.43) (layer "F.Fab") (width 0.1) (tstamp d46e75a6-121d-4390-99c1-a0b98a5c2368))
+ (fp_line (start -8.89 -1.27) (end 3.81 -1.27) (layer "F.Fab") (width 0.1) (tstamp d6cbe8a8-b5b1-4e9e-818d-f3a89267c860))
+ (pad "" np_thru_hole circle (at 2.54 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp 8f4dbfe9-91b5-4d13-afe9-4a2459116fe6))
+ (pad "" np_thru_hole circle (at -7.62 5.08 90) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask) (tstamp bea138af-0298-414e-99a5-283b73dae612))
+ (pad "" np_thru_hole circle (at -2.54 5.08 90) (size 4 4) (drill 4) (layers *.Cu *.Mask) (tstamp c81ce67f-b867-4eed-bcc4-73f48062ca24))
+ (pad "1" thru_hole circle (at 0 0 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 51 "Net-(D7-Pad1)") (pinfunction "1") (tstamp e3993054-8310-4e42-8dca-edf9e912fb59))
+ (pad "2" thru_hole circle (at -6.35 2.54 90) (size 2.2 2.2) (drill 1.5) (layers *.Cu *.Mask)
+ (net 26 "PA1") (pinfunction "2") (tstamp e8f22a4c-ff56-4a51-9b69-3e09b3a86fbe))
+ (model "${KISYS3DMOD}/Button_Switch_Keyboard.3dshapes/SW_Cherry_MX_1.00u_PCB.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005cc77a7e)
+ (at 188.1 69)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc98a03")
+ (attr smd)
+ (fp_text reference "C6" (at 0 1.43 180) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 2dd18273-c5af-4efc-b8fa-978638e637b1)
+ )
+ (fp_text value "100n 50V" (at 0 -1.43 180) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp ab3c54de-9389-41fe-b03d-8780624d3d6e)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 180) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp 460c2801-f686-4808-94c1-051e00c73a1a)
+ )
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 189222b4-8cef-4d9e-92e7-6bafd2aa0564))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 4a8c7bf0-d4c1-4929-818c-673af2d5f3e0))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 6c5c259a-caa2-41c2-adfc-6053635410de))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp ab7faccb-1b44-4b78-a189-d1777dc645e6))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp b45ab721-1bb4-44b9-830f-fed4ba7caecb))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp e5f051bb-f582-4a51-8945-78b1b069998f))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 4d5e29c9-ba86-435c-932c-056308c76792))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 8745fb27-2bcc-4b53-9882-a995d6d5eddb))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 908979de-3cf1-41ce-9706-a9aaad95bdfc))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp a858f0dc-1869-48ca-bd40-9527c3dd255a))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 2a441548-cb5e-43d8-95be-4a75c2bb157e))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 1f446d6d-aa36-41f7-a1ab-65cd0f6e3577))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (layer "B.Cu") (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005cc7aa37)
+ (at 204.8 52 90)
+ (descr "module CMS SOT223 4 pins")
+ (tags "CMS SOT")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/8b8dfb3f-faa0-467e-adec-8cbf2b907b99")
+ (attr smd)
+ (fp_text reference "U3" (at 0 4.5 90) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp efdc5a19-c86c-4a43-a658-9aac304caa77)
+ )
+ (fp_text value "AMS1117-3.3" (at 0 -4.5 90) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp b16448fc-0e88-4ea9-a4ef-cf00faed1b7a)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror))
+ (tstamp d57908fe-20dd-4f8b-99ff-7bc70180cf8f)
+ )
+ (fp_line (start -1.85 -3.41) (end 1.91 -3.41) (layer "B.SilkS") (width 0.12) (tstamp 434a01be-f9ec-414b-b873-7f3288bbac45))
+ (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer "B.SilkS") (width 0.12) (tstamp 44d48ef7-7998-4ae2-ab65-0cc1da61de03))
+ (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer "B.SilkS") (width 0.12) (tstamp 556faf0b-d8b5-43dc-94d0-a4c351cd79a5))
+ (fp_line (start -4.1 3.41) (end 1.91 3.41) (layer "B.SilkS") (width 0.12) (tstamp c9e6c440-7181-460e-84f4-4359a9df27c9))
+ (fp_line (start -4.4 -3.6) (end 4.4 -3.6) (layer "B.CrtYd") (width 0.05) (tstamp 0cdf030e-5ee2-4133-9c7d-7631223cfb28))
+ (fp_line (start -4.4 3.6) (end -4.4 -3.6) (layer "B.CrtYd") (width 0.05) (tstamp 3a2bed53-d7e4-4293-8226-e04e3343ac3d))
+ (fp_line (start 4.4 -3.6) (end 4.4 3.6) (layer "B.CrtYd") (width 0.05) (tstamp 76c49eb7-f9a4-4378-87c1-6d5b9d6f56b4))
+ (fp_line (start 4.4 3.6) (end -4.4 3.6) (layer "B.CrtYd") (width 0.05) (tstamp feb92031-a9cc-4214-93dd-d6b779e8b471))
+ (fp_line (start 1.85 3.35) (end 1.85 -3.35) (layer "B.Fab") (width 0.1) (tstamp 16b0b5d8-494b-45bd-b76f-7e743282da3b))
+ (fp_line (start -1.85 2.35) (end -1.85 -3.35) (layer "B.Fab") (width 0.1) (tstamp 1d21d110-d2ea-4985-ae99-771d60d039c5))
+ (fp_line (start -0.85 3.35) (end 1.85 3.35) (layer "B.Fab") (width 0.1) (tstamp 889dc482-8b37-48db-9f89-0b40d3dcf82e))
+ (fp_line (start -1.85 -3.35) (end 1.85 -3.35) (layer "B.Fab") (width 0.1) (tstamp a63b66a4-529f-4f08-939c-4af9c248225c))
+ (fp_line (start -1.85 2.35) (end -0.85 3.35) (layer "B.Fab") (width 0.1) (tstamp df1e67e5-f275-4c6e-bf9a-c14acd5237a9))
+ (pad "1" smd rect (at -3.15 2.3 90) (size 2 1.5) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 1 "GND") (pinfunction "GND") (tstamp 24f27dc3-f58a-4cd4-98e7-1fcf3bb0d8a5))
+ (pad "2" smd rect (at -3.15 0 90) (size 2 1.5) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 2 "+3V3") (pinfunction "VO") (tstamp 60f2e6d5-59de-4b19-aba7-cc976c0ab1f4))
+ (pad "2" smd rect (at 3.15 0 90) (size 2 3.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 2 "+3V3") (pinfunction "VO") (tstamp e627131e-63bb-418c-bb6a-cfafb7aa2de4))
+ (pad "3" smd rect (at -3.15 -2.3 90) (size 2 1.5) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 19 "VIN") (pinfunction "VI") (tstamp ddcc0c88-13cc-4f08-b708-a7e1fd3b6052))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005cc7aa6f)
+ (at 189.2 46 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc6b319")
+ (attr smd)
+ (fp_text reference "R5" (at 0 1.43 270) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 2dc29c70-16de-4c3c-a1e1-fdb1a560f53b)
+ )
+ (fp_text value "3k3" (at 0 -1.43 270) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 7a6bbce0-d111-4ea8-8f54-440dc4fd2ca8)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp 0b5ec6a0-8cfa-48a6-80b0-31dc5ba7ea63)
+ )
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 7c7feea1-d64e-41f0-8771-93c932af68f5))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp e6fb885a-2b20-4fd9-b9e1-405e8eef6fb3))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2a0b8615-eb94-4e7e-b01d-5a7d928ca95b))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp b1dabb23-5304-49db-ab60-017f18a06007))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp b5f82c4b-b823-474b-95ca-6f5d96abc996))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp c8434a7e-90b7-4c1a-b35a-191b6aae998f))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 0e0529ee-3a73-433d-a258-e4071ad49988))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 5871d9d5-7730-478d-bd94-0e90e4c62823))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp b738649f-7d0e-405f-8b50-8c99d79a4a2d))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp bab4d851-2a5a-4ce6-ae9a-0e0f11bc1939))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 20 "Net-(J7-Pad1)") (tstamp 9a862ebc-db67-4ed8-acb4-107ecd4abf9c))
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp f412a190-3b38-4f2f-b838-47c17d355779))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0805_2012Metric" (layer "B.Cu") (tedit 5B36C52B) (tstamp 00000000-0000-0000-0000-00005cc7aacb)
+ (at 188.1 67.2)
+ (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "LCSC" "C15850")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc7c363")
+ (attr smd)
+ (fp_text reference "C4" (at 1.37 -3.01 90) (layer "B.SilkS")
+ (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
+ (tstamp bcb9e00b-4d13-4035-9169-2ce973407266)
+ )
+ (fp_text value "10u 25V" (at 0 -1.65) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 2c4d8843-be3b-4b6f-aa72-221a6a706745)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror))
+ (tstamp b9ba9200-9e5f-43d1-bb94-80e875f8f144)
+ )
+ (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer "B.SilkS") (width 0.12) (tstamp 2c5c5d2b-7d99-41b1-937c-68bab8194a14))
+ (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer "B.SilkS") (width 0.12) (tstamp c0226834-6827-470e-9212-06734178e3d0))
+ (fp_line (start -1.68 0.95) (end 1.68 0.95) (layer "B.CrtYd") (width 0.05) (tstamp 0704dee2-1aec-4c28-b262-875bd14e3353))
+ (fp_line (start 1.68 -0.95) (end -1.68 -0.95) (layer "B.CrtYd") (width 0.05) (tstamp bc1cdae3-6a73-480f-87e7-947501dff5b4))
+ (fp_line (start -1.68 -0.95) (end -1.68 0.95) (layer "B.CrtYd") (width 0.05) (tstamp cb6cacbf-6c33-4002-8751-91cbd0eacfb8))
+ (fp_line (start 1.68 0.95) (end 1.68 -0.95) (layer "B.CrtYd") (width 0.05) (tstamp f93902bb-e282-4731-98f9-1566c2ccb4eb))
+ (fp_line (start 1 0.6) (end 1 -0.6) (layer "B.Fab") (width 0.1) (tstamp 3decee27-91c7-40a3-b818-e3b740c44b2a))
+ (fp_line (start 1 -0.6) (end -1 -0.6) (layer "B.Fab") (width 0.1) (tstamp 5c8f507b-0f57-4389-ab1b-c3190dcfa758))
+ (fp_line (start -1 0.6) (end 1 0.6) (layer "B.Fab") (width 0.1) (tstamp 64154b37-05ed-4ec1-b682-a3ec38b21c56))
+ (fp_line (start -1 -0.6) (end -1 0.6) (layer "B.Fab") (width 0.1) (tstamp d17f5dc6-d3b8-420b-96f2-c78889045268))
+ (pad "1" smd roundrect (at -0.9375 0) (size 0.975 1.4) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 922de9fb-a106-4898-9a83-831da40ed18b))
+ (pad "2" smd roundrect (at 0.9375 0) (size 0.975 1.4) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp dbb0831b-a334-4556-9bac-a5da8a18f638))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Button_Switch_SMD:SW_SPST_PTS810" (layer "B.Cu") (tedit 5B0610A8) (tstamp 00000000-0000-0000-0000-00005cc7ac0f)
+ (at 192.2 47.75 -90)
+ (descr "C&K Components, PTS 810 Series, Microminiature SMT Top Actuated, http://www.ckswitches.com/media/1476/pts810.pdf")
+ (tags "SPST Button Switch")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc6c487")
+ (attr smd)
+ (fp_text reference "SW1" (at -0.625 1.288 -90) (layer "B.SilkS")
+ (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
+ (tstamp 43a79f0a-0a41-4bef-bea4-d2b1fba4800f)
+ )
+ (fp_text value "DFU" (at 0 -2.6 -90) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 054720ab-5347-4cb5-adbb-f329b8bca6a4)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "B.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror))
+ (tstamp 7f308d56-dd56-41a3-88be-2941e0ecb4c3)
+ )
+ (fp_line (start -2.2 -1.7) (end 2.2 -1.7) (layer "B.SilkS") (width 0.12) (tstamp 2af7cd45-ec92-4a88-bb12-e24d6f7faf74))
+ (fp_line (start -2.2 1.7) (end -2.2 1.58) (layer "B.SilkS") (width 0.12) (tstamp 32d5eb9e-a549-46f8-a371-2fc4890a539c))
+ (fp_line (start 2.2 1.58) (end 2.2 1.7) (layer "B.SilkS") (width 0.12) (tstamp 88f35078-a388-4e49-b84d-645bad852cb4))
+ (fp_line (start 2.2 1.7) (end -2.2 1.7) (layer "B.SilkS") (width 0.12) (tstamp 8f155c69-f06e-4faa-9504-314a727908c6))
+ (fp_line (start 2.2 -1.7) (end 2.2 -1.58) (layer "B.SilkS") (width 0.12) (tstamp 9b64e04c-e495-413c-bf81-bf259309dec7))
+ (fp_line (start -2.2 0.57) (end -2.2 -0.57) (layer "B.SilkS") (width 0.12) (tstamp b2abf977-4f7c-48ca-821b-fcbd2302a0ae))
+ (fp_line (start -2.2 -1.58) (end -2.2 -1.7) (layer "B.SilkS") (width 0.12) (tstamp c06410f3-b662-4c53-ae45-b0a759cd3295))
+ (fp_line (start 2.2 -0.57) (end 2.2 0.57) (layer "B.SilkS") (width 0.12) (tstamp d74acfe7-6705-4dd7-9527-61224f1156e4))
+ (fp_line (start 2.85 1.85) (end 2.85 -1.85) (layer "B.CrtYd") (width 0.05) (tstamp 4bb23ce7-86fd-4e9f-a14c-bb0008e00b43))
+ (fp_line (start 2.85 -1.85) (end -2.85 -1.85) (layer "B.CrtYd") (width 0.05) (tstamp 72d4c193-677c-4591-9428-496e416a633c))
+ (fp_line (start -2.85 -1.85) (end -2.85 1.85) (layer "B.CrtYd") (width 0.05) (tstamp e2c802f4-e8b9-4f4a-a05f-9ee7706350a3))
+ (fp_line (start -2.85 1.85) (end 2.85 1.85) (layer "B.CrtYd") (width 0.05) (tstamp f3aa8ce3-b2ae-4709-ac28-e392c56c0195))
+ (fp_line (start -0.4 1.1) (end 0.4 1.1) (layer "B.Fab") (width 0.1) (tstamp 10e5c97f-5a5d-443f-918c-9f4bb99be1f9))
+ (fp_line (start -2.1 1.6) (end -2.1 -1.6) (layer "B.Fab") (width 0.1) (tstamp 52787ae2-3a59-4fcc-88b0-4f1fa2551887))
+ (fp_line (start 0.4 -1.1) (end -0.4 -1.1) (layer "B.Fab") (width 0.1) (tstamp ace78d46-b75a-482f-bd7e-b2333b3353de))
+ (fp_line (start 2.1 1.6) (end -2.1 1.6) (layer "B.Fab") (width 0.1) (tstamp b621ea73-e4c0-4bb7-a179-103eff9f5b56))
+ (fp_line (start -2.1 -1.6) (end 2.1 -1.6) (layer "B.Fab") (width 0.1) (tstamp bfc0fae6-d0ef-4cde-89c0-1f6fd6d18774))
+ (fp_line (start 2.1 -1.6) (end 2.1 1.6) (layer "B.Fab") (width 0.1) (tstamp f64fa83d-4eec-491e-a7aa-80a6557b3a81))
+ (fp_arc (start 0.4 0) (end 0.4 1.1) (angle -180) (layer "B.Fab") (width 0.1) (tstamp 40fd4b62-5802-48a8-8869-3381ee4e67e5))
+ (fp_arc (start -0.4 0) (end -0.4 -1.1) (angle -180) (layer "B.Fab") (width 0.1) (tstamp f606c5cb-2b6f-404b-931a-b98bbb69db71))
+ (pad "1" smd rect (at -2.075 1.075 270) (size 1.05 0.65) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 21 "Net-(R13-Pad1)") (pinfunction "1") (tstamp 44e97eaa-bda7-4f52-b831-587a37fdaa48))
+ (pad "1" smd rect (at 2.075 1.075 270) (size 1.05 0.65) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 21 "Net-(R13-Pad1)") (pinfunction "1") (tstamp 6b5dbd2c-b691-41c9-9098-7ee0ded75fe4))
+ (pad "2" smd rect (at -2.075 -1.075 270) (size 1.05 0.65) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 2 "+3V3") (pinfunction "2") (tstamp 17f71439-1d13-4fd2-99d6-cf61bd1a78cc))
+ (pad "2" smd rect (at 2.075 -1.075 270) (size 1.05 0.65) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 2 "+3V3") (pinfunction "2") (tstamp be5cc292-d0dd-4a65-b3ec-52f3a0ed847c))
+ (model "${KISYS3DMOD}/Button_Switch_SMD.3dshapes/SW_SPST_PTS810.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0805_2012Metric" (layer "B.Cu") (tedit 5B36C52B) (tstamp 00000000-0000-0000-0000-00005cc7ac63)
+ (at 196.45 53.75 -90)
+ (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "LCSC" "C15850")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc7b876")
+ (attr smd)
+ (fp_text reference "C1" (at 1.98 -0.01 90) (layer "B.SilkS")
+ (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
+ (tstamp 0bf6391a-88b4-4cf3-be59-d98ffaf58ccb)
+ )
+ (fp_text value "10u 25V" (at 0 -1.65 -90) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 880de42e-6556-409e-b3db-77e0f9801d6f)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "B.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror))
+ (tstamp 83d59989-7a89-431a-9c27-f6d4fdf6eb66)
+ )
+ (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer "B.SilkS") (width 0.12) (tstamp a231e3be-e29a-4704-96dc-1e41d56235c8))
+ (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer "B.SilkS") (width 0.12) (tstamp c59f5cc7-08a7-4234-b441-210c739d36b3))
+ (fp_line (start -1.68 -0.95) (end -1.68 0.95) (layer "B.CrtYd") (width 0.05) (tstamp 358abc7b-4408-466e-b8fc-8a4e084e623b))
+ (fp_line (start 1.68 0.95) (end 1.68 -0.95) (layer "B.CrtYd") (width 0.05) (tstamp 60e5a52e-0aa2-4ddd-83fa-05a6dafb6e26))
+ (fp_line (start 1.68 -0.95) (end -1.68 -0.95) (layer "B.CrtYd") (width 0.05) (tstamp 7fddb061-d121-4ede-a2e8-9f660c2b3db9))
+ (fp_line (start -1.68 0.95) (end 1.68 0.95) (layer "B.CrtYd") (width 0.05) (tstamp 7fde2c18-0793-411f-84af-12b9e152417d))
+ (fp_line (start -1 0.6) (end 1 0.6) (layer "B.Fab") (width 0.1) (tstamp 178119cf-bade-4842-a58a-ffa46926bfbe))
+ (fp_line (start 1 -0.6) (end -1 -0.6) (layer "B.Fab") (width 0.1) (tstamp 3258a00c-fea6-429b-8b71-a26d19fadd49))
+ (fp_line (start -1 -0.6) (end -1 0.6) (layer "B.Fab") (width 0.1) (tstamp 533f90ad-7bc9-4ef4-9909-a42bb18b029d))
+ (fp_line (start 1 0.6) (end 1 -0.6) (layer "B.Fab") (width 0.1) (tstamp fa50b37a-3b1a-4a88-b01e-e9fc73a063f9))
+ (pad "1" smd roundrect (at -0.9375 0 270) (size 0.975 1.4) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 19 "VIN") (tstamp 8871d18e-f09f-436a-a472-9f9a2a1c7f48))
+ (pad "2" smd roundrect (at 0.9375 0 270) (size 0.975 1.4) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 38327ed4-a0d9-4580-9ab5-c76565d92ba6))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "TestPoint:TestPoint_Pad_1.0x1.0mm" (layer "B.Cu") (tedit 5DB0E111) (tstamp 00000000-0000-0000-0000-00005dbe5a0f)
+ (at 187.3 47.8 -90)
+ (descr "SMD rectangular pad as test Point, square 1.0mm side length")
+ (tags "test point SMD pad rectangle square")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005db0ecb3")
+ (attr exclude_from_pos_files)
+ (fp_text reference "J7" (at 0 1.448 -90) (layer "B.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 1adc0b40-2941-4531-8d35-f25b30ea6f2a)
+ )
+ (fp_text value "PAD" (at 0 -1.55 -90) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 139a7068-b6f6-42e9-8a7a-3b6a4f87f101)
+ )
+ (fp_text user "${REFERENCE}" (at 0 1.45 -90) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 9b6d9e41-951c-4096-ad07-dda1f81ec7b0)
+ )
+ (fp_line (start -0.7 -0.7) (end -0.7 0.7) (layer "B.SilkS") (width 0.12) (tstamp 13e2b92b-5117-4c10-91c1-95554c9321b6))
+ (fp_line (start -0.7 0.7) (end 0.7 0.7) (layer "B.SilkS") (width 0.12) (tstamp 451b1a65-a5b2-486c-82bd-47dfa8c0a262))
+ (fp_line (start 0.7 -0.7) (end -0.7 -0.7) (layer "B.SilkS") (width 0.12) (tstamp dc80e10b-d49b-423d-a438-962dca731cae))
+ (fp_line (start 0.7 0.7) (end 0.7 -0.7) (layer "B.SilkS") (width 0.12) (tstamp e5396384-2406-4f6d-a344-21eabb5e7343))
+ (fp_line (start 1 -1) (end -1 -1) (layer "B.CrtYd") (width 0.05) (tstamp 0f5e0e6e-9bc5-4311-aec6-2a89ca693b23))
+ (fp_line (start -1 1) (end -1 -1) (layer "B.CrtYd") (width 0.05) (tstamp 1e7cff78-a63f-477d-9eef-4986c5f95e79))
+ (fp_line (start 1 -1) (end 1 1) (layer "B.CrtYd") (width 0.05) (tstamp ea467ec8-460d-4b67-bf4f-3119f25952da))
+ (fp_line (start -1 1) (end 1 1) (layer "B.CrtYd") (width 0.05) (tstamp f7eec438-2a9a-409b-922d-4bef46ce8be8))
+ (pad "1" smd rect (at 0 0 270) (size 1 1) (layers "B.Cu" "B.Mask")
+ (net 20 "Net-(J7-Pad1)") (pinfunction "Pin_1") (tstamp 96a9614b-aa1f-4543-b62a-60b78f1ebe9c))
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005dbe6399)
+ (at 174.5 73 -90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc9bb08")
+ (attr smd)
+ (fp_text reference "C9" (at 0 1.43 -90) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 5352251c-5d8f-4441-8837-06578d0cecd4)
+ )
+ (fp_text value "100n 50V" (at 0 -1.43 -90) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp e4c3173b-436d-4157-900b-c5262dda9ef5)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp 4a8c9197-ad7f-43d9-9f38-8d245e896702)
+ )
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 49244509-68bd-4fdc-853e-95040e4721cf))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp d2ff762a-1684-4a69-a764-757fba62f618))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp b866df99-f8e6-4785-a9e0-56b595a6911d))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp bb5125e6-c7e1-4b64-8dc7-b72eee244674))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp cc4368ba-7507-4f96-8901-4d7c4134eb7f))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp cceb428a-9ca9-4795-a909-26e7fe213819))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 5c405f69-cd47-4149-a38e-00911fd1e0d4))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 6675a0e0-a2b8-40e1-82f2-e93fe9dd31fd))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp a91e356f-8c14-4903-b881-f93663ae75b2))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp ba9377ee-54a6-432d-b818-bd70f01da5c0))
+ (pad "1" smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp a30e2737-b0f6-417c-a928-cef210700b44))
+ (pad "2" smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 8b779389-948a-4193-8626-a15e98940b55))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005dbf22f5)
+ (at 189.2 49.625 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005db13626")
+ (attr smd)
+ (fp_text reference "R13" (at 0 1.43 270) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp e2012030-06aa-48f5-a1a9-eebe28e7630b)
+ )
+ (fp_text value "100" (at 0 -1.43 270) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 69382806-11db-439b-9168-061bf1bd520f)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp 6c89fb0d-07a5-4d78-8661-e7dc7fccdcd2)
+ )
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 21d8ba76-2268-4d36-a841-bfadc47d745e))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 8e63995d-d34a-4c4f-816f-0a79ec7ddb4d))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 36d5c5f4-3d8f-43f4-aa39-a3c60a51c5c8))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 8971096b-9aa9-416b-90e7-5b231d1c9a82))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp a1a4824d-4404-4c19-8b43-fd6f4e34ee57))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ed3ea70f-3d1d-4cce-a9ab-75b823d103d0))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 579c7283-5dda-4b4b-8070-0734859530df))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 7ce7822e-63c6-442b-81a3-e0d49e89220d))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 817a8612-f893-461b-ac0e-2d6d4fbfedf6))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp ba2618eb-0fa9-4415-939f-cac648abeec3))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 21 "Net-(R13-Pad1)") (tstamp f1002f3a-0f6c-461f-8209-3e480a222d1d))
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 20 "Net-(J7-Pad1)") (tstamp 2b2e985f-51c4-434e-ac48-f7541ea6f9c4))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005dbfb2df)
+ (at 200.7 46.25 180)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc7c738")
+ (attr smd)
+ (fp_text reference "C5" (at 0 1.43 180) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp d4c2256e-e023-4cf7-af7f-f1fa19d9e329)
+ )
+ (fp_text value "100n 50V" (at 0 -1.43 180) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp c64d8377-896c-463f-a01e-0cbc084f19a9)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 180) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp d2c5a4f8-8a0b-4eea-bce1-200dcb8f9703)
+ )
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 696028fa-6da1-458e-a612-4b8ddee61bb6))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp a3b7e77a-1000-4ec5-9b4a-90126e17dd26))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 07dba3e3-a0d0-4cdd-bc66-beeef54028fb))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 38360a93-6443-40a4-a58f-183bdb268fba))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp b2f9bb98-1516-4c02-b208-e80e5be766d2))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp fc4f1dc8-74c1-4da3-962e-ce0e7bdcfcdc))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 87e1fd6f-3503-43c8-8039-293a22e6096d))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp a0067be9-f51f-43b3-987c-60f292999c34))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp baea5ddb-f426-4602-a0e6-f0345fa800a2))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp ce348aff-7f9b-487d-bfdd-9705ecb38eee))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 45b4ea43-7fe6-4900-8618-5ab1e3bcc519))
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 91d8a3f4-e5dd-4d17-8d2d-803ac334ff9f))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0805_2012Metric" (layer "B.Cu") (tedit 5B36C52B) (tstamp 00000000-0000-0000-0000-00005dc7416a)
+ (at 200.7 48.25 180)
+ (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "LCSC" "C15850")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005dae2987")
+ (attr smd)
+ (fp_text reference "C11" (at 1.36 -2.25 90) (layer "B.SilkS")
+ (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
+ (tstamp 642a5548-13d5-434a-804e-e84c4e1b47f6)
+ )
+ (fp_text value "10u 25V" (at 0 -1.65) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 78ec5f24-5472-4db7-953c-1c47415a15bb)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.08)) (justify mirror))
+ (tstamp b2b27ebf-958d-490f-89bb-d47120850861)
+ )
+ (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer "B.SilkS") (width 0.12) (tstamp 00e4533e-d55b-400c-93ea-7d388aba7f7f))
+ (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer "B.SilkS") (width 0.12) (tstamp a332f1cb-831d-4b93-9d99-9c18795e389b))
+ (fp_line (start -1.68 0.95) (end 1.68 0.95) (layer "B.CrtYd") (width 0.05) (tstamp 3b0373c2-2e2c-4df5-967c-9366a46cbea8))
+ (fp_line (start 1.68 -0.95) (end -1.68 -0.95) (layer "B.CrtYd") (width 0.05) (tstamp 7d1e72e4-d515-4153-99ee-13b73632c82e))
+ (fp_line (start 1.68 0.95) (end 1.68 -0.95) (layer "B.CrtYd") (width 0.05) (tstamp b09439df-e93b-4db8-9b1c-77e40acef213))
+ (fp_line (start -1.68 -0.95) (end -1.68 0.95) (layer "B.CrtYd") (width 0.05) (tstamp f4913bea-e63f-4114-8ecf-17f3d3250d06))
+ (fp_line (start 1 -0.6) (end -1 -0.6) (layer "B.Fab") (width 0.1) (tstamp 1e276980-feed-443a-b1ab-f87f56d87862))
+ (fp_line (start 1 0.6) (end 1 -0.6) (layer "B.Fab") (width 0.1) (tstamp 3744ce5d-f4f4-4f61-9aef-4ad6fe4a63db))
+ (fp_line (start -1 -0.6) (end -1 0.6) (layer "B.Fab") (width 0.1) (tstamp 7a34ffed-0e5c-488b-81b4-52be2e3ce482))
+ (fp_line (start -1 0.6) (end 1 0.6) (layer "B.Fab") (width 0.1) (tstamp a76af1a6-a8aa-48c7-8d63-b3521afed2c6))
+ (pad "1" smd roundrect (at -0.9375 0 180) (size 0.975 1.4) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 6f5b4779-9675-4d28-9bba-370761419916))
+ (pad "2" smd roundrect (at 0.9375 0 180) (size 0.975 1.4) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp f4ef9e8a-c48e-4f18-88ae-fbe7e6c17e6c))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "otter:PinHeader_1x04_P2.54mm_Horizontal" (layer "B.Cu") (tedit 5DB0E1FD) (tstamp 00000000-0000-0000-0000-00005dcb66e8)
+ (at 214.2 71.5)
+ (descr "Through hole angled pin header, 1x04, 2.54mm pitch, 6mm pin length, single row")
+ (tags "Through hole angled pin header THT 1x04 2.54mm single row")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc91817")
+ (attr through_hole)
+ (fp_text reference "J5" (at 4.385 2.27 180) (layer "B.SilkS") hide
+ (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
+ (tstamp d420c59e-065f-4ce6-88c2-7bd3f26d751b)
+ )
+ (fp_text value "SWD" (at 4.385 -9.89 180) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp e8f404cf-16bf-4bf7-a82e-72f76af5e222)
+ )
+ (fp_text user "${REFERENCE}" (at 2.77 -3.81 90) (layer "B.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror))
+ (tstamp e0ccfe98-6746-4e75-a1bf-26b096a7179b)
+ )
+ (fp_line (start 4.1 -4.7) (end 10.1 -4.7) (layer "B.SilkS") (width 0.12) (tstamp 0980bf66-de5c-444c-b34f-3e702048805c))
+ (fp_line (start 10.1 -2.16) (end 10.1 -2.92) (layer "B.SilkS") (width 0.12) (tstamp 1ec0d228-739f-4309-aab4-ca82900e2e3e))
+ (fp_line (start 4.1 -0.04) (end 10.1 -0.04) (layer "B.SilkS") (width 0.12) (tstamp 2685af62-2c46-4c06-9e91-4cb871699ef0))
+ (fp_line (start 10.1 -7.24) (end 10.1 -8) (layer "B.SilkS") (width 0.12) (tstamp 3895be0d-3d66-4a32-962a-475ead56b6d8))
+ (fp_line (start 1.042929 -2.92) (end 1.44 -2.92) (layer "B.SilkS") (width 0.12) (tstamp 4493a1b6-61c1-4368-9c84-1c4f56f0f8c6))
+ (fp_line (start 1.042929 -2.16) (end 1.44 -2.16) (layer "B.SilkS") (width 0.12) (tstamp 49c1e336-318e-457c-b476-f373ea671c24))
+ (fp_line (start 4.1 -7.24) (end 10.1 -7.24) (layer "B.SilkS") (width 0.12) (tstamp 511edbbf-d616-4252-bb7c-2735bf2cf723))
+ (fp_line (start 4.1 0.32) (end 10.1 0.32) (layer "B.SilkS") (width 0.12) (tstamp 5c6e6001-457d-4500-987d-9fa4efa06c5e))
+ (fp_line (start 10.1 -2.92) (end 4.1 -2.92) (layer "B.SilkS") (width 0.12) (tstamp 62e17f89-9525-428e-84dd-b96b1af6ccc1))
+ (fp_line (start 4.1 -0.28) (end 10.1 -0.28) (layer "B.SilkS") (width 0.12) (tstamp 689ed21e-874e-496e-bbd7-6a0e73b43208))
+ (fp_line (start 4.1 -8.95) (end 4.1 1.33) (layer "B.SilkS") (width 0.12) (tstamp 6a89cab1-faaa-4331-a2d2-215d4a4e5e55))
+ (fp_line (start 1.44 -1.27) (end 4.1 -1.27) (layer "B.SilkS") (width 0.12) (tstamp 739ea15c-4761-4dba-b4ae-d4bb2d69c056))
+ (fp_line (start 10.1 -0.38) (end 4.1 -0.38) (layer "B.SilkS") (width 0.12) (tstamp 7569e3f4-1f28-4aa5-8318-2eff54876d27))
+ (fp_line (start 4.1 0.2) (end 10.1 0.2) (layer "B.SilkS") (width 0.12) (tstamp 7c44e150-bf6b-4599-8095-553e5b898132))
+ (fp_line (start 1.11 0.38) (end 1.44 0.38) (layer "B.SilkS") (width 0.12) (tstamp 84ec3343-28ff-4aca-ae8f-97759f714257))
+ (fp_line (start 1.44 -6.35) (end 4.1 -6.35) (layer "B.SilkS") (width 0.12) (tstamp 876a6b2e-d058-45dc-a67c-23c3012c2177))
+ (fp_line (start 1.042929 -8) (end 1.44 -8) (layer "B.SilkS") (width 0.12) (tstamp 8941b7f8-dbe7-435b-a8df-88a1fdb6ae5a))
+ (fp_line (start 1.11 -0.38) (end 1.44 -0.38) (layer "B.SilkS") (width 0.12) (tstamp 901d2ecc-6ffd-4ac9-89a2-8436a6ab4356))
+ (fp_line (start 1.042929 -4.7) (end 1.44 -4.7) (layer "B.SilkS") (width 0.12) (tstamp 9598ac65-5bf0-4b7f-b270-558116a1ddef))
+ (fp_line (start 4.1 -2.16) (end 10.1 -2.16) (layer "B.SilkS") (width 0.12) (tstamp 9962d906-40b2-41d3-be08-774c57322628))
+ (fp_line (start 4.1 0.08) (end 10.1 0.08) (layer "B.SilkS") (width 0.12) (tstamp a838a9d4-af96-4665-933f-26b148e9f659))
+ (fp_line (start 1.44 -8.95) (end 4.1 -8.95) (layer "B.SilkS") (width 0.12) (tstamp adef946f-0dfd-4fec-aa55-c0c683765c1c))
+ (fp_line (start 10.1 -8) (end 4.1 -8) (layer "B.SilkS") (width 0.12) (tstamp b1a99625-7484-4106-a0c3-4f222aa89891))
+ (fp_line (start 1.042929 -5.46) (end 1.44 -5.46) (layer "B.SilkS") (width 0.12) (tstamp b33fb9ab-f7b0-441a-b5bd-9100db69058b))
+ (fp_line (start 4.1 -0.16) (end 10.1 -0.16) (layer "B.SilkS") (width 0.12) (tstamp bacc98fc-267d-4254-acda-fed4a81cbf49))
+ (fp_line (start 1.44 1.33) (end 1.44 -8.95) (layer "B.SilkS") (width 0.12) (tstamp c4704e95-db29-43fc-8b45-8e5227bce6c8))
+ (fp_line (start 10.1 -5.46) (end 4.1 -5.46) (layer "B.SilkS") (width 0.12) (tstamp d1c85a5e-0f93-488a-9023-408368dede9c))
+ (fp_line (start 4.1 1.33) (end 1.44 1.33) (layer "B.SilkS") (width 0.12) (tstamp d43418cf-03e0-456e-a029-cc6d86d67dd1))
+ (fp_line (start 10.1 0.38) (end 10.1 -0.38) (layer "B.SilkS") (width 0.12) (tstamp e7c2f543-4f40-4e22-ab6b-e90370acbf62))
+ (fp_line (start 1.042929 -7.24) (end 1.44 -7.24) (layer "B.SilkS") (width 0.12) (tstamp f4efd0bb-144d-47dc-983c-7ee61e132faf))
+ (fp_line (start 1.44 -3.81) (end 4.1 -3.81) (layer "B.SilkS") (width 0.12) (tstamp f73e6b76-41b9-4ba0-8c65-025bbd3c025a))
+ (fp_line (start 10.1 -4.7) (end 10.1 -5.46) (layer "B.SilkS") (width 0.12) (tstamp f797a7be-f6c4-4d03-b566-635e93a5819a))
+ (fp_line (start 4.1 0.38) (end 10.1 0.38) (layer "B.SilkS") (width 0.12) (tstamp fc7f7dcc-de5d-4140-a5bd-67fe1107dde1))
+ (fp_line (start -1.8 -9.4) (end 10.55 -9.4) (layer "B.CrtYd") (width 0.05) (tstamp 533ddf64-2187-4bf7-a419-6c283c1c5d8f))
+ (fp_line (start 10.55 -9.4) (end 10.55 1.8) (layer "B.CrtYd") (width 0.05) (tstamp 864e602e-a679-43d1-ad7f-b6db7914858d))
+ (fp_line (start 10.55 1.8) (end -1.8 1.8) (layer "B.CrtYd") (width 0.05) (tstamp d9fdf9d6-6c41-48fe-9279-85de80e998f4))
+ (fp_line (start -1.8 1.8) (end -1.8 -9.4) (layer "B.CrtYd") (width 0.05) (tstamp efaf21e2-4556-4a86-b5d6-b5c4f5b9b125))
+ (fp_line (start -0.32 -2.22) (end -0.32 -2.86) (layer "B.Fab") (width 0.1) (tstamp 0dd14ed0-2b07-4439-9790-050039503553))
+ (fp_line (start 4.04 1.27) (end 4.04 -8.89) (layer "B.Fab") (width 0.1) (tstamp 1f4a1ed3-dcfe-4b5b-b801-be30c91a9a4f))
+ (fp_line (start -0.32 0.32) (end 1.5 0.32) (layer "B.Fab") (width 0.1) (tstamp 2015d71b-89eb-498e-b058-9046618e81ff))
+ (fp_line (start 4.04 -7.94) (end 10.04 -7.94) (layer "B.Fab") (width 0.1) (tstamp 3165d372-cc0a-4a9f-b505-d5b4f48ea486))
+ (fp_line (start 10.04 -4.76) (end 10.04 -5.4) (layer "B.Fab") (width 0.1) (tstamp 335ef7c0-c8b2-4d63-9260-f13abfa8eb9a))
+ (fp_line (start 1.5 -8.89) (end 1.5 0.635) (layer "B.Fab") (width 0.1) (tstamp 42174d1a-37a1-4627-8cd5-8e6c8585e631))
+ (fp_line (start 4.04 -2.86) (end 10.04 -2.86) (layer "B.Fab") (width 0.1) (tstamp 5e10123f-4a5f-4c9a-bca4-c1c74096445d))
+ (fp_line (start 4.04 0.32) (end 10.04 0.32) (layer "B.Fab") (width 0.1) (tstamp 5ed2b1c4-f7db-4c46-9369-7cb6cb1a8375))
+ (fp_line (start 4.04 -2.22) (end 10.04 -2.22) (layer "B.Fab") (width 0.1) (tstamp 6b5ef781-7837-4921-ac8c-bfbff2427881))
+ (fp_line (start 4.04 -4.76) (end 10.04 -4.76) (layer "B.Fab") (width 0.1) (tstamp 6fa2b489-fdaa-4ea6-ab6f-1c0be8b09d2a))
+ (fp_line (start 4.04 -5.4) (end 10.04 -5.4) (layer "B.Fab") (width 0.1) (tstamp 83af3e5d-c248-4074-b74d-6108114860fd))
+ (fp_line (start 4.04 -8.89) (end 1.5 -8.89) (layer "B.Fab") (width 0.1) (tstamp 91e6eb18-2f45-4dc7-8495-d83fc9b77a4b))
+ (fp_line (start 10.04 -7.3) (end 10.04 -7.94) (layer "B.Fab") (width 0.1) (tstamp 9385fb47-bf7f-4840-baa6-2aa6c71a8681))
+ (fp_line (start -0.32 -7.3) (end -0.32 -7.94) (layer "B.Fab") (width 0.1) (tstamp 9c962d0c-21bd-40f8-8886-454ca58c8b14))
+ (fp_line (start -0.32 -2.86) (end 1.5 -2.86) (layer "B.Fab") (width 0.1) (tstamp 9eae7055-6688-4698-870b-84845adbb692))
+ (fp_line (start -0.32 -4.76) (end 1.5 -4.76) (layer "B.Fab") (width 0.1) (tstamp a3e71fbe-f07c-4d88-b909-3061e4f8d9c2))
+ (fp_line (start -0.32 -5.4) (end 1.5 -5.4) (layer "B.Fab") (width 0.1) (tstamp a5b53420-ac36-477b-b7c9-695b9a4ff6e8))
+ (fp_line (start 4.04 -0.32) (end 10.04 -0.32) (layer "B.Fab") (width 0.1) (tstamp aa5a0599-d922-4d18-8ac4-c51f2b622d24))
+ (fp_line (start 4.04 -7.3) (end 10.04 -7.3) (layer "B.Fab") (width 0.1) (tstamp b59a3d4c-20f7-41bb-a751-0e79e718ec1c))
+ (fp_line (start -0.32 -4.76) (end -0.32 -5.4) (layer "B.Fab") (width 0.1) (tstamp b89c9d46-1d26-49d6-91cd-2520f990059d))
+ (fp_line (start -0.32 -7.3) (end 1.5 -7.3) (layer "B.Fab") (width 0.1) (tstamp bd618abc-21c0-4777-9fe5-b2d9d2f22e3b))
+ (fp_line (start 2.135 1.27) (end 4.04 1.27) (layer "B.Fab") (width 0.1) (tstamp c7224027-f07d-4aaa-ace8-47b2b914a346))
+ (fp_line (start 1.5 0.635) (end 2.135 1.27) (layer "B.Fab") (width 0.1) (tstamp c735ea7c-463f-4142-9b47-77865acbe5ee))
+ (fp_line (start -0.32 -7.94) (end 1.5 -7.94) (layer "B.Fab") (width 0.1) (tstamp cba45afd-dbb5-4430-bc18-ba823e1b4183))
+ (fp_line (start -0.32 -0.32) (end 1.5 -0.32) (layer "B.Fab") (width 0.1) (tstamp daa565d7-bb86-405d-a09d-4b58b005902f))
+ (fp_line (start -0.32 -2.22) (end 1.5 -2.22) (layer "B.Fab") (width 0.1) (tstamp db2196cd-8056-4ee3-908c-1036ca9f2e71))
+ (fp_line (start -0.32 0.32) (end -0.32 -0.32) (layer "B.Fab") (width 0.1) (tstamp e9720952-38ae-4780-85ff-62cb8b42ae7b))
+ (fp_line (start 10.04 -2.22) (end 10.04 -2.86) (layer "B.Fab") (width 0.1) (tstamp ea306025-4347-45c6-9dd3-247b81b3fff6))
+ (fp_line (start 10.04 0.32) (end 10.04 -0.32) (layer "B.Fab") (width 0.1) (tstamp fcdfb272-0c13-47a9-ae29-e8e471042fc2))
+ (pad "1" thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 2 "+3V3") (pinfunction "Pin_1") (tstamp 51ffb765-f96a-40d3-8555-84d3c67aae6f))
+ (pad "2" thru_hole oval (at 0 -2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 11 "SWDIO") (pinfunction "Pin_2") (tstamp dbb1ef02-205c-4f9d-bea6-4818a0415710))
+ (pad "3" thru_hole oval (at 0 -5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 10 "SWCLK") (pinfunction "Pin_3") (tstamp 8e106e9d-b181-4def-9562-eb96680554c4))
+ (pad "4" thru_hole oval (at 0 -7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 1 "GND") (pinfunction "Pin_4") (tstamp 289d62b2-a731-4a60-8461-a260b04144c6))
+ (model "${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Horizontal.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") (tedit 5DB0E9FB) (tstamp 00000000-0000-0000-0000-00005dcba123)
+ (at 198.45 53.75 -90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc7b488")
+ (attr smd)
+ (fp_text reference "C2" (at 1.62 0.36) (layer "B.SilkS")
+ (effects (font (size 0.4 0.4) (thickness 0.1)) (justify mirror))
+ (tstamp d0793288-41e5-4267-bfae-0173b7c70f0b)
+ )
+ (fp_text value "100n 50V" (at 0 -1.43 90) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp f824b139-6f8a-4a22-85d2-3c161165b722)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp 3f87eacc-aa20-4a69-95b7-0b950da9db0b)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "B.SilkS") (width 0.12) (tstamp 738cf21e-932b-4a37-8127-30720a15b833))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "B.SilkS") (width 0.12) (tstamp c0747f9b-99c2-4e41-9b80-eecb6484456e))
+ (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 16db69f4-0b4f-42c9-81b6-8ed519725e81))
+ (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5cdce187-961c-47b1-8dc8-0fbd49577db4))
+ (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp c250230b-520b-408e-b7a9-b692e14c1d37))
+ (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp d602e6cd-1350-4bb0-a59e-edaee100c8c3))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 4c144a5a-3e56-4329-9b22-8e7c9f5fd31f))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 5472e9b5-1a5f-4a21-a9ea-069c6371ec54))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp cb38f170-1ea5-41ff-ab21-652ded0cccad))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp d9d8928e-17c7-4c05-84aa-fbb836480d7d))
+ (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 19 "VIN") (tstamp 48c8c4f2-7606-4869-a59a-d9ed7b15116b))
+ (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 8871bdb5-be8c-4eed-ad9e-c21a8839005f))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005eaf5b39)
+ (at 204.2 67.5)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb631fd")
+ (attr smd)
+ (fp_text reference "C14" (at 0 1.43) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 97f5e236-b2ec-4e32-880b-3a97addc0b50)
+ )
+ (fp_text value "10n" (at 0 -1.43) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 93291234-1c22-473a-b46a-98742603393b)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp b5235392-e12a-4a21-a93b-018f84467fe0)
+ )
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 574eaa47-c39f-4843-912f-7486aebd5589))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp e5c7fa25-0a87-4c0e-93ac-914c8cecd881))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 3074af31-a2b6-437f-bbb1-673ca86617f9))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 3d333a8d-e328-4519-b1c0-3c1bb8b47904))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5ab30b95-d358-4f83-9c2b-41f342dd4cd6))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ff5caff4-9e70-4ca9-8f37-8bdd72c8c9ef))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 1116d431-e012-49a8-9606-89b12be46f90))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 14177bb1-2bb5-417b-98fe-bdde9b29b204))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 7c5f6d76-c1c0-4096-9b5c-ec19053706f4))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp ac39f2e9-581a-4e4e-9cb8-4b240af0b8f6))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 36 "PB5") (tstamp 8aace3e5-45b6-435b-8869-7a9540df20f7))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 8028ad53-3bc4-4d4c-9495-41da922755c3))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005eaf5b4a)
+ (at 204.2 69.5 180)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb62715")
+ (attr smd)
+ (fp_text reference "C15" (at 0 1.43) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 6cf893d2-d896-47eb-a4c3-cea124cb8b07)
+ )
+ (fp_text value "10n" (at 0 -1.43) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp ac6d6d5a-8b30-4523-9fac-b0680258c759)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp ab8539c6-5883-40f4-bb5c-0c6f3f389a5c)
+ )
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 6136cd17-87da-4931-bbc0-d48c444afce5))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 8750081c-4f22-44e7-843b-40b7b7d12d19))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 9ee2bcc8-abe1-4dc7-8e11-631969365156))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp a843f4c1-e426-475f-a146-ebb026594d7e))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp b1329a15-f7aa-48ec-b1a9-6b5b97f6097f))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp be41bc82-cdcc-416b-aa67-7fc5eea729b9))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 412469bf-7c20-49ff-a07a-b2de4af88a90))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 5448fa0c-6d24-4f7b-9386-6eeafde2ad03))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp dc7f397f-afe7-4d0c-9d09-860690fe9297))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp e6dae3fb-0437-4f21-ba85-3a90c1dd5386))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 1c695dc8-d915-421b-8877-f357b10ce845))
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 37 "PB4") (tstamp 11022374-9d29-40c0-981c-0c042c103bc2))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005eaf5e37)
+ (at 204.2 65.5 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb9012c")
+ (attr smd)
+ (fp_text reference "R19" (at 0 1.43) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 257d3e3d-d02f-4b39-9a41-d55d27806468)
+ )
+ (fp_text value "10k" (at 0 -1.43) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 34183e5e-b7cd-4f3c-a25b-4d9dc04665a0)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp 81fc33cb-5d60-41ea-bf50-fcfe51e10a20)
+ )
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp c6fad307-85f3-404f-8dda-acdc6d099a13))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp f650796d-e9bc-487d-86a1-ac8c5f0f4406))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 50491398-0e1b-42b0-a9be-be8ea50d4a18))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 8566f733-2c91-43ae-9db8-d2da6f7c75b5))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp be204117-8826-4071-ac95-2a0863a3d2c5))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp de77763c-a8de-4a78-a538-905a618fb5fe))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 53af00ca-0cb3-4d9a-a8dc-ef396119f87a))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 69cc11fd-52bf-4c23-9df7-923817228c86))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 876283bd-b944-4a7c-be78-ba5b2784ddbc))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp b5ee9626-001b-4ac2-8179-3725b223dc43))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 54 "Net-(R19-Pad1)") (tstamp f49d4a11-5bfb-4274-b0ce-d650ec7550be))
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 36 "PB5") (tstamp a44401f0-f5db-4edc-bff0-02e40f694908))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005eaf5e48)
+ (at 204.2 71.5)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005eb8f42e")
+ (attr smd)
+ (fp_text reference "R20" (at 0 1.43) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp e273bf99-ba17-4fad-8e68-bd7fb1b7621f)
+ )
+ (fp_text value "10k" (at 0 -1.43) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp fbec08ca-40fc-4a40-a4d1-97d76dbaca85)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp 1517abc5-6f06-4e68-8136-b61525ba7a52)
+ )
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 947c245d-aa8b-433b-a493-55a848665a0a))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 97fae77e-62c9-4cfb-b589-0f796f300e65))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 4cc93ca3-3506-4897-909d-ccb40642e364))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 7d357de9-47f0-456e-9071-e6c91f437985))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 892f9333-5c15-434e-b2f3-3c03063d8d78))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ef5bcdf5-c127-4d84-9455-12f4f50160c1))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 028f3aa2-7242-4566-9c05-abcaac34d174))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 4577b7f2-efa3-4c2b-938f-dc9b78060762))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp a7870d82-8038-42b2-83cc-daef6a4d2aaa))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp dd227834-9f9a-4e5b-b0c7-06dcd08f0d02))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 37 "PB4") (tstamp fadf7e35-97ce-44f7-8e38-73a9f1254eac))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 55 "Net-(R20-Pad2)") (tstamp eecf05a0-8896-4ca3-9acd-0dfed0c54192))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005eaf5e59)
+ (at 200.2 69.5 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005ebc5f30")
+ (attr smd)
+ (fp_text reference "R21" (at 0 1.43) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 5b207bf9-c482-4589-9c3b-ac44ff08c920)
+ )
+ (fp_text value "10k" (at 0 -1.43) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 110871b0-a613-4425-af63-3c155072cc54)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp a9d82a5a-e910-4911-99c9-5e643609a5d3)
+ )
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 0da7c62a-da1f-4f61-a3a5-f9fe006f1368))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 8b4e18d8-c640-453b-8c8a-d52bc9d55a90))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2de94074-0d7b-476d-8e58-ef0cc360fb3d))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 724bebbf-2c0b-48ab-a9b1-9fc6bb1fe99e))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ab360c4d-e0d2-404b-b165-9c27d3e1f62e))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp d6c2d87d-0d53-435a-9c2e-d3a3e6a3edc3))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 89690cd7-4fae-467e-8dae-654d0f90481b))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp a4f4c83c-926b-49cb-b16d-2a3e4d24413c))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp be6e18e9-6b21-4f74-9c3e-723a2ad427b3))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp e1f38887-5ef5-4026-a6c1-16bda0edf354))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 37 "PB4") (tstamp 46793547-3ac7-4179-b945-3b8866069446))
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp ab78417f-8635-4428-856b-97c527e83652))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "B.Cu") (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005eaf74c7)
+ (at 173.2 64.5 90)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (property "LCSC" "C443822")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005ec07acf")
+ (attr smd)
+ (fp_text reference "D3" (at 0 2.5 270) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 6e958c03-0b22-4725-b7e5-2a4a51275f42)
+ )
+ (fp_text value "BAV23AQ-7-F " (at 0 -2.5 270) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 08937f90-edf5-4efe-8968-5f256425a8c8)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror))
+ (tstamp 47ad6750-4087-49a4-986b-001c81a4abe5)
+ )
+ (fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer "B.SilkS") (width 0.12) (tstamp 416193d3-a735-4db6-b1e6-019f92820430))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "B.SilkS") (width 0.12) (tstamp 73093e61-8a57-41d6-bd92-1b0287a75342))
+ (fp_line (start 0.76 1.58) (end -1.4 1.58) (layer "B.SilkS") (width 0.12) (tstamp 7d06dad4-3e0e-4eaf-b4be-a205521b7f22))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "B.SilkS") (width 0.12) (tstamp 90ee8256-3b8c-4737-8e6c-313adcfe007e))
+ (fp_line (start 1.7 1.75) (end 1.7 -1.75) (layer "B.CrtYd") (width 0.05) (tstamp 21044a5b-cb1b-4088-be05-a41ec047739e))
+ (fp_line (start -1.7 -1.75) (end -1.7 1.75) (layer "B.CrtYd") (width 0.05) (tstamp 694b7f99-a749-4b15-873f-5658918aaa1b))
+ (fp_line (start 1.7 -1.75) (end -1.7 -1.75) (layer "B.CrtYd") (width 0.05) (tstamp cdcf0a08-069a-439b-a9eb-a255e6caed7e))
+ (fp_line (start -1.7 1.75) (end 1.7 1.75) (layer "B.CrtYd") (width 0.05) (tstamp d0c631db-156b-4ce2-8ce1-7f61c13e75e7))
+ (fp_line (start -0.7 -1.52) (end 0.7 -1.52) (layer "B.Fab") (width 0.1) (tstamp 0804a0f0-0887-4248-a8da-bc7e5dcef010))
+ (fp_line (start -0.7 0.95) (end -0.15 1.52) (layer "B.Fab") (width 0.1) (tstamp 620991aa-b046-486b-8e02-1a8a8f3bc29a))
+ (fp_line (start -0.15 1.52) (end 0.7 1.52) (layer "B.Fab") (width 0.1) (tstamp 8c516fe6-6d8e-4d70-bd70-c5b33c9cb562))
+ (fp_line (start 0.7 1.52) (end 0.7 -1.52) (layer "B.Fab") (width 0.1) (tstamp 9547e0dd-5363-4d41-b539-13bc4767c8bf))
+ (fp_line (start -0.7 0.95) (end -0.7 -1.5) (layer "B.Fab") (width 0.1) (tstamp f5578423-8610-44b0-aeef-4cfdf581884a))
+ (pad "1" smd rect (at -1 0.95 90) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 47 "Net-(D3-Pad1)") (pinfunction "K") (tstamp 93fba601-650a-43f7-8cff-c44b9d411971))
+ (pad "2" smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 56 "Net-(D3-Pad2)") (pinfunction "K") (tstamp e57a3aa2-1ed3-4dab-9f9e-af66b676ffc2))
+ (pad "3" smd rect (at 1 0 90) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 41 "PB2") (pinfunction "A") (tstamp 1dfb8fd2-44ed-41ff-a534-b39d1c8e6373))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "B.Cu") (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005eaf74dc)
+ (at 216.7 77 180)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (property "LCSC" "C443822")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005ec08fde")
+ (attr smd)
+ (fp_text reference "D4" (at 0 2.5) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 24d06792-532f-4d40-9279-d3745de032e1)
+ )
+ (fp_text value "BAV23AQ-7-F " (at 0 -2.5) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 6b32fb7d-f46b-4a0d-8179-66e39980e3d5)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror))
+ (tstamp 72017cc6-c141-473f-a1bd-be370423fbd6)
+ )
+ (fp_line (start 0.76 1.58) (end -1.4 1.58) (layer "B.SilkS") (width 0.12) (tstamp b8845d1c-d849-45e7-a54a-dd34d4d9dd9a))
+ (fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer "B.SilkS") (width 0.12) (tstamp ca60369a-27e6-4d31-b573-627914466738))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "B.SilkS") (width 0.12) (tstamp d2d60d19-53ab-43bb-aeef-519a6458495b))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "B.SilkS") (width 0.12) (tstamp da81f5d6-cd14-460d-863f-466dbbf68665))
+ (fp_line (start 1.7 -1.75) (end -1.7 -1.75) (layer "B.CrtYd") (width 0.05) (tstamp 417bdb15-119b-416f-a9b9-23fc9b5b9405))
+ (fp_line (start -1.7 1.75) (end 1.7 1.75) (layer "B.CrtYd") (width 0.05) (tstamp 694cd297-8b5c-439e-b920-7b1daa009a51))
+ (fp_line (start 1.7 1.75) (end 1.7 -1.75) (layer "B.CrtYd") (width 0.05) (tstamp 9ec3a5be-2d9a-4b95-899c-68a81aa707b1))
+ (fp_line (start -1.7 -1.75) (end -1.7 1.75) (layer "B.CrtYd") (width 0.05) (tstamp dadc04b0-376f-481a-8232-0192dcff5a83))
+ (fp_line (start -0.15 1.52) (end 0.7 1.52) (layer "B.Fab") (width 0.1) (tstamp 0d440f53-54a5-4517-ac99-b86b985e3b16))
+ (fp_line (start -0.7 0.95) (end -0.7 -1.5) (layer "B.Fab") (width 0.1) (tstamp 1b435ce5-9f3d-41af-bb7c-e28fffcc063f))
+ (fp_line (start 0.7 1.52) (end 0.7 -1.52) (layer "B.Fab") (width 0.1) (tstamp ca3e2f23-6c37-4e16-ba4d-3ca9efb950e4))
+ (fp_line (start -0.7 0.95) (end -0.15 1.52) (layer "B.Fab") (width 0.1) (tstamp dc01caca-2a04-4013-b8f1-283ee0784f73))
+ (fp_line (start -0.7 -1.52) (end 0.7 -1.52) (layer "B.Fab") (width 0.1) (tstamp e2bc8e4c-a8bd-4f4f-a3b4-6942cd426f1c))
+ (pad "1" smd rect (at -1 0.95 180) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 48 "Net-(D4-Pad1)") (pinfunction "K") (tstamp 7e3c53f3-6e88-422d-9538-429c60fadf67))
+ (pad "2" smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 57 "Net-(D4-Pad2)") (pinfunction "K") (tstamp cf08daab-e2e3-49c0-84cd-53d51e5dbf09))
+ (pad "3" smd rect (at 1 0 180) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 43 "PB8") (pinfunction "A") (tstamp c51c4d91-b87c-4a4c-bfc8-8ae67e03d9d1))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "B.Cu") (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005eaf74f1)
+ (at 144.7 68.5)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (property "LCSC" "C443822")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005ec09777")
+ (attr smd)
+ (fp_text reference "D5" (at 0 2.5) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 79811246-e50d-4853-a5ad-4211b6af5bdb)
+ )
+ (fp_text value "BAV23AQ-7-F " (at 0 -2.5) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 5b0fe296-5059-45ff-9d8c-790547d383ed)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror))
+ (tstamp 7b9913bb-a364-46a2-a202-7ee1191d59e0)
+ )
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "B.SilkS") (width 0.12) (tstamp 045cac59-757a-40bf-99f4-0a7851e12d16))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "B.SilkS") (width 0.12) (tstamp 1a17ba1c-8848-4e2d-bd9b-5076663572e0))
+ (fp_line (start 0.76 1.58) (end -1.4 1.58) (layer "B.SilkS") (width 0.12) (tstamp 4f35926a-260f-4e6c-be8d-2b9f89343123))
+ (fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer "B.SilkS") (width 0.12) (tstamp 4fe98af3-87d0-4db7-a48f-3d4afcf09df4))
+ (fp_line (start 1.7 1.75) (end 1.7 -1.75) (layer "B.CrtYd") (width 0.05) (tstamp 2126aaac-7620-41cf-a95b-b9ccd965ace5))
+ (fp_line (start -1.7 -1.75) (end -1.7 1.75) (layer "B.CrtYd") (width 0.05) (tstamp 903cad7f-67ea-4000-acb5-309507935bb5))
+ (fp_line (start -1.7 1.75) (end 1.7 1.75) (layer "B.CrtYd") (width 0.05) (tstamp a02cd6fd-8d70-422b-8daa-2624214e1263))
+ (fp_line (start 1.7 -1.75) (end -1.7 -1.75) (layer "B.CrtYd") (width 0.05) (tstamp fb9eee5c-ae5b-4a41-ad53-1ed0c28a6bf0))
+ (fp_line (start -0.7 0.95) (end -0.7 -1.5) (layer "B.Fab") (width 0.1) (tstamp 028a8a3d-83f9-44e2-817d-907f4e087808))
+ (fp_line (start -0.7 -1.52) (end 0.7 -1.52) (layer "B.Fab") (width 0.1) (tstamp 0f7d5b91-a8ce-498e-b637-d71462902425))
+ (fp_line (start -0.7 0.95) (end -0.15 1.52) (layer "B.Fab") (width 0.1) (tstamp 2280ae5b-ec8b-48f0-8e68-3d98c6a1cd76))
+ (fp_line (start 0.7 1.52) (end 0.7 -1.52) (layer "B.Fab") (width 0.1) (tstamp 6d61023b-0088-4b5b-b7f4-7e73af7b2dea))
+ (fp_line (start -0.15 1.52) (end 0.7 1.52) (layer "B.Fab") (width 0.1) (tstamp decf7cfe-8f1a-4913-b1f7-26826b4f553f))
+ (pad "1" smd rect (at -1 0.95) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 49 "Net-(D5-Pad1)") (pinfunction "K") (tstamp a558a4d0-98ed-4f42-93f1-9696aa41e37b))
+ (pad "2" smd rect (at -1 -0.95) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 58 "Net-(D5-Pad2)") (pinfunction "K") (tstamp 537cd064-ac2e-4617-98b3-c7dccc84df1f))
+ (pad "3" smd rect (at 1 0) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 44 "PB1") (pinfunction "A") (tstamp d81fece8-ae79-428d-b97a-ebb01421fb37))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "B.Cu") (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005eaf751b)
+ (at 170.7 68.4)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (property "LCSC" "C443822")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005ec0a355")
+ (attr smd)
+ (fp_text reference "D7" (at 0 2.5) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp f0267a58-a2ad-4d93-b74c-cef691bfa4c8)
+ )
+ (fp_text value "BAV23AQ-7-F " (at 0 -2.5) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp fe26a69c-1892-4390-8c83-ead5897b94c8)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror))
+ (tstamp 86504a68-f401-4898-9a55-fc67c4913b21)
+ )
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "B.SilkS") (width 0.12) (tstamp b5702801-fbfc-4f20-ad5e-40ce711c2189))
+ (fp_line (start 0.76 1.58) (end -1.4 1.58) (layer "B.SilkS") (width 0.12) (tstamp cca78555-aebf-4e53-a83d-b54879281581))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "B.SilkS") (width 0.12) (tstamp cd8f784e-bb69-471a-9bdb-cd422d95e345))
+ (fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer "B.SilkS") (width 0.12) (tstamp e14893e2-7987-4309-9dcf-4ddf6b131166))
+ (fp_line (start -1.7 -1.75) (end -1.7 1.75) (layer "B.CrtYd") (width 0.05) (tstamp 1f9c75b8-b18f-4cdd-a61e-8baeceaa2f14))
+ (fp_line (start 1.7 -1.75) (end -1.7 -1.75) (layer "B.CrtYd") (width 0.05) (tstamp 3313e5fd-b732-42c2-9fed-cad8d19221e5))
+ (fp_line (start 1.7 1.75) (end 1.7 -1.75) (layer "B.CrtYd") (width 0.05) (tstamp 38cecc78-5518-4dde-a444-7e2ea0a4b23b))
+ (fp_line (start -1.7 1.75) (end 1.7 1.75) (layer "B.CrtYd") (width 0.05) (tstamp addb7995-3113-48ee-9664-a5235ef9d758))
+ (fp_line (start -0.7 0.95) (end -0.15 1.52) (layer "B.Fab") (width 0.1) (tstamp 203317a7-2925-4c9e-a8c0-1e6f8f54a336))
+ (fp_line (start -0.15 1.52) (end 0.7 1.52) (layer "B.Fab") (width 0.1) (tstamp 3256f6a0-a236-4d4f-8097-810be95f17dc))
+ (fp_line (start -0.7 -1.52) (end 0.7 -1.52) (layer "B.Fab") (width 0.1) (tstamp 386fb58e-7472-4b90-90d4-e6dd8cbab9d2))
+ (fp_line (start 0.7 1.52) (end 0.7 -1.52) (layer "B.Fab") (width 0.1) (tstamp 84ba73d2-9e03-4742-a648-08068df8fcd6))
+ (fp_line (start -0.7 0.95) (end -0.7 -1.5) (layer "B.Fab") (width 0.1) (tstamp 9138c351-668d-40d8-ba6d-f713f2dfff08))
+ (pad "1" smd rect (at -1 0.95) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 51 "Net-(D7-Pad1)") (pinfunction "K") (tstamp 8b7d7bdc-1af4-4f42-810b-14e1bf96791b))
+ (pad "2" smd rect (at -1 -0.95) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 60 "Net-(D7-Pad2)") (pinfunction "K") (tstamp 760489c7-c70b-4967-aa13-ec13d36d5de7))
+ (pad "3" smd rect (at 1 0) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 46 "PA0") (pinfunction "A") (tstamp 6cbe2767-b073-4c04-bbb8-70bae62a7fb3))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "B.Cu") (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005eaf819a)
+ (at 195.2 68.5 180)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (property "LCSC" "C443822")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005ec09e56")
+ (attr smd)
+ (fp_text reference "D6" (at 0 2.5) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 2dbf3323-d5b2-41e6-9e07-4ca3a0d1f7bf)
+ )
+ (fp_text value "BAV23AQ-7-F " (at 0 -2.5) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 21415095-f6f9-4171-961a-0f12850f8440)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror))
+ (tstamp adbbac46-d309-4843-9fcc-aa3daee32014)
+ )
+ (fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer "B.SilkS") (width 0.12) (tstamp 3cd3f1bd-80dd-4834-82eb-713da3ef938a))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "B.SilkS") (width 0.12) (tstamp ca42efd3-3433-49dd-8e78-084b0b79bcbd))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "B.SilkS") (width 0.12) (tstamp ebc1de9b-91cd-4ae7-88ad-9bd1549e9700))
+ (fp_line (start 0.76 1.58) (end -1.4 1.58) (layer "B.SilkS") (width 0.12) (tstamp ee7a8dd7-4c95-44ca-9d55-40c14b5acd09))
+ (fp_line (start 1.7 1.75) (end 1.7 -1.75) (layer "B.CrtYd") (width 0.05) (tstamp 693f8563-13cc-4c84-91ee-3f4b645e8749))
+ (fp_line (start 1.7 -1.75) (end -1.7 -1.75) (layer "B.CrtYd") (width 0.05) (tstamp 889e321f-3a99-465a-98bc-0231d213b4ca))
+ (fp_line (start -1.7 -1.75) (end -1.7 1.75) (layer "B.CrtYd") (width 0.05) (tstamp a07f4651-8af9-4f67-8658-6a050be09325))
+ (fp_line (start -1.7 1.75) (end 1.7 1.75) (layer "B.CrtYd") (width 0.05) (tstamp cd3cc8eb-995f-424d-b673-6e5f3c866ef5))
+ (fp_line (start -0.15 1.52) (end 0.7 1.52) (layer "B.Fab") (width 0.1) (tstamp 1ba3b090-a7a5-4883-bacc-84afa5d5bf14))
+ (fp_line (start -0.7 0.95) (end -0.7 -1.5) (layer "B.Fab") (width 0.1) (tstamp 2cce4a2e-42bd-4a11-a9a3-c253509422d0))
+ (fp_line (start 0.7 1.52) (end 0.7 -1.52) (layer "B.Fab") (width 0.1) (tstamp 433ecf43-0cd3-4a4c-b475-6b4fd9e623b9))
+ (fp_line (start -0.7 0.95) (end -0.15 1.52) (layer "B.Fab") (width 0.1) (tstamp 52f91401-7a36-45b9-8145-cb6c3757c9a2))
+ (fp_line (start -0.7 -1.52) (end 0.7 -1.52) (layer "B.Fab") (width 0.1) (tstamp b16858fc-d905-4904-b4d5-9d8de36ecd0b))
+ (pad "1" smd rect (at -1 0.95 180) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 50 "Net-(D6-Pad1)") (pinfunction "K") (tstamp 6fac701f-cc7a-4ef6-a12c-a422f702fd15))
+ (pad "2" smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 59 "Net-(D6-Pad2)") (pinfunction "K") (tstamp d4795e1e-f760-44d5-a500-b5c195dec814))
+ (pad "3" smd rect (at 1 0 180) (size 0.9 0.8) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 42 "PA15") (pinfunction "A") (tstamp e793ec93-6f04-4484-84fd-4374560d8e48))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005eafb1f9)
+ (at 182.2 53.5 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc6dff9")
+ (attr smd)
+ (fp_text reference "R1" (at 0 1.43 90) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 1c08e77b-4956-4102-833b-e42c87b26063)
+ )
+ (fp_text value "DNP" (at 0 -1.43 90) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 10ecd9c8-526d-4b72-8432-0936e5d5b2c4)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp 42125ef8-a7fa-4044-86e3-ce951391ee3f)
+ )
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 7a9c17f3-c37d-4fbc-852b-6245cae92e49))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp d8fb6a0d-34ab-4ddd-8cdd-851089bb3eff))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 04abf1fd-6ee6-4aaa-a0ea-d9544809d48b))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 085eba62-0cf0-4614-abdd-efd9356c2b6f))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 86d9ec78-34b2-4935-b78f-89994b1d7318))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp e7ca8cd9-0308-4630-b557-e87a4f7d31e6))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 08864126-54cb-4328-b001-e11a73d64a7a))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp cebd023f-9de0-4cd3-ab97-5f445b75c0d1))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp f6b5be98-3729-4162-adae-24acf4486db1))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp fefe86fb-b848-47fe-8ad5-fbb28e661c71))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 9aadbb0d-53af-4ce3-a5b4-1b0180d523fc))
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 12 "CC2") (tstamp 162197a6-da5e-4302-b86e-dd2e057fbd85))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005eafb229)
+ (at 178.575 57.25)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc7af23")
+ (attr smd)
+ (fp_text reference "R8" (at 0 1.43) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp a7e8ab36-f738-4deb-b75d-df6676cba71b)
+ )
+ (fp_text value "5k1" (at 0 -1.43) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 391e9ea5-74d9-4bf2-a5e5-bd99e5bb190e)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp 5ecd9f19-755f-4f35-93e5-62832149b68b)
+ )
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 31875748-f90a-4bd6-9e27-cb4b816d2fa0))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 582ca411-d0ca-49f0-9257-79b253e12407))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 329b0841-31db-4d5e-904c-6b6c299e7d53))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 67eb6e71-54b0-4a2c-a85c-89999b7b0247))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 72a85433-9b18-409f-aef1-96d1892929a4))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp ca9d47aa-be51-4463-adf3-179848b4de12))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 35e89eec-61c0-4c39-80c3-a4943014bd1c))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 4b9c4a47-092a-4c85-bcf8-8440e25d4b23))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp ab49c89b-df7a-4c5b-a25c-0fa44b1a5e45))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp c1bee893-5070-4ecc-90a5-8294c9c5c078))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 13 "CC1") (tstamp 06368912-ba90-4ed6-8a56-6c84b29c4f6d))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 3d82746b-ceea-489c-bc56-3035f7ab8a20))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005eafb259)
+ (at 175.95 54.25 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc6e27f")
+ (attr smd)
+ (fp_text reference "R2" (at 0 1.43 90) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 455e6268-af32-4c99-8d54-744281b62522)
+ )
+ (fp_text value "DNP" (at 0 -1.43 90) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp b13d5da3-5d42-4795-8bbb-b667fb18fc7f)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp 2578602d-0c94-45d4-92b0-5812d48d639e)
+ )
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp c1930841-5bf5-45ba-8bb0-9643f6eae002))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp e98464f9-aba5-4089-adea-1bdc5d02dff0))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 18d92179-0396-4b3e-b29f-716dca66161b))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 226e8e83-6738-4891-89dc-f53053149b50))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2e083965-5d69-418d-8688-addd1717bbdf))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp d2926977-844a-4dcb-80da-1e9fc0c95f05))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 007d46f0-14c2-4167-9e32-2c01e72031ea))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 0f155380-31c7-45f3-aab6-88345a9f391a))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 52706690-5b58-43aa-aee1-ec7b36ed32bb))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 667528e6-cf51-4e53-8abb-f1598e57f11e))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 663e3cf0-5f49-4a17-a484-e92c12baab1c))
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 13 "CC1") (tstamp dabe8c0a-f3d6-4226-9eb1-1c9972ebc1a4))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005eafb2b9)
+ (at 185 54.4)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc7b6da")
+ (attr smd)
+ (fp_text reference "R9" (at 0 1.43) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp aaeee26e-9524-4fe6-87da-80bbc0a43fb2)
+ )
+ (fp_text value "5k1" (at 0 -1.43) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp ed6555bf-bd3a-4994-9036-da2e66588757)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp d468a8c2-bd47-4e4c-ad58-f02701e34988)
+ )
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 35e4883d-0a06-45d1-91b8-7af24099d0a3))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp f4162926-cc5d-407a-8000-a9a49f473d86))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 301c2082-bfbc-473f-8fac-a24cd2d206b3))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 41f0a1c7-f0c5-42b7-897d-47e4ec6b00a6))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp a80adadf-067c-4630-8c43-b0da2e0d0503))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp f4c16124-fdae-473c-8b83-82e8af17c7ee))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 031392cf-45d7-4e83-9136-9ec8c0811158))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 3540e7e8-e6df-4a0e-bb5e-ac73de4fbf91))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 6a54d0b1-74fc-4d49-a093-4d935d591fad))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 9c999995-3786-43bd-a896-1133edec12d2))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 12 "CC2") (tstamp 5ec34212-4a0a-474a-bc13-72d450f46c2c))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp d515c9e4-77a0-40c7-8c99-3f7890cc7628))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005eafb349)
+ (at 187.6 54.5 90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc9c524")
+ (attr smd)
+ (fp_text reference "C10" (at 0 1.43 270) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp d37f9903-6345-4ffc-8545-ecee9ed31893)
+ )
+ (fp_text value "100n 50V" (at 0 -1.43 270) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 504dc75c-c800-4b78-add4-62981374ed97)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp dbe3bbf5-6512-478b-ae47-852c777e7ad6)
+ )
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 13678151-e63e-45e5-aac4-61877d64f59b))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp f6f77504-b8f6-49e8-b75f-f197bddd2601))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2e56827f-3b21-4d9f-ba74-53715a0aae03))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 600f50eb-a58f-4fd9-adcc-2887126f4a89))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 73e13cc7-c932-46ed-8c5c-5b4d2d4102a6))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp a5fe6413-b374-4b22-b7fb-222cbf41c08c))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 05399944-7cc9-423c-ad30-27cbf7f40507))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 6b1bf6ed-d97f-412e-a903-9ba4be97d62d))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 7fc3f6d5-6298-49ba-bed1-eb3d8092f33c))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp a8aa297d-068c-44d7-a88e-9a31f4612f3e))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 19 "VIN") (tstamp 33887295-b3c2-4ed6-a722-94742bba12fd))
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 3a1afb2d-4599-4504-897e-19ad74127a67))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005eafb379)
+ (at 186.9 61.5 -90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc9b0ec")
+ (attr smd)
+ (fp_text reference "C8" (at 0 1.43 -90) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 9d99e43f-b981-46c7-bbab-46e5a6f2f99e)
+ )
+ (fp_text value "100n 50V" (at 0 -1.43 -90) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 37482d85-69ff-4a8a-a640-87d791373130)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp cffb3c98-3d14-420d-a972-2afaa035f40f)
+ )
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 0f9602b5-a0be-435f-84e4-950e6ada4365))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 1f3dcbd1-ef48-4c6c-94bc-c5e9a531da25))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 220f41ff-4f6e-4a86-9f88-d1aebe5d7cf6))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 22fa896e-e8d8-4f6d-9a63-705c32123789))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp a774ea87-8055-4b12-87d5-6985e693d2d2))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp e555dab1-a198-4b4f-b10d-990083e58751))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 3ffc723c-48d2-4ac8-86cc-3b49a4276325))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 6a9e9214-a259-463d-9607-2bfb4b2f7937))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 84ce602d-4dd2-440b-9184-fc21b87917a6))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp e1c140ce-2651-4a4c-97fe-716f3bb3f390))
+ (pad "1" smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 6a7162b7-be4a-4368-859b-91becbfdad96))
+ (pad "2" smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp cc90cb24-58df-41c8-938b-d00ed0624145))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005eafb3a9)
+ (at 185.2 61.5 -90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc9a6d0")
+ (attr smd)
+ (fp_text reference "C7" (at 0 1.43 -90) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 9cc49c44-177c-4762-a995-b4b53d068829)
+ )
+ (fp_text value "100n 50V" (at 0 -1.43 -90) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 98ba8d63-007c-40aa-9c0b-5677e24ec0ba)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp d8c5067e-bb45-435d-bea8-a807335d3d5e)
+ )
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 1b6ee44f-a651-49a3-8994-ae9e5d42d314))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp ebfde37d-492a-4421-8991-1c7c085ba8b8))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 1e9563bc-b6b7-44fb-b0e9-05d9f9e66822))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 3f4bf477-7186-4329-aef3-dceb5d874bae))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ad694292-bc9c-4e5c-a3c3-4152075c5ef5))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp b4364476-ff41-4562-a7c6-e923ecaa74ee))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 0da4c345-e36b-4027-9896-3ca428931d5f))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 7c915e12-2e65-44a1-845d-1365d8116b68))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 80f93b3e-b068-4ef7-b86b-8e7a45806e41))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp e28d688c-9b1d-441f-acba-e455b7437636))
+ (pad "1" smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp bd2bd90d-5390-4602-8798-773d4aa9d9d2))
+ (pad "2" smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp c979c0cd-67e9-4c47-a397-1bb663fae4ad))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "otter:USB-C 16Pin" (layer "B.Cu") (tedit 5C7932A0) (tstamp 00000000-0000-0000-0000-00005eafb41b)
+ (at 180.2 50.5)
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc6ce04")
+ (attr through_hole)
+ (fp_text reference "J1" (at 0 -1.5) (layer "B.SilkS") hide
+ (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
+ (tstamp 07d0eeae-e850-41d4-b21e-a8536df32405)
+ )
+ (fp_text value "USB-c" (at 0 -8.5) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 908813f7-1742-41fa-8613-b709d96b76f0)
+ )
+ (fp_line (start -4.5 -2) (end -4.5 -4) (layer "B.SilkS") (width 0.15) (tstamp 0d25d9f4-4a01-42ec-b0c7-58ee4202bfa1))
+ (fp_line (start 4.5 -6) (end 4.5 -7.695) (layer "B.SilkS") (width 0.15) (tstamp 2bc500e8-329e-484a-bdda-08e6f0a80eb1))
+ (fp_line (start -4.5 0.5) (end -4 0.5) (layer "B.SilkS") (width 0.15) (tstamp 2d325233-7af5-4753-bb9b-b9e67c900707))
+ (fp_line (start 4.5 -7.695) (end -4.5 -7.695) (layer "B.SilkS") (width 0.15) (tstamp 54ae9c1b-9ef2-46ef-9e7d-3bcf78387e74))
+ (fp_line (start -4.5 -7.695) (end -4.5 -6) (layer "B.SilkS") (width 0.15) (tstamp 6f4b0af8-f04c-41ea-9afd-fc069e962164))
+ (fp_line (start 4 0.5) (end 4.5 0.5) (layer "B.SilkS") (width 0.15) (tstamp 92e82262-c9ee-4ca3-a905-735a35abe9ad))
+ (fp_line (start 4.5 -4) (end 4.5 -2) (layer "B.SilkS") (width 0.15) (tstamp db49e1b2-d06e-4ab3-a1d1-d5bb1ae007e2))
+ (pad "" np_thru_hole circle (at 2.89 -1.445) (size 0.65 0.65) (drill 0.65) (layers *.Cu *.Mask) (tstamp 6f86488d-becf-40ce-90ee-20461e214720))
+ (pad "" np_thru_hole circle (at -2.89 -1.445) (size 0.65 0.65) (drill 0.65) (layers *.Cu *.Mask) (tstamp 8da3d06d-b44b-45cc-a72f-a944c012c0dd))
+ (pad "A1" smd rect (at -3.2 0) (size 0.6 1.45) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 1 "GND") (pinfunction "GND") (tstamp 91646c29-f6f9-4deb-9068-ff951c2adda7))
+ (pad "A4" smd rect (at -2.4 0) (size 0.6 1.45) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 19 "VIN") (pinfunction "VBUS") (tstamp 0a1f465b-c739-498d-9a8c-9f1dc97fba52))
+ (pad "A5" smd rect (at -1.25 0) (size 0.3 1.45) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 13 "CC1") (pinfunction "CC1") (tstamp 0dddc388-67c6-40a9-bac8-49ea1c152608))
+ (pad "A6" smd rect (at -0.25 0) (size 0.3 1.45) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 17 "USB_P") (pinfunction "D+") (tstamp 547545ef-9a74-44d7-bec5-2707665b2ad2))
+ (pad "A7" smd rect (at 0.25 0) (size 0.3 1.45) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 18 "USB_N") (pinfunction "D-") (tstamp f84cda63-8907-44e7-ae87-f40121a523c7))
+ (pad "A8" smd rect (at 1.25 0) (size 0.3 1.45) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 3 "Net-(J1-PadA8)") (pinfunction "SBU1") (tstamp c92e5d31-0363-494a-8c50-c3fd1497bc13))
+ (pad "B1" smd rect (at 3.2 0) (size 0.6 1.45) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 1 "GND") (pinfunction "GND") (tstamp 5040e47b-4443-4cea-a112-d82c29de3652))
+ (pad "B4" smd rect (at 2.4 0) (size 0.6 1.45) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 19 "VIN") (pinfunction "VBUS") (tstamp bb8eebef-273e-4494-9132-0698c8646188))
+ (pad "B5" smd rect (at 1.75 0) (size 0.3 1.45) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 12 "CC2") (pinfunction "CC2") (tstamp f0501ef6-6429-4115-8ae9-63aa85a1dc7f))
+ (pad "B6" smd rect (at 0.75 0) (size 0.3 1.45) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 17 "USB_P") (pinfunction "D+") (tstamp 24dba2d6-db6a-48b4-b9bf-3a5cc69bbc2b))
+ (pad "B7" smd rect (at -0.75 0) (size 0.3 1.45) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 18 "USB_N") (pinfunction "D-") (tstamp 0a72b325-e1a5-4f2a-bcc5-e53f4b182d27))
+ (pad "B8" smd rect (at -1.75 0) (size 0.3 1.45) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 4 "Net-(J1-PadB8)") (pinfunction "SBU2") (tstamp 91e2b08e-41b0-4bc7-9427-e1ff7903b231))
+ (pad "S1" thru_hole oval (at 4.32 -0.915) (size 1 2.1) (drill oval 0.6 1.7) (layers *.Cu *.Mask)
+ (net 1 "GND") (pinfunction "SHIELD") (tstamp 28ddd623-f32b-497e-9cce-3025b580d014))
+ (pad "S1" thru_hole oval (at -4.32 -0.915) (size 1 2.1) (drill oval 0.6 1.7) (layers *.Cu *.Mask)
+ (net 1 "GND") (pinfunction "SHIELD") (tstamp 5a0f2a39-fcb5-45b5-bc8a-27c506cd0fd1))
+ (pad "S1" thru_hole oval (at 4.32 -5.095) (size 1 1.6) (drill oval 0.6 1.2) (layers *.Cu *.Mask)
+ (net 1 "GND") (pinfunction "SHIELD") (tstamp 91675854-aafa-4103-9b07-a4d884f8f3cf))
+ (pad "S1" thru_hole oval (at -4.32 -5.095) (size 1 1.6) (drill oval 0.6 1.2) (layers *.Cu *.Mask)
+ (net 1 "GND") (pinfunction "SHIELD") (tstamp b706bee5-9d89-4c63-bf0c-fed27705d34d))
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-23-6" (layer "B.Cu") (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005eafb468)
+ (at 178.95 54.25 90)
+ (descr "6-pin SOT-23 package")
+ (tags "SOT-23-6")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005cc734be")
+ (attr smd)
+ (fp_text reference "U1" (at -1.55 -1.725 270) (layer "B.SilkS")
+ (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
+ (tstamp 9de41577-ae99-49d7-aeb6-ba6fdf8d4247)
+ )
+ (fp_text value "USBLC6-4" (at 0 -2.9 270) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp dd9042bd-4648-4fb9-abe8-6080b15c4a56)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror))
+ (tstamp 199e5328-1666-430d-ac79-c4fe3b378bb9)
+ )
+ (fp_line (start 0.9 1.61) (end -1.55 1.61) (layer "B.SilkS") (width 0.12) (tstamp 319ffdee-82c1-463f-bed5-d07e3a22141e))
+ (fp_line (start -0.9 -1.61) (end 0.9 -1.61) (layer "B.SilkS") (width 0.12) (tstamp 3fd755fd-05e1-4b9d-8c8f-3a03b6716f54))
+ (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer "B.CrtYd") (width 0.05) (tstamp 5a60a80b-350d-42e0-bded-7148bd431662))
+ (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer "B.CrtYd") (width 0.05) (tstamp 8c15fefb-4930-401e-8217-8af9b538ca59))
+ (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer "B.CrtYd") (width 0.05) (tstamp 953e6100-91fd-4258-92aa-4c0f734e7278))
+ (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer "B.CrtYd") (width 0.05) (tstamp aad3e03d-3a43-464c-92e1-eaa36a55dd5a))
+ (fp_line (start 0.9 1.55) (end 0.9 -1.55) (layer "B.Fab") (width 0.1) (tstamp 43831dbb-35db-4893-8fa0-5ddb0ad432b5))
+ (fp_line (start 0.9 1.55) (end -0.25 1.55) (layer "B.Fab") (width 0.1) (tstamp 448b4d74-caea-4c72-9a6f-e98d22284c15))
+ (fp_line (start 0.9 -1.55) (end -0.9 -1.55) (layer "B.Fab") (width 0.1) (tstamp 7f5df83e-f73d-406f-a0a9-ea5792efbd38))
+ (fp_line (start -0.9 0.9) (end -0.25 1.55) (layer "B.Fab") (width 0.1) (tstamp 8cc7f4c2-3264-45a6-b574-439260af7c95))
+ (fp_line (start -0.9 0.9) (end -0.9 -1.55) (layer "B.Fab") (width 0.1) (tstamp df7a9e9a-16c2-4cc0-9d86-c19160de0459))
+ (pad "1" smd rect (at -1.1 0.95 90) (size 1.06 0.65) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 12 "CC2") (pinfunction "IO1") (tstamp 53b14196-cbb5-4bea-9a0b-59e8675fa6bb))
+ (pad "2" smd rect (at -1.1 0 90) (size 1.06 0.65) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 1 "GND") (pinfunction "GND") (tstamp 1be3abec-55ca-458d-88ae-63470cbcfc94))
+ (pad "3" smd rect (at -1.1 -0.95 90) (size 1.06 0.65) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 13 "CC1") (pinfunction "IO2") (tstamp ec4b36eb-198a-43ef-af5e-22626414c7ca))
+ (pad "4" smd rect (at 1.1 -0.95 90) (size 1.06 0.65) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 18 "USB_N") (pinfunction "IO3") (tstamp e7f92ffc-5b5e-4cea-b2f0-ea937bc7f295))
+ (pad "5" smd rect (at 1.1 0 90) (size 1.06 0.65) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 2 "+3V3") (pinfunction "VBUS") (tstamp 51956052-387a-44a5-82fb-d2f467cb985a))
+ (pad "6" smd rect (at 1.1 0.95 90) (size 1.06 0.65) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 17 "USB_P") (pinfunction "IO4") (tstamp f17802aa-d2f5-469b-8a8b-aedfa677e531))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_QFP:LQFP-48_7x7mm_P0.5mm" (layer "B.Cu") (tedit 5C18330E) (tstamp 00000000-0000-0000-0000-00005eb02aa4)
+ (at 180.2 68.5 45)
+ (descr "LQFP, 48 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ltc2358-16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+ (tags "LQFP QFP")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005dab6ef4")
+ (attr smd)
+ (fp_text reference "U2" (at -5.635605 2.538549 90) (layer "B.SilkS")
+ (effects (font (size 0.45 0.45) (thickness 0.1125)) (justify mirror))
+ (tstamp 3be91845-2b6b-4572-88b2-859f95dbffcb)
+ )
+ (fp_text value "STM32F072C8Tx" (at 0 -5.85 45) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 57b50a34-5d3f-4be0-bd08-7863309d9c03)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 45) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp fa187eb3-76dd-4168-970b-014086f84986)
+ )
+ (fp_line (start 3.16 -3.61) (end 3.61 -3.61) (layer "B.SilkS") (width 0.12) (tstamp 0435cf32-597f-4904-bf64-f5ce6e71c322))
+ (fp_line (start 3.61 3.61) (end 3.61 3.16) (layer "B.SilkS") (width 0.12) (tstamp 09a5ef97-7406-49b8-b722-5d69329a72f0))
+ (fp_line (start -3.61 -3.61) (end -3.61 -3.16) (layer "B.SilkS") (width 0.12) (tstamp 28e6245c-436e-4226-9b3e-db17a610be0b))
+ (fp_line (start -3.16 -3.61) (end -3.61 -3.61) (layer "B.SilkS") (width 0.12) (tstamp 362eb7c6-b153-4828-8927-63e675af0c3e))
+ (fp_line (start -3.61 3.16) (end -4.9 3.16) (layer "B.SilkS") (width 0.12) (tstamp 430fc217-d762-4ee1-b78a-35e085ea957a))
+ (fp_line (start 3.16 3.61) (end 3.61 3.61) (layer "B.SilkS") (width 0.12) (tstamp 8a26ffa6-52de-443e-97a2-9cdb11672e38))
+ (fp_line (start 3.61 -3.61) (end 3.61 -3.16) (layer "B.SilkS") (width 0.12) (tstamp 9e700a75-9699-4f6f-8f81-3176dcc908e8))
+ (fp_line (start -3.61 3.61) (end -3.61 3.16) (layer "B.SilkS") (width 0.12) (tstamp 9ffae070-fab8-4877-b247-b9c199aa178c))
+ (fp_line (start -3.16 3.61) (end -3.61 3.61) (layer "B.SilkS") (width 0.12) (tstamp cfc672bb-4775-49fc-bcdb-1e06cc88d2b5))
+ (fp_line (start 3.75 3.75) (end 3.75 3.15) (layer "B.CrtYd") (width 0.05) (tstamp 00e664ae-78e1-4109-9d61-71f232f562a6))
+ (fp_line (start 0 5.15) (end 3.15 5.15) (layer "B.CrtYd") (width 0.05) (tstamp 05852db5-a86e-434e-bd19-292e7b91a015))
+ (fp_line (start 3.15 5.15) (end 3.15 3.75) (layer "B.CrtYd") (width 0.05) (tstamp 0bd74d89-0912-4e27-bc9b-edcd5f6b28d8))
+ (fp_line (start -3.75 3.15) (end -5.15 3.15) (layer "B.CrtYd") (width 0.05) (tstamp 1b36e72a-9521-40d2-9dba-fd15e597e20f))
+ (fp_line (start 3.75 -3.75) (end 3.75 -3.15) (layer "B.CrtYd") (width 0.05) (tstamp 40f72087-1bc1-455c-be0e-77fc288c001e))
+ (fp_line (start 3.15 -3.75) (end 3.75 -3.75) (layer "B.CrtYd") (width 0.05) (tstamp 421a7670-dec4-40ff-9d6a-3be5cac94264))
+ (fp_line (start 0 5.15) (end -3.15 5.15) (layer "B.CrtYd") (width 0.05) (tstamp 44dc0430-a475-44ff-ba9f-39fa2e63bfe1))
+ (fp_line (start 3.15 3.75) (end 3.75 3.75) (layer "B.CrtYd") (width 0.05) (tstamp 45e041b0-8bf6-47b8-bfe9-d2d076c10b5c))
+ (fp_line (start -3.75 3.75) (end -3.75 3.15) (layer "B.CrtYd") (width 0.05) (tstamp 45ec5e33-b360-47f3-95c6-32c34b1adafd))
+ (fp_line (start 3.15 -5.15) (end 3.15 -3.75) (layer "B.CrtYd") (width 0.05) (tstamp 6a17ef18-8b43-4e6c-a953-91d95cfdb89c))
+ (fp_line (start 3.75 3.15) (end 5.15 3.15) (layer "B.CrtYd") (width 0.05) (tstamp 6a1b78d7-2b5f-4000-bdb1-11cce8ffc546))
+ (fp_line (start 0 -5.15) (end -3.15 -5.15) (layer "B.CrtYd") (width 0.05) (tstamp 72f74c63-2a1c-4998-9677-87fcf8bb6ec2))
+ (fp_line (start 3.75 -3.15) (end 5.15 -3.15) (layer "B.CrtYd") (width 0.05) (tstamp 7331b384-ba2a-4824-b066-14b6c6e08295))
+ (fp_line (start -5.15 -3.15) (end -5.15 0) (layer "B.CrtYd") (width 0.05) (tstamp 73d7578e-e6b2-414f-8a97-665190b8e179))
+ (fp_line (start -3.75 -3.15) (end -5.15 -3.15) (layer "B.CrtYd") (width 0.05) (tstamp 9502ff47-d834-4126-8887-1ae0fa32f469))
+ (fp_line (start -3.75 -3.75) (end -3.75 -3.15) (layer "B.CrtYd") (width 0.05) (tstamp a7a60288-7634-494f-83dd-6f1122e36449))
+ (fp_line (start 0 -5.15) (end 3.15 -5.15) (layer "B.CrtYd") (width 0.05) (tstamp c0c25994-0fa6-4b7b-9aed-b29f86a726bb))
+ (fp_line (start 5.15 3.15) (end 5.15 0) (layer "B.CrtYd") (width 0.05) (tstamp c34d722d-f4b0-4209-a360-aee21a361a20))
+ (fp_line (start -5.15 3.15) (end -5.15 0) (layer "B.CrtYd") (width 0.05) (tstamp c5171642-bd98-4cb6-810f-3bbfef16c36c))
+ (fp_line (start -3.15 -3.75) (end -3.75 -3.75) (layer "B.CrtYd") (width 0.05) (tstamp dc525767-dd9f-41a2-b18c-ffa44dcdd2b7))
+ (fp_line (start -3.15 3.75) (end -3.75 3.75) (layer "B.CrtYd") (width 0.05) (tstamp e18ea4f8-5536-4422-8b41-83a2410a4628))
+ (fp_line (start -3.15 5.15) (end -3.15 3.75) (layer "B.CrtYd") (width 0.05) (tstamp e25ed380-dbb6-4da1-967b-2bbbb420d489))
+ (fp_line (start -3.15 -5.15) (end -3.15 -3.75) (layer "B.CrtYd") (width 0.05) (tstamp e43eb6a3-2685-494b-9022-2e1bd3e517a4))
+ (fp_line (start 5.15 -3.15) (end 5.15 0) (layer "B.CrtYd") (width 0.05) (tstamp e9e637cf-b23f-41ac-ba96-b5b69b92c5c6))
+ (fp_line (start -2.5 3.5) (end 3.5 3.5) (layer "B.Fab") (width 0.1) (tstamp 22d07eb7-5a3d-446c-891e-41c8753b3c46))
+ (fp_line (start -3.5 2.5) (end -2.5 3.5) (layer "B.Fab") (width 0.1) (tstamp 4641f675-9c72-4d43-90e6-8e1055940fef))
+ (fp_line (start -3.5 -3.5) (end -3.5 2.5) (layer "B.Fab") (width 0.1) (tstamp 4b27f644-2504-4924-9d08-378bf985c7ce))
+ (fp_line (start 3.5 3.5) (end 3.5 -3.5) (layer "B.Fab") (width 0.1) (tstamp 52ff633c-b446-470c-af0a-2dcc7a83da09))
+ (fp_line (start 3.5 -3.5) (end -3.5 -3.5) (layer "B.Fab") (width 0.1) (tstamp 91d8bd8c-c6e9-46dc-8f7d-ba27aae2e554))
+ (pad "1" smd roundrect (at -4.1625 2.75 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "VBAT") (tstamp 5df58c3a-5e66-42aa-8797-0f201ac2a91d))
+ (pad "2" smd roundrect (at -4.1625 2.25 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 20 "Net-(J7-Pad1)") (pinfunction "PC13") (tstamp 3ff89d61-91d4-4a9c-9ad9-769735f95148))
+ (pad "3" smd roundrect (at -4.1625 1.75 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 8 "PC14") (pinfunction "PC14") (tstamp d2d014f1-e0ba-4f4b-8a65-af65f6d0db9c))
+ (pad "4" smd roundrect (at -4.1625 1.249999 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 9 "PC15") (pinfunction "PC15") (tstamp 38fbf096-05e5-4d83-a7ed-c8fbf111dca7))
+ (pad "5" smd roundrect (at -4.1625 0.75 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 7 "Net-(U2-Pad5)") (pinfunction "PF0") (tstamp fbf52e76-4aa1-44af-9e12-98085e366be5))
+ (pad "6" smd roundrect (at -4.1625 0.25 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 6 "Net-(U2-Pad6)") (pinfunction "PF1") (tstamp ad675560-d66e-4dce-b63b-3fdc20518e8e))
+ (pad "7" smd roundrect (at -4.1625 -0.25 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 5 "Net-(U2-Pad7)") (pinfunction "NRST") (tstamp 4bf9f551-465e-4156-874d-43bdbec4af0b))
+ (pad "8" smd roundrect (at -4.1625 -0.75 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "VSSA") (tstamp 58d97b99-661f-4111-96c1-721f0c8db7ba))
+ (pad "9" smd roundrect (at -4.1625 -1.249999 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "VDDA") (tstamp 374a72a0-93a7-4d0b-9aad-54d28ec2e124))
+ (pad "10" smd roundrect (at -4.1625 -1.75 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 46 "PA0") (pinfunction "PA0") (tstamp a9182055-dc66-4275-badb-4359e2e9d51e))
+ (pad "11" smd roundrect (at -4.1625 -2.25 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 26 "PA1") (pinfunction "PA1") (tstamp 0c22db1b-4267-4214-a982-d4d6b6b09363))
+ (pad "12" smd roundrect (at -4.1625 -2.75 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 27 "PA2") (pinfunction "PA2") (tstamp bf6c7811-8bdc-4208-97eb-9a2e771da0bd))
+ (pad "13" smd roundrect (at -2.75 -4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 28 "PA3") (pinfunction "PA3") (tstamp 8afd2986-6216-4fe1-989a-74639148ab78))
+ (pad "14" smd roundrect (at -2.25 -4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 29 "PA4") (pinfunction "PA4") (tstamp e75ee96a-8b6a-4042-b84c-8058af2ad052))
+ (pad "15" smd roundrect (at -1.75 -4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 30 "PA5") (pinfunction "PA5") (tstamp 56190eb5-a153-4071-8775-3520d609cf9d))
+ (pad "16" smd roundrect (at -1.249999 -4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 31 "PA6") (pinfunction "PA6") (tstamp 45ada42a-832d-4fda-9460-97827d01983e))
+ (pad "17" smd roundrect (at -0.75 -4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 32 "PA7") (pinfunction "PA7") (tstamp c651402d-d583-46e2-a548-82d31e937399))
+ (pad "18" smd roundrect (at -0.25 -4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 33 "PB0") (pinfunction "PB0") (tstamp 660af904-8c2e-4109-8feb-c2fc7f9b4961))
+ (pad "19" smd roundrect (at 0.25 -4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 44 "PB1") (pinfunction "PB1") (tstamp ba2bde5c-ab14-4de6-8972-6a0699b089a0))
+ (pad "20" smd roundrect (at 0.75 -4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 41 "PB2") (pinfunction "PB2") (tstamp db8eb8d2-ead3-49b1-a781-07e8388a4f55))
+ (pad "21" smd roundrect (at 1.249999 -4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 16 "SCL") (pinfunction "PB10") (tstamp 2cc63af6-d81b-4dc7-9a54-544e5154b2d9))
+ (pad "22" smd roundrect (at 1.75 -4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 15 "SDA") (pinfunction "PB11") (tstamp f24ca7c8-10c2-4936-9620-c41e78585a19))
+ (pad "23" smd roundrect (at 2.25 -4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "VSS") (tstamp ee5a3008-62a7-441b-a343-2c0ba9704577))
+ (pad "24" smd roundrect (at 2.75 -4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "VDD") (tstamp b9deb1bd-fc82-41a2-9481-a24e7f51e63e))
+ (pad "25" smd roundrect (at 4.1625 -2.75 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 14 "INT_N") (pinfunction "PB12") (tstamp 09f02c50-30c6-4e05-86ce-650050d1b6bc))
+ (pad "26" smd roundrect (at 4.1625 -2.25 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 22 "PB13") (pinfunction "PB13") (tstamp b9f7e298-bd67-438e-a861-5f2da53f821a))
+ (pad "27" smd roundrect (at 4.1625 -1.75 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 35 "PB14") (pinfunction "PB14") (tstamp 17138c05-c4c5-48be-814f-93f3d385cf3c))
+ (pad "28" smd roundrect (at 4.1625 -1.249999 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 34 "PB15") (pinfunction "PB15") (tstamp 3d363d46-516e-4202-85ca-dc16d1b9f949))
+ (pad "29" smd roundrect (at 4.1625 -0.75 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 45 "PA8") (pinfunction "PA8") (tstamp 2d0496b3-2a4c-4cdb-be22-880033454006))
+ (pad "30" smd roundrect (at 4.1625 -0.25 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 23 "PA9") (pinfunction "PA9") (tstamp ca045e01-8fd3-4f23-bce4-fab6dfccd279))
+ (pad "31" smd roundrect (at 4.1625 0.25 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 24 "PA10") (pinfunction "PA10") (tstamp 5539f11e-cc76-459c-b0e9-1b387752f1be))
+ (pad "32" smd roundrect (at 4.1625 0.75 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 18 "USB_N") (pinfunction "PA11") (tstamp 5e22e642-0625-4b45-884f-ac89bf3325df))
+ (pad "33" smd roundrect (at 4.1625 1.249999 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 17 "USB_P") (pinfunction "PA12") (tstamp 3ff90a78-34ea-45c5-a5a7-9f4c6d846713))
+ (pad "34" smd roundrect (at 4.1625 1.75 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 11 "SWDIO") (pinfunction "PA13") (tstamp 3d659d8a-fe88-47eb-9bc3-035e90b02251))
+ (pad "35" smd roundrect (at 4.1625 2.25 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "VSS") (tstamp f01a616c-124a-4fd2-a561-b1b2525ed9a2))
+ (pad "36" smd roundrect (at 4.1625 2.75 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "VDDIO2") (tstamp adbac64c-fad6-44dd-b0b5-dbf329b0f5eb))
+ (pad "37" smd roundrect (at 2.75 4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 10 "SWCLK") (pinfunction "PA14") (tstamp 7d288862-d2c7-4990-b5d2-460eac1c6d3a))
+ (pad "38" smd roundrect (at 2.25 4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 42 "PA15") (pinfunction "PA15") (tstamp 38c5c036-ac8a-4534-b498-da9fa7a5f279))
+ (pad "39" smd roundrect (at 1.75 4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 38 "PB3") (pinfunction "PB3") (tstamp 6b5bbcbe-4b4c-4910-8cd4-9113caee15f5))
+ (pad "40" smd roundrect (at 1.249999 4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 37 "PB4") (pinfunction "PB4") (tstamp 8956343a-253a-4227-92db-5efd23775d8d))
+ (pad "41" smd roundrect (at 0.75 4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 36 "PB5") (pinfunction "PB5") (tstamp 801110a7-5fff-4f06-ade1-08fc2747c432))
+ (pad "42" smd roundrect (at 0.25 4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 39 "PB6") (pinfunction "PB6") (tstamp a0dfacae-9ac2-4005-956f-a65edbd3e823))
+ (pad "43" smd roundrect (at -0.25 4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 40 "PB7") (pinfunction "PB7") (tstamp 24edf5dc-03e2-48ef-9d15-32cb0137eed9))
+ (pad "44" smd roundrect (at -0.75 4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 20 "Net-(J7-Pad1)") (pinfunction "BOOT0") (tstamp 8837b38f-0b5a-46a3-9c13-06c169a0ef67))
+ (pad "45" smd roundrect (at -1.249999 4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 43 "PB8") (pinfunction "PB8") (tstamp dc986efb-1e1e-4ca5-b858-a79d5f8d816f))
+ (pad "46" smd roundrect (at -1.75 4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 25 "PB9") (pinfunction "PB9") (tstamp 37cbd212-cf77-495d-a3f2-80988ca72ac3))
+ (pad "47" smd roundrect (at -2.25 4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "VSS") (tstamp 9428a37f-af10-4341-9d24-5821a73545fc))
+ (pad "48" smd roundrect (at -2.75 4.1625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "VDD") (tstamp aad1b668-1a52-44f5-af03-30953c0852d2))
+ (model "${KISYS3DMOD}/Package_QFP.3dshapes/LQFP-48_7x7mm_P0.5mm.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "B.Cu") (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005eb078bf)
+ (at 200.2 67.5)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/00000000-0000-0000-0000-00005ebc5ce3")
+ (attr smd)
+ (fp_text reference "R18" (at 0 1.43) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 380b4807-272d-41ea-881c-db5c9e35765f)
+ )
+ (fp_text value "10k" (at 0 -1.43) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp f10f956c-c1e8-4b28-88b6-38ec14eaedb4)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp 69d647cc-1707-40e4-951c-346f6430d69c)
+ )
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 30acaad5-ce20-4697-9594-b8ad61ba3321))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp ba368d54-5e4f-4149-8656-daffb25dd52d))
+ (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 9a7f2865-d3e6-4297-9556-e6aa7e978230))
+ (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 9e3b5a12-e016-402c-8d9e-651478487f88))
+ (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp a3a82a2f-2b56-426c-ba0c-a2629a70953a))
+ (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp e3557204-089f-4d4d-8c48-cdba04261b5a))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 3f734c31-b0ce-4d58-9b11-77321e61f1ea))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 5d74cbd1-a173-4f1f-b55e-584f6c1c6cef))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 9b5e8f58-b96c-47ab-8cd0-61ae53052763))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp ee8356cd-53b3-4047-8bbd-d0cfa61013d2))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 49fc0410-70f0-42f9-abf5-a519f28497b9))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 36 "PB5") (tstamp 5e568dc5-d551-4d73-89b8-602d53a7bc1b))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "footprints:Reichelt_RND_210-00137" (layer "B.Cu") (tedit 5F86C7DE) (tstamp 9bc13336-562a-498b-a879-65253ab3946c)
+ (at 180.2 83 -90)
+ (property "Sheet file" "/home/user/toys/minikbd/pcb/OtterPill.kicad_sch")
+ (property "Sheet name" "")
+ (path "/9550dd11-5dd7-4fcb-a5d0-2d63d8a05a3f")
+ (attr through_hole)
+ (fp_text reference "SW12" (at -1.8 4.8 -90 unlocked) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 9b1024fe-a793-4e71-9cf3-f61a99b87f07)
+ )
+ (fp_text value "SW_Coded_SH-7010" (at 0 -1 -90 unlocked) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp ba5fbb5c-a759-4af2-a505-b8cd86cc8585)
+ )
+ (fp_text user "0" (at 0 2.7 -90 unlocked) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 15218a89-4ccd-4566-a014-f8e3da9fcced)
+ )
+ (fp_text user "5" (at 0 -2.8 -90 unlocked) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 453a1788-fb98-48ee-b162-07a71f69488c)
+ )
+ (fp_line (start 0.5 0.7) (end 0.7 0.8) (layer "B.SilkS") (width 0.2) (tstamp 02e51373-d59e-40c6-b5a7-f49e8224a845))
+ (fp_line (start 0.7 0.8) (end 0.7 0.2) (layer "B.SilkS") (width 0.2) (tstamp 15a4ad94-f90e-4298-ac74-66d05cd98afc))
+ (fp_line (start -3.7 3.2) (end -3.7 3.7) (layer "B.SilkS") (width 0.2) (tstamp 17f14066-c677-42c8-aa56-d471ca637309))
+ (fp_line (start -3.7 -0.7) (end -3.7 -1.8) (layer "B.SilkS") (width 0.2) (tstamp 26100ab9-4819-4b32-8be6-08c0d62b1982))
+ (fp_line (start 3.7 1.8) (end 3.7 0.7) (layer "B.SilkS") (width 0.2) (tstamp 33cf9857-68fd-49e7-b54c-0a2902beebae))
+ (fp_line (start 3.7 -3.7) (end -3.7 -3.7) (layer "B.SilkS") (width 0.2) (tstamp 438a36e0-ba70-4a47-a2ac-b34933a484cd))
+ (fp_line (start -3.7 1.8) (end -3.7 0.7) (layer "B.SilkS") (width 0.2) (tstamp 530ddbc5-1cdb-4db6-a7d9-8ffb07265f9f))
+ (fp_line (start -3.7 3.7) (end 3.7 3.7) (layer "B.SilkS") (width 0.2) (tstamp 9058282c-050e-40c1-8350-959f353958de))
+ (fp_line (start -3.7 -3.7) (end -3.7 -3.2) (layer "B.SilkS") (width 0.2) (tstamp a405b349-4bef-47dd-80ef-d8a8d48d4204))
+ (fp_line (start 1 1.2) (end 0.1 0.6) (layer "B.SilkS") (width 0.2) (tstamp c548d76a-eb5f-47c0-8020-5496ef09ae8e))
+ (fp_line (start 3.7 3.7) (end 3.7 3.2) (layer "B.SilkS") (width 0.2) (tstamp c801d6f3-9555-48de-a651-1502d552be7f))
+ (fp_line (start -0.9 -1.3) (end 1 1.2) (layer "B.SilkS") (width 0.2) (tstamp e9ddff6d-ee58-4c50-9dc7-0d93a309ce25))
+ (fp_line (start 0.1 0.6) (end 0.5 0.7) (layer "B.SilkS") (width 0.2) (tstamp ec296a87-40a1-4cc9-8003-93a686349101))
+ (fp_line (start 3.7 -3.2) (end 3.7 -3.7) (layer "B.SilkS") (width 0.2) (tstamp ed4f0577-a944-4310-a63d-99ba52a0c6b2))
+ (fp_line (start 0.9 1.1) (end 0.7 0.2) (layer "B.SilkS") (width 0.2) (tstamp ee2ba0b0-5928-4916-a388-06b43e61addd))
+ (fp_line (start 3.7 -0.7) (end 3.7 -1.8) (layer "B.SilkS") (width 0.2) (tstamp ffef240f-69a9-412d-8a30-70a58d8c8545))
+ (fp_circle (center 0 0) (end 1.2 1) (layer "B.SilkS") (width 0.2) (tstamp aa8b37c3-3f4d-40e0-afc4-92e55b585b34))
+ (fp_rect (start -3.7 3.7) (end 3.7 -3.7) (layer "Dwgs.User") (width 0.12) (tstamp ea227a00-d9f2-44d9-b3c8-25c0bbb922bd))
+ (pad "1" smd rect (at -3.75 2.54 180) (size 1 3) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 25 "PB9") (pinfunction "1") (tstamp d7070b58-5e4c-460b-a5cd-424bc0678a74))
+ (pad "2" smd rect (at 3.75 -2.54 180) (size 1 3) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 39 "PB6") (pinfunction "2") (tstamp 7e5ecb34-ec14-404b-8368-b3d17dca49f2))
+ (pad "4" smd rect (at -3.75 -2.54 180) (size 1 3) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 40 "PB7") (pinfunction "4") (tstamp 249647ac-96be-4bb8-9182-9a90ffbcf578))
+ (pad "8" smd rect (at 3.75 2.54 180) (size 1 3) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 8 "PC14") (pinfunction "8") (tstamp d6e45636-4ef7-4eed-b02a-63d829c6f444))
+ (pad "C" smd rect (at -3.75 0 180) (size 1 3) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 2 "+3V3") (pinfunction "C") (tstamp 32cbf31f-9ca1-432c-aaf6-1d82bd248055))
+ (pad "C" smd rect (at 3.75 0 180) (size 1 3) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 2 "+3V3") (pinfunction "C") (tstamp fe5bae7b-8361-4e63-b2f1-f47fd114b9ad))
+ )
+
+ (gr_line (start 225.2 48.5) (end 225.2 88.5) (layer "Edge.Cuts") (width 0.05) (tstamp 10777497-86c2-462e-a7f7-c36332524433))
+ (gr_arc (start 140.2 88.5) (end 135.2 88.5) (angle -90) (layer "Edge.Cuts") (width 0.05) (tstamp 249b88cb-352d-4bca-b932-189fa2dc6bce))
+ (gr_arc (start 220.2 48.5) (end 225.2 48.5) (angle -90) (layer "Edge.Cuts") (width 0.05) (tstamp 2d68e47e-6d53-40c4-8f05-18c760d49bbf))
+ (gr_arc (start 220.2 88.5) (end 220.2 93.5) (angle -90) (layer "Edge.Cuts") (width 0.05) (tstamp 590f8a18-73ed-48d3-a0d3-334bd09b2974))
+ (gr_line (start 140.2 43.5) (end 220.2 43.5) (layer "Edge.Cuts") (width 0.05) (tstamp 6ac05a70-269c-403e-aa5d-beecf6440653))
+ (gr_arc (start 140.2 48.5) (end 140.2 43.5) (angle -90) (layer "Edge.Cuts") (width 0.05) (tstamp 91d34166-b255-4543-b8bc-f9fe01030c14))
+ (gr_line (start 135.2 88.5) (end 135.2 48.5) (layer "Edge.Cuts") (width 0.05) (tstamp 9922f272-95f6-4337-bbf5-e23c7661a3e0))
+ (gr_line (start 220.2 93.5) (end 140.2 93.5) (layer "Edge.Cuts") (width 0.05) (tstamp ecb00368-5beb-4604-81f5-be06510c274a))
+ (gr_text "minikbd\nv2.0 (c) 2020 jaseg\ngit.jaseg.de/minikbd" (at 200.4 87.6) (layer "B.SilkS") (tstamp 356c6f4d-bd93-4c3d-a625-c7a931811e69)
+ (effects (font (size 2 2) (thickness 0.3)) (justify mirror))
+ )
+ (dimension (type aligned) (layer "Dwgs.User") (tstamp 2165fa11-e4cf-404c-9483-a0a8e1ba5fb2)
+ (pts (xy 220.2 93.5) (xy 220.2 43.5))
+ (height 15)
+ (gr_text "50.0000 mm" (at 236.35 68.5 90) (layer "Dwgs.User") (tstamp 2165fa11-e4cf-404c-9483-a0a8e1ba5fb2)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (format (units 2) (units_format 1) (precision 4))
+ (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned)
+ )
+ (dimension (type aligned) (layer "Dwgs.User") (tstamp 2a02989d-0ccd-4a2d-9ddc-602af5dbd8d1)
+ (pts (xy 219.7 43.5) (xy 219.7 49))
+ (height -10)
+ (gr_text "5.5000 mm" (at 230.85 46.25 270) (layer "Dwgs.User") (tstamp 2a02989d-0ccd-4a2d-9ddc-602af5dbd8d1)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (format (units 2) (units_format 1) (precision 4))
+ (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned)
+ )
+ (dimension (type aligned) (layer "Dwgs.User") (tstamp b77f0982-249b-432c-9990-d9e818a78c78)
+ (pts (xy 135.2 48.5) (xy 225.2 48.5))
+ (height -11)
+ (gr_text "90.0000 mm" (at 180.2 36.35) (layer "Dwgs.User") (tstamp b77f0982-249b-432c-9990-d9e818a78c78)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (format (units 2) (units_format 1) (precision 4))
+ (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned)
+ )
+ (dimension (type aligned) (layer "Dwgs.User") (tstamp c9e0b2ee-b9f3-45ea-95d6-2bab49f0c441)
+ (pts (xy 160.2 68.5) (xy 200.2 68.5))
+ (height 30.5)
+ (gr_text "40.0000 mm" (at 180.2 100.15) (layer "Dwgs.User") (tstamp c9e0b2ee-b9f3-45ea-95d6-2bab49f0c441)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (format (units 2) (units_format 1) (precision 4))
+ (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned)
+ )
+
+ (segment (start 202.4 46.1) (end 202.35701 46.1) (width 0.2) (layer "B.Cu") (net 0) (tstamp 1e05bd9a-0ef7-41fa-892a-2b57eb1140b5))
+ (via (at 185.8 64.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005eb100cf))
+ (via (at 186.1 63.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005eb100d1))
+ (via (at 188.3 68.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005eb19082))
+ (via (at 187.45 70.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 06fc1c04-c6d7-48e1-9a36-03a225097dc9))
+ (via (at 181.2 54.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0a3cd881-b24b-40f6-990f-82557be00b2c))
+ (via (at 198.5 48.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0f4eb4a6-9914-4bef-9c2c-325e3b506b05))
+ (via (at 175.62 64.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0f669d6c-b883-4710-b2c7-96cd91a99d5b))
+ (via (at 166.14 60.78) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 14b25e78-8fbb-4ede-8b04-fddf3233b5b2))
+ (via (at 176.2 72.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 19cb1079-7ed0-4eb1-96c4-dfe53d0d2352))
+ (via (at 202.16 70.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1db674d0-8bab-4ed7-aca3-fdae33164d7a))
+ (via (at 188.112019 69.980226) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 23964d31-295b-42c0-85ec-6d418606d474))
+ (via (at 207.3 57) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2ee11dc5-9c93-4b7c-9f88-df52a22bec25))
+ (via (at 180.8 56.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 324d3611-5f05-4f39-b6bd-1484163d53d6))
+ (via (at 187.72 72.68) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3ad0eaf6-9c84-4e82-b506-c0c714222cfa))
+ (via (at 198.5 48) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3e2d7a40-f271-4e72-a820-2007b4056620))
+ (via (at 198.5 46.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 442f5623-cee0-4719-a646-76e562737252))
+ (via (at 182.75 67.26) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 489e7e10-9d9a-41fc-8c0a-fd4b5657b553))
+ (via (at 198.8 55.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4bcb24b3-defe-4a2e-9895-57f2b86256a8))
+ (via (at 198.5 47.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 545971fa-1e2d-45c2-83b5-f15c6e1dba00))
+ (via (at 206.3 57) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5cd6832f-250b-49d9-ab0e-c302658bde69))
+ (via (at 205 68.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6316083c-706b-42c5-af63-176e81ca35ea))
+ (via (at 174.5 55.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6802772d-77d6-4587-8653-ac242f11aa8d))
+ (via (at 184.5 52.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 68100617-68aa-443a-97f2-e17f93355f20))
+ (via (at 182 74.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6d6c18b0-468a-48ea-a8ed-2b06a1be312a))
+ (via (at 177.25 67.28) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 74f48242-2f46-455a-a24c-5b80d7d87502))
+ (via (at 183.2 53.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7c65d022-2d89-48eb-8d0c-35d2d1fdc089))
+ (via (at 175.7 72.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7f0e3885-027b-4402-844d-510de829c9d8))
+ (via (at 187.8 52.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 81f2490d-322f-4ce0-98be-7dc14b1bd679))
+ (via (at 188.3 67.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 88dcbb94-6136-42e7-a6a6-3e4f64e99805))
+ (via (at 181.6 56.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 917fd9a2-03ba-44ee-91f5-b03c2067c3a8))
+ (via (at 196.5 56.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 956b1989-ca47-4daa-9e8b-5ea3aa118345))
+ (via (at 206.6 53.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9b24fb07-0f9b-4a36-94e3-c886ab377c34))
+ (via (at 195 54.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a2f9a368-7ffe-4c57-8a7f-398e7d012514))
+ (via (at 187.2 65.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a7935764-1d19-4f8b-b18c-e774d29d4c17))
+ (via (at 179.9 65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a8f7093e-7af2-40cc-9cb5-9daa3a46e54f))
+ (via (at 187.8 45.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ad37a6cb-93e3-4948-963c-8023f148f652))
+ (via (at 200.83 57.62) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp afbdcd48-820a-4257-8266-7669e7970a9f))
+ (via (at 179.2 65.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp bc9227c7-8380-40fc-b7ae-bc1dfacc5f5e))
+ (via (at 149.6 68.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c29f69ed-fbb6-40ee-9d6e-27a30740717a))
+ (via (at 183.385 67.815) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c45669f9-b119-48e8-b636-5336ad64fec1))
+ (via (at 199.4 54.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c4fb91bd-772c-4413-b44b-0257beadee68))
+ (via (at 186.1 52.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ca764ea3-a0cb-486e-af64-1e5ce1c5065d))
+ (via (at 186.4 65.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ce8b07a1-0368-421e-a7cb-d986c6a25d7f))
+ (via (at 178.9 56.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d1ae4a73-eb03-40e5-a8f8-cc6b979c3f23))
+ (via (at 181 74.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d47965c1-e0e1-47e2-ba5f-e022efff5264))
+ (via (at 209 55.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d5754d37-20a7-4d66-8779-e589c887ee49))
+ (via (at 198.5 49.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp e088e217-539c-4db9-8af9-0bb89659ee00))
+ (via (at 198.5 45.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp e18f8821-b500-465c-8173-891384cbb257))
+ (via (at 207.7 53.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp e48b8ee4-7815-4466-8102-4df0292615dd))
+ (via (at 175.9 56.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp e615366d-d409-474e-85a5-db6d16be9289))
+ (via (at 185.3 52.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ea080f06-4f30-4972-bf11-d83aeaeb5701))
+ (via (at 198.24 59.66) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f5dd7963-beec-49d9-a66e-b1ca0ba64dc1))
+ (segment (start 183.385 67.815) (end 183.1 68.1) (width 0.2) (layer "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005eb1d81f))
+ (segment (start 177 50.925) (end 175.925 52) (width 0.6) (layer "B.Cu") (net 1) (tstamp 03f7871c-1908-40c2-9a68-036e7cb6d965))
+ (segment (start 188.975 69) (end 188.975 68.825) (width 0.6) (layer "B.Cu") (net 1) (tstamp 09b067bf-4051-4bac-9f3e-db126bc36ea2))
+ (segment (start 188.3 67.3) (end 188.9375 67.3) (width 0.6) (layer "B.Cu") (net 1) (tstamp 0c96dc52-1250-4711-af28-8ffb6f96ce14))
+ (segment (start 188.412018 69.680227) (end 188.112019 69.980226) (width 0.6) (layer "B.Cu") (net 1) (tstamp 1c2e31a5-e0b7-4a33-9733-6dd884d35cd4))
+ (segment (start 182.2 73.68198) (end 182.2 74.3) (width 0.2) (layer "B.Cu") (net 1) (tstamp 21c8bf0b-58be-4c16-9c41-e35ba22c0900))
+ (segment (start 183.4 50.5) (end 184.5 51.6) (width 0.6) (layer "B.Cu") (net 1) (tstamp 2aca6df1-b7f1-40c4-bed8-612235038464))
+ (segment (start 177 50.5) (end 177 50.925) (width 0.6) (layer "B.Cu") (net 1) (tstamp 309946f4-bc10-471a-920b-2a2733134907))
+ (segment (start 189.0375 67.2) (end 189.0375 67.4125) (width 0.6) (layer "B.Cu") (net 1) (tstamp 4d29aebe-555d-4188-9494-492e8a418bad))
+ (segment (start 179.45 57.25) (end 178.95 56.75) (width 0.6) (layer "B.Cu") (net 1) (tstamp 53b1593d-a3f0-4ec5-919e-14e764833203))
+ (segment (start 184.10287 67.647606) (end 183.552394 67.647606) (width 0.2) (layer "B.Cu") (net 1) (tstamp 57df777a-d97a-4de4-8150-bed3f84d72e4))
+ (segment (start 183.1 68.1) (end 183.1 68.2) (width 0.2) (layer "B.Cu") (net 1) (tstamp 58f8a2b7-1859-422d-92e4-8ed2aa739c64))
+ (segment (start 184.5 51.6) (end 184.5 52.6) (width 0.6) (layer "B.Cu") (net 1) (tstamp 68e9fdd0-51f4-4eeb-a985-de9bcd6fabf1))
+ (segment (start 181.552342 73.034322) (end 182.2 73.68198) (width 0.2) (layer "B.Cu") (net 1) (tstamp 9563eab9-4c21-4aca-b1f8-375c45b0a37f))
+ (segment (start 188.412018 69.037982) (end 188.412018 69.680227) (width 0.6) (layer "B.Cu") (net 1) (tstamp aca1e0c4-4780-4919-a59e-a3775ec1aae3))
+ (segment (start 178.95 56.75) (end 178.95 55.35) (width 0.6) (layer "B.Cu") (net 1) (tstamp aeb16471-04ee-463e-9831-08c48622d5a6))
+ (segment (start 175.925 52) (end 175.6 52) (width 0.6) (layer "B.Cu") (net 1) (tstamp b2df4a7c-adcd-4c3b-80c1-8eda5a392e4a))
+ (segment (start 184.734322 67.147658) (end 184.602818 67.147658) (width 0.2) (layer "B.Cu") (net 1) (tstamp b33266a9-eee4-4c46-add7-866db99f31f1))
+ (segment (start 188.45 69) (end 188.412018 69.037982) (width 0.6) (layer "B.Cu") (net 1) (tstamp c1f65eba-0996-4b0e-93f9-d8a58f52aba7))
+ (segment (start 188.9375 67.3) (end 189.0375 67.2) (width 0.6) (layer "B.Cu") (net 1) (tstamp c3ecef9b-0c15-4bd3-ae97-86cb8e29d373))
+ (segment (start 188.3 67.3) (end 188.3 68.15) (width 0.6) (layer "B.Cu") (net 1) (tstamp d94db6a2-b884-47b0-b50a-3943f1080aca))
+ (segment (start 183.552394 67.647606) (end 183.385 67.815) (width 0.2) (layer "B.Cu") (net 1) (tstamp db1a7674-8c91-42cd-945b-695dc26efe9b))
+ (segment (start 188.975 69) (end 188.45 69) (width 0.6) (layer "B.Cu") (net 1) (tstamp e0ccee74-c75b-4735-935b-38f316b4071a))
+ (segment (start 189.0375 67.4125) (end 188.3 68.15) (width 0.6) (layer "B.Cu") (net 1) (tstamp e94cab5f-8194-4843-a532-b830f8c24f9d))
+ (segment (start 188.975 68.825) (end 188.3 68.15) (width 0.6) (layer "B.Cu") (net 1) (tstamp ea37e9f0-1897-464f-bc79-6bfa6b87e1e9))
+ (segment (start 184.602818 67.147658) (end 184.10287 67.647606) (width 0.2) (layer "B.Cu") (net 1) (tstamp ebe0e4f3-c836-413c-bb61-45755e674941))
+ (segment (start 182.2 74.3) (end 182 74.5) (width 0.2) (layer "B.Cu") (net 1) (tstamp ec5c1580-d806-4acc-b39f-aa4ced16ecc4))
+ (segment (start 171.55 69.05) (end 161.55489 69.05) (width 0.2) (layer "F.Cu") (net 2) (tstamp 03d660cf-87ef-4b59-8928-c7c9f8b9559b))
+ (segment (start 145 70.85) (end 144.125 69.975) (width 0.2) (layer "F.Cu") (net 2) (tstamp 1f1cfe51-a4df-4fe9-aea2-3923e98f0fec))
+ (segment (start 180.1 74.6) (end 180.1 76.4) (width 0.2) (layer "F.Cu") (net 2) (tstamp 22efe0a2-db0e-49e6-8868-0f80a2b2eaca))
+ (segment (start 202.594002 58.74) (end 202.76 58.574002) (width 0.6) (layer "F.Cu") (net 2) (tstamp 259a5a15-ca9f-4f06-9e7c-e845a169b063))
+ (segment (start 144.125 69.975) (end 144.125 69.5) (width 0.2) (layer "F.Cu") (net 2) (tstamp 2a564a4e-93f5-4ecd-8d53-3bbd56e91f8e))
+ (segment (start 201.099999 49.399999) (end 200.8 49.1) (width 0.6) (layer "F.Cu") (net 2) (tstamp 33cbec6a-856c-4f57-b052-0851f6c98ae2))
+ (segment (start 180.2 81.3) (end 181.3 80.2) (width 0.2) (layer "F.Cu") (net 2) (tstamp 3ab7f7e1-1dd9-40b2-a479-8d2a4bd563b7))
+ (segment (start 146.442991 72.197879) (end 146.44299 71.39299) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4075c6d3-d7d0-4a23-8191-c23b9cdc9911))
+ (segment (start 197.14 58.74) (end 202.594002 58.74) (width 0.6) (layer "F.Cu") (net 2) (tstamp 57ef3891-77d6-46e3-b40d-76a07e3680e2))
+ (segment (start 202.76 57.78) (end 202.757001 57.663361) (width 0.6) (layer "F.Cu") (net 2) (tstamp 6f054ffe-351d-4d61-a058-3d63ca978019))
+ (segment (start 147.042989 72.797877) (end 146.442991 72.197879) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7272c794-9075-48bd-8e70-1a80a47b23dc))
+ (segment (start 178.9 54.1) (end 179.8 55) (width 0.4) (layer "F.Cu") (net 2) (tstamp 7ae636fb-c86c-463c-98f6-75820629a452))
+ (segment (start 145.9 70.85) (end 145 70.85) (width 0.2) (layer "F.Cu") (net 2) (tstamp 8183bdd1-7263-4711-a97d-cedf40ca36ce))
+ (segment (start 202.757001 57.663361) (end 202.757001 51.057001) (width 0.6) (layer "F.Cu") (net 2) (tstamp 841a5aca-fe06-4cf4-89a9-f1dee252c8b3))
+ (segment (start 202.757001 51.057001) (end 201.099999 49.399999) (width 0.6) (layer "F.Cu") (net 2) (tstamp a006e0a4-7ee5-433e-ad07-bbded67a08ee))
+ (segment (start 154.840868 75.764022) (end 147.959132 75.764022) (width 0.2) (layer "F.Cu") (net 2) (tstamp a108af95-840c-454a-bd0d-27119d8303de))
+ (segment (start 186.5 57.4) (end 186.5 58.7) (width 0.4) (layer "F.Cu") (net 2) (tstamp a4d189e6-7b86-484d-945c-f6c6e5d3897f))
+ (segment (start 146.44299 71.39299) (end 145.9 70.85) (width 0.2) (layer "F.Cu") (net 2) (tstamp abaf65cb-22fa-4c14-87dd-832146a425e5))
+ (segment (start 144.125 67.5) (end 144.125 69.5) (width 0.8) (layer "F.Cu") (net 2) (tstamp af185040-b370-4944-aecc-9ac15037abd6))
+ (segment (start 191.98 61.8) (end 189.024264 61.8) (width 0.6) (layer "F.Cu") (net 2) (tstamp b1baeb59-590a-454e-96dc-7dea8d6e6b8d))
+ (segment (start 196.8 58.4) (end 197.14 58.74) (width 0.6) (layer "F.Cu") (net 2) (tstamp b4f032c1-c1ef-416e-b6f7-9581ff4fd378))
+ (segment (start 179.8 55) (end 184.1 55) (width 0.4) (layer "F.Cu") (net 2) (tstamp b9dc47be-25ab-459d-a9a9-28c1ab43fb8d))
+ (segment (start 147.959132 75.764022) (end 147.042989 74.847879) (width 0.2) (layer "F.Cu") (net 2) (tstamp becf6441-63e9-4717-a2ac-74f71514fab7))
+ (segment (start 202.76 58.574002) (end 202.76 57.78) (width 0.6) (layer "F.Cu") (net 2) (tstamp c419238e-0da3-42ec-b8bc-d57c1eef87b9))
+ (segment (start 196.8 58.4) (end 195.38 58.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp c5a84e4d-a4ca-42ab-b92c-d2c3dd7a99e9))
+ (segment (start 161.55489 69.05) (end 154.840868 75.764022) (width 0.2) (layer "F.Cu") (net 2) (tstamp c7f3cc32-7662-4bdb-b027-502f31dde440))
+ (segment (start 184.1 55) (end 186.5 57.4) (width 0.4) (layer "F.Cu") (net 2) (tstamp cbd29525-5dfe-4198-9062-4eac9820dce7))
+ (segment (start 189.024264 61.8) (end 188.6 61.8) (width 0.6) (layer "F.Cu") (net 2) (tstamp d0db885c-fa21-41fc-84a5-e03e16eea1a9))
+ (segment (start 180.2 83) (end 180.2 81.3) (width 0.2) (layer "F.Cu") (net 2) (tstamp d4ed3b46-6883-428d-995d-24c8f9c86f81))
+ (segment (start 147.042989 74.847879) (end 147.042989 72.797877) (width 0.2) (layer "F.Cu") (net 2) (tstamp e757db59-8787-4269-a4dc-9e09ec7169d0))
+ (segment (start 172.15 69.65) (end 171.55 69.05) (width 0.2) (layer "F.Cu") (net 2) (tstamp f84e2d5f-71a5-414e-a25c-ca80d70795cb))
+ (segment (start 195.38 58.4) (end 191.98 61.8) (width 0.6) (layer "F.Cu") (net 2) (tstamp fc0ae444-0d3a-45cf-a0e2-0c8d8ce86c83))
+ (via (at 180.2 83) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 243572c3-d1f1-4656-a8a1-319c5462e7c7))
+ (via (at 180.1 74.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 276b5b65-d5d4-4ed0-8f54-439a313e45aa))
+ (via (at 172.15 69.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3493a0f9-1a45-42ad-a897-462b02f09b18))
+ (via (at 180.1 76.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 35bab51a-bc19-4d41-93ee-04a31014a1fa))
+ (via (at 178.9 54.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 57142fc0-497b-4fb9-b6a0-8bf6369e466f))
+ (via (at 200.8 49.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6e814f8d-64e5-4008-a1f6-8a1a6a9c3339))
+ (via (at 180.1 62.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ad615b01-407d-4dc1-b42d-d3b41e26af01))
+ (via (at 188.6 61.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e6518506-a816-4d63-8554-7df9151b936c))
+ (via (at 196.8 58.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e8434591-f858-4257-a9b2-3afac9f35e02))
+ (via (at 181.3 80.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ed1cb093-0702-48b5-88e9-7052d95df509))
+ (via (at 186.5 58.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f4574325-5a09-4f26-b5c3-7961ddbb0684))
+ (segment (start 180.7 71.9) (end 180.2 71.9) (width 0.8) (layer "B.Cu") (net 2) (tstamp 0740476d-e285-486b-a46d-5ef8dd21119e))
+ (segment (start 180.1 79.15) (end 180.2 79.25) (width 0.2) (layer "B.Cu") (net 2) (tstamp 0a3d126d-d17d-40b0-9b3c-6bbd25e570fa))
+ (segment (start 184.298841 68.301159) (end 180.7 71.9) (width 0.8) (layer "B.Cu") (net 2) (tstamp 0da939e5-0a8e-4748-8ba0-31c2afe9d77e))
+ (segment (start 187.675 60.625) (end 188.6 61.55) (width 0.6) (layer "B.Cu") (net 2) (tstamp 0f3257e4-8d2c-49c0-8cd0-639de02f24e3))
+ (segment (start 180.2 71.9) (end 180.2 72.4) (width 0.8) (layer "B.Cu") (net 2) (tstamp 10e81651-10d2-4275-b8d7-d2587aba6f44))
+ (segment (start 174.025 72.125) (end 174.5 72.125) (width 0.2) (layer "B.Cu") (net 2) (tstamp 121715fa-d7e8-4d6e-99f9-0bc257c7ff6c))
+ (segment (start 204.8 48.85) (end 204.8 55.15) (width 0.8) (layer "B.Cu") (net 2) (tstamp 137b540b-ef02-4973-952b-daf15a30bff4))
+ (segment (start 187.2 60.625) (end 187.675 60.625) (width 0.6) (layer "B.Cu") (net 2) (tstamp 1678ee57-f788-474c-9bb1-446012bb10ba))
+ (segment (start 185.901159 68.301159) (end 184.298841 68.301159) (width 0.8) (layer "B.Cu") (net 2) (tstamp 17a31df2-eaab-4dc4-9490-55ac1d7587c1))
+ (segment (start 172.15 69.67) (end 174.025 71.545) (width 0.2) (layer "B.Cu") (net 2) (tstamp 19209f10-f1db-4124-9fb1-ccce510ccaa4))
+ (segment (start 176.372785 70.559449) (end 177.532234 69.4) (width 0.2) (layer "B.Cu") (net 2) (tstamp 1cebd371-63cc-4b32-860b-f50e4d44eb12))
+ (segment (start 193.275 45.475) (end 193.275 45.675) (width 0.2) (layer "B.Cu") (net 2) (tstamp 1db3aa0a-2a76-4a9a-b39a-11f3f3d9226a))
+ (segment (start 172.15 69.65) (end 172.15 69.67) (width 0.2) (layer "B.Cu") (net 2) (tstamp 2ad8319f-ec58-412a-9598-e5fe8860e62b))
+ (segment (start 207.900972 73.5) (end 202.85 73.5) (width 0.8) (layer "B.Cu") (net 2) (tstamp 2b91ba88-99fb-4b84-b724-ac4c46e25868))
+ (segment (start 201.575 48.1875) (end 201.6375 48.25) (width 0.6) (layer "B.Cu") (net 2) (tstamp 2e65c772-99f8-47c5-8e36-ac0963e4d35b))
+ (segment (start 188.212989 66.149511) (end 188.212989 62.130001) (width 0.8) (layer "B.Cu") (net 2) (tstamp 304e8b86-b8ac-4089-98e2-865681413a67))
+ (segment (start 183.4 62.5) (end 180.1 62.5) (width 0.4) (layer "B.Cu") (net 2) (tstamp 38b01bb9-abeb-483a-aebe-ea1e9342268e))
+ (segment (start 180.1 62.5) (end 179.7 62.5) (width 0.4) (layer "B.Cu") (net 2) (tstamp 3d750553-ef86-40b2-9bd0-6ba91e536507))
+ (segment (start 184.500061 68.301159) (end 184.298841 68.301159) (width 0.2) (layer "B.Cu") (net 2) (tstamp 40214b83-ff9c-481b-bae7-733369bba408))
+ (segment (start 199.325 67.5) (end 199.325 67.025) (width 0.8) (layer "B.Cu") (net 2) (tstamp 40eea4a6-1bc2-48d8-bb24-9914871a850a))
+ (segment (start 180.2 86.75) (end 180.2 83) (width 0.2) (layer "B.Cu") (net 2) (tstamp 4c31914e-5102-44c2-9f6d-364d229413a2))
+ (segment (start 187.1625 67.2) (end 188.212989 66.149511) (width 0.8) (layer "B.Cu") (net 2) (tstamp 4fa4dc8a-4419-42b9-826c-ccd48673e459))
+ (segment (start 180.289088 72.489088) (end 180.289088 74.410912) (width 0.2) (layer "B.Cu") (net 2) (tstamp 539387c0-db82-410e-a9d3-d584d7d12a51))
+ (segment (start 185.087876 67.713344) (end 184.500061 68.301159) (width 0.2) (layer "B.Cu") (net 2) (tstamp 5780e6d2-5ce0-4fbc-b6d1-f76b8fe067e4))
+ (segment (start 187.225 67.2625) (end 187.1625 67.2) (width 0.8) (layer "B.Cu") (net 2) (tstamp 5abe0e34-869e-4a3b-abe6-ce7adaac4838))
+ (segment (start 193.275 49.1) (end 193.275 45.675) (width 0.2) (layer "B.Cu") (net 2) (tstamp 5ea13c26-f145-462b-89ad-30d788eaea0c))
+ (segment (start 174.025 71.545) (end 174.025 72.125) (width 0.2) (layer "B.Cu") (net 2) (tstamp 5eeee4da-d6f3-4c05-9fcc-112268c69975))
+ (segment (start 199.325 69.5) (end 199.325 67.5) (width 0.8) (layer "B.Cu") (net 2) (tstamp 61921f9b-80de-472a-8241-47cb304afde0))
+ (segment (start 202.85 73.5) (end 199.325 69.975) (width 0.8) (layer "B.Cu") (net 2) (tstamp 6459598d-b248-4200-9990-7408da03936a))
+ (segment (start 199.325 67.025) (end 197.05 64.75) (width 0.8) (layer "B.Cu") (net 2) (tstamp 659cb80a-399a-4dc4-bb9c-35837abf9964))
+ (segment (start 201.575 46.25) (end 201.575 48.1875) (width 0.6) (layer "B.Cu") (net 2) (tstamp 662c895f-99cb-43ff-afc2-803f191eaa26))
+ (segment (start 180.2 80.1) (end 180.2 79.25) (width 0.2) (layer "B.Cu") (net 2) (tstamp 6a2e52ed-75e0-4087-91c9-f16a819accd8))
+ (segment (start 180.1 72.489088) (end 179.201212 73.387876) (width 0.2) (layer "B.Cu") (net 2) (tstamp 70293900-edea-4887-9aae-48a865d452ec))
+ (segment (start 180.2 72.4) (end 180.1 72.489088) (width 0.2) (layer "B.Cu") (net 2) (tstamp 836b18ea-9566-43df-8ef6-bc212dbd4bef))
+ (segment (start 185.2 60.7) (end 183.4 62.5) (width 0.4) (layer "B.Cu") (net 2) (tstamp 8380f7c2-978d-4d1c-9bc2-ec15b6ec6083))
+ (segment (start 180.289088 72.489088) (end 180.2 72.4) (width 0.2) (layer "B.Cu") (net 2) (tstamp 84d7f56d-300c-4646-ab74-86b8a63bba26))
+ (segment (start 199.325 69.975) (end 199.325 69.5) (width 0.8) (layer "B.Cu") (net 2) (tstamp 855e64c1-e14c-464c-a98e-eea90990da41))
+ (segment (start 188.6 61.55) (end 188.6 61.8) (width 0.6) (layer "B.Cu") (net 2) (tstamp 85fec97f-6e89-40d3-909d-7d24a69f3f81))
+ (segment (start 197.05 64.75) (end 197.05 58.8) (width 0.8) (layer "B.Cu") (net 2) (tstamp 86a3cca8-01be-4f8e-b953-a62d20c3ba81))
+ (segment (start 179.7 63.113336) (end 179.201212 63.612124) (width 0.2) (layer "B.Cu") (net 2) (tstamp 87f92525-c501-46bc-8356-3e0c4c5a8153))
+ (segment (start 174.807234 72.125) (end 174.5 72.125) (width 0.2) (layer "B.Cu") (net 2) (tstamp 891cdba7-1844-4fde-bc33-73be47922c13))
+ (segment (start 176.372785 70.559449) (end 174.807234 72.125) (width 0.2) (layer "B.Cu") (net 2) (tstamp 891f5ae1-d484-4381-9e78-0d93b7dfe44c))
+ (segment (start 214.2 71.5) (end 209.900972 71.5) (width 0.8) (layer "B.Cu") (net 2) (tstamp 8af9fc5a-7f06-422f-960c-d69a1b5d9108))
+ (segment (start 185.2 60.625) (end 185.2 60.7) (width 0.4) (layer "B.Cu") (net 2) (tstamp 8e9192a6-c3a8-4500-b027-41240f04cc2b))
+ (segment (start 180.1 76.4) (end 180.1 79.15) (width 0.2) (layer "B.Cu") (net 2) (tstamp 9368d479-2c52-41e8-bdf6-61f03cb66a45))
+ (segment (start 201.575 46.25) (end 201.575 45.775) (width 0.2) (layer "B.Cu") (net 2) (tstamp 9836bae2-f261-4def-b180-96ab2feff66f))
+ (segment (start 197.05 58.8) (end 196.8 58.4) (width 0.8) (layer "B.Cu") (net 2) (tstamp a4abc528-bb30-4efb-ae5d-5f3ceb2d1f0e))
+ (segment (start 181.187876 73.387876) (end 180.289088 72.489088) (width 0.2) (layer "B.Cu") (net 2) (tstamp a5134e67-8ea3-483e-a192-878be0044cc8))
+ (segment (start 186.5 59.925) (end 187.2 60.625) (width 0.4) (layer "B.Cu") (net 2) (tstamp a73d07ec-7457-45da-8282-b55cea0cfd96))
+ (segment (start 193.275 49.825) (end 193.275 49.1) (width 0.2) (layer "B.Cu") (net 2) (tstamp aedeecd5-2a94-4365-8000-0e014b8e8621))
+ (segment (start 180.289088 74.410912) (end 180.1 74.6) (width 0.2) (layer "B.Cu") (net 2) (tstamp bd1046d5-8de9-488c-b360-292520684795))
+ (segment (start 187.225 69) (end 187.225 67.2625) (width 0.8) (layer "B.Cu") (net 2) (tstamp c6c54aee-a8d7-468d-8f10-3f10d8587cb7))
+ (segment (start 179.7 62.5) (end 179.7 63.113336) (width 0.2) (layer "B.Cu") (net 2) (tstamp c77148a0-666e-4f32-a674-d5339bf96c56))
+ (segment (start 200.75 44.95) (end 193.8 44.95) (width 0.2) (layer "B.Cu") (net 2) (tstamp c89890c1-df09-4342-b8b6-4d6e63ebe1e0))
+ (segment (start 187.225 69) (end 186.6 69) (width 0.8) (layer "B.Cu") (net 2) (tstamp cb4899e3-4a9f-45e3-84b0-289ec0b51ec2))
+ (segment (start 201.65 47.35) (end 201.575 47.275) (width 0.6) (layer "B.Cu") (net 2) (tstamp ccdf1dac-a58d-4165-852e-c35444de04fc))
+ (segment (start 201.6375 48.2625) (end 200.8 49.1) (width 0.6) (layer "B.Cu") (net 2) (tstamp cd3185b4-ffdb-407f-b5ad-cece91b82e9d))
+ (segment (start 209.900972 71.5) (end 207.900972 73.5) (width 0.8) (layer "B.Cu") (net 2) (tstamp d34b39d0-567e-421c-a724-323c05cb7a96))
+ (segment (start 186.5 58.7) (end 186.5 59.925) (width 0.4) (layer "B.Cu") (net 2) (tstamp d3f91b9f-862c-43b7-b3b5-2226789dbca9))
+ (segment (start 181.198788 73.387876) (end 181.187876 73.387876) (width 0.2) (layer "B.Cu") (net 2) (tstamp d4025dce-5b2c-4dcd-a234-3eb3567bb3b5))
+ (segment (start 180.3 80.2) (end 180.2 80.1) (width 0.2) (layer "B.Cu") (net 2) (tstamp d47ff181-043d-4b91-8899-27ed6f6d393f))
+ (segment (start 186.6 69) (end 185.901159 68.301159) (width 0.8) (layer "B.Cu") (net 2) (tstamp d4c08242-20c1-4ad2-9e51-55e1d1232e23))
+ (segment (start 185.087876 67.501212) (end 185.087876 67.713344) (width 0.2) (layer "B.Cu") (net 2) (tstamp d5a09255-4b1c-4a43-9fdd-5d5b161be79b))
+ (segment (start 188.212989 62.130001) (end 188.54299 61.8) (width 0.8) (layer "B.Cu") (net 2) (tstamp dc988975-4631-47a3-bfbd-df14841b2339))
+ (segment (start 201.6375 48.25) (end 201.6375 48.2625) (width 0.6) (layer "B.Cu") (net 2) (tstamp eb7c7b8e-d9ec-4b14-bfda-33f340045d0f))
+ (segment (start 187.2 60.625) (end 185.2 60.625) (width 0.6) (layer "B.Cu") (net 2) (tstamp edd4fcf6-6783-4314-8314-61e223a88933))
+ (segment (start 178.95 54.05) (end 178.9 54.1) (width 0.4) (layer "B.Cu") (net 2) (tstamp f008134b-aa92-46e2-9e9b-a84bf04fec8d))
+ (segment (start 202.2375 48.85) (end 201.6375 48.25) (width 0.8) (layer "B.Cu") (net 2) (tstamp f1b6c3cf-d995-43db-baa3-d3d7603e599e))
+ (segment (start 178.95 53.15) (end 178.95 54.05) (width 0.4) (layer "B.Cu") (net 2) (tstamp f3f8cb09-e60f-4ff0-82df-af081609c787))
+ (segment (start 193.8 44.95) (end 193.275 45.475) (width 0.2) (layer "B.Cu") (net 2) (tstamp f42aff94-1720-43f3-9c57-93f28d92795b))
+ (segment (start 180.2 71.9) (end 177.7 69.4) (width 0.8) (layer "B.Cu") (net 2) (tstamp f826e7f8-3002-44f3-8b20-9cee34de560c))
+ (segment (start 177.532234 69.4) (end 177.7 69.4) (width 0.2) (layer "B.Cu") (net 2) (tstamp fa3aa8c7-b99c-4f03-bac1-b34e1c9f0d68))
+ (segment (start 181.3 80.2) (end 180.3 80.2) (width 0.2) (layer "B.Cu") (net 2) (tstamp faa4214d-cc3f-40e8-9978-e5ff50de3c0f))
+ (segment (start 204.8 48.85) (end 202.2375 48.85) (width 0.8) (layer "B.Cu") (net 2) (tstamp fb0434f9-9a38-4626-b52d-960618d5a9a9))
+ (segment (start 201.575 45.775) (end 200.75 44.95) (width 0.2) (layer "B.Cu") (net 2) (tstamp fb57d272-11b9-4dae-acb2-89949e6a78dc))
+ (segment (start 201.575 47.275) (end 201.575 46.25) (width 0.6) (layer "B.Cu") (net 2) (tstamp fca3c4d3-bf51-4f94-9bf6-fb74b83889ce))
+ (segment (start 175.9 82.8) (end 175.9 80.4) (width 0.2) (layer "F.Cu") (net 8) (tstamp 0eb8549b-3d1e-4588-bc15-de5e42a0b3dd))
+ (segment (start 176.1 80.2) (end 176.1 79.6) (width 0.2) (layer "F.Cu") (net 8) (tstamp 8efd58ff-e50e-404a-b595-6e66f6f70bcc))
+ (segment (start 175.9 80.4) (end 176.1 80.2) (width 0.2) (layer "F.Cu") (net 8) (tstamp 93cd0d54-9020-44e2-9a0d-9a3ffc19d2b8))
+ (via (at 176.1 79.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 8) (tstamp cdc32a3f-61e3-493a-b43a-6a044246b192))
+ (via (at 175.9 82.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 8) (tstamp cf9c498e-b0b5-4ffc-b006-798ef4c62559))
+ (segment (start 177.66 84.56) (end 175.9 82.8) (width 0.2) (layer "B.Cu") (net 8) (tstamp 3b469a31-230b-4308-b35c-502777e2e3f7))
+ (segment (start 177.66 86.75) (end 177.66 84.56) (width 0.2) (layer "B.Cu") (net 8) (tstamp 4e203c7f-a740-4f11-ae5a-e079ff2d23b7))
+ (segment (start 176.1 79.6) (end 176.1 75.074874) (width 0.2) (layer "B.Cu") (net 8) (tstamp cb027b15-d3a7-4c5a-b419-3d604373825c))
+ (segment (start 176.1 75.074874) (end 178.494105 72.680769) (width 0.2) (layer "B.Cu") (net 8) (tstamp cb59b093-1794-49c2-8ca0-a4d386b429fa))
+ (segment (start 201.192999 68.292999) (end 190.757328 68.292999) (width 0.2) (layer "F.Cu") (net 10) (tstamp 081200a2-5bb5-48fc-853e-d2d630876eb9))
+ (segment (start 203.85 70.95) (end 203.85 69.45) (width 0.2) (layer "F.Cu") (net 10) (tstamp 1fb46f51-d38e-4b38-98c4-95d0d7ace224))
+ (segment (start 202.417361 67.992999) (end 201.492999 67.992999) (width 0.2) (layer "F.Cu") (net 10) (tstamp 2141c88f-8385-4d48-98fd-4512641566bd))
+ (segment (start 188.899999 70.258969) (end 188.6 70.558968) (width 0.2) (layer "F.Cu") (net 10) (tstamp 493b8776-f87b-4a44-bdf3-eac55e1c465b))
+ (segment (start 190.757328 68.292999) (end 188.899999 70.150328) (width 0.2) (layer "F.Cu") (net 10) (tstamp 68da7e20-917b-4d30-a3b2-a7145b828bea))
+ (segment (start 188.899999 70.150328) (end 188.899999 70.258969) (width 0.2) (layer "F.Cu") (net 10) (tstamp 970ee309-a4e7-4f84-b9f7-0c74558d0376))
+ (segment (start 208.35 73.1) (end 206 73.1) (width 0.2) (layer "F.Cu") (net 10) (tstamp a880caa3-5d13-42b1-8b47-c6cfe60ab817))
+ (segment (start 211.45 69.17) (end 211.45 70) (width 0.2) (layer "F.Cu") (net 10) (tstamp b3798fd6-9c34-47d4-a055-8913bcd90978))
+ (segment (start 214.2 66.42) (end 211.45 69.17) (width 0.2) (layer "F.Cu") (net 10) (tstamp b4359785-f29e-4220-b8b4-f05ec9d83574))
+ (segment (start 201.492999 67.992999) (end 201.192999 68.292999) (width 0.2) (layer "F.Cu") (net 10) (tstamp bf41da35-8bed-4089-a471-a08709e8c34e))
+ (segment (start 203.85 69.45) (end 202.417361 67.992999) (width 0.2) (layer "F.Cu") (net 10) (tstamp e8398dac-ee0e-4702-8faf-113fadf400a1))
+ (segment (start 206 73.1) (end 203.85 70.95) (width 0.2) (layer "F.Cu") (net 10) (tstamp f60a5b7b-3dc5-4986-9898-9e7c8c8c3594))
+ (segment (start 211.45 70) (end 208.35 73.1) (width 0.2) (layer "F.Cu") (net 10) (tstamp f91881cd-28c4-4a1c-85dd-e5f2187a5a77))
+ (via (at 188.6 70.558968) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 10) (tstamp 03e65c04-79d0-490d-89a6-65f969731e81))
+ (segment (start 186.660187 70.858967) (end 188.300001 70.858967) (width 0.2) (layer "B.Cu") (net 10) (tstamp 0ecec4c1-ad5c-4353-bcfe-2225840f3052))
+ (segment (start 188.300001 70.858967) (end 188.6 70.558968) (width 0.2) (layer "B.Cu") (net 10) (tstamp 6339d321-1012-482a-aecb-c2598bec8cc2))
+ (segment (start 185.300008 69.498788) (end 186.660187 70.858967) (width 0.2) (layer "B.Cu") (net 10) (tstamp 6fa3c3ec-6bed-4b79-907d-511ea3635874))
+ (segment (start 185.087876 69.498788) (end 185.300008 69.498788) (width 0.2) (layer "B.Cu") (net 10) (tstamp f830d67c-1fb7-412c-ac81-f01994b85544))
+ (segment (start 187.35 64.55) (end 188 64.55) (width 0.2) (layer "F.Cu") (net 11) (tstamp 04ac5447-888c-417d-829e-ea24f2d5ccf8))
+ (segment (start 195.542999 64.542999) (end 197.032999 64.542999) (width 0.2) (layer "F.Cu") (net 11) (tstamp 04cdbb26-0ad3-44ac-950e-7840a7fa51c5))
+ (segment (start 192.5 63.65) (end 194.65 63.65) (width 0.2) (layer "F.Cu") (net 11) (tstamp 0a4be0b9-65bc-47be-bb5b-55d7ed16b97e))
+ (segment (start 188 64.55) (end 189.082639 65.632639) (width 0.2) (layer "F.Cu") (net 11) (tstamp 877bcd70-649d-423a-b14a-3ec064d5c099))
+ (segment (start 190.517361 65.632639) (end 192.5 63.65) (width 0.2) (layer "F.Cu") (net 11) (tstamp a68be257-7344-47cf-bdee-62f2684ad719))
+ (segment (start 189.082639 65.632639) (end 190.517361 65.632639) (width 0.2) (layer "F.Cu") (net 11) (tstamp b0bafc7b-16da-4b4c-85cb-5bdeda7641a7))
+ (segment (start 197.93 65.44) (end 201.36 65.44) (width 0.2) (layer "F.Cu") (net 11) (tstamp bda3d08e-5a17-4fd7-87cf-185d105b82f6))
+ (segment (start 201.36 65.44) (end 202.05 64.75) (width 0.2) (layer "F.Cu") (net 11) (tstamp c715a221-1ed0-492f-8b50-7ec9777ab705))
+ (segment (start 194.65 63.65) (end 195.542999 64.542999) (width 0.2) (layer "F.Cu") (net 11) (tstamp de48bfda-757d-42b6-b404-e22a0a517360))
+ (segment (start 197.032999 64.542999) (end 197.93 65.44) (width 0.2) (layer "F.Cu") (net 11) (tstamp e17578ca-59f4-49a4-8bff-3b44846ed204))
+ (via (at 187.35 64.55) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 11) (tstamp 52f8b4ad-1083-4bbe-9b65-c96f477498bf))
+ (via (at 202.05 64.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 11) (tstamp b8a337aa-9d5f-4211-9907-6d2a18f1ba91))
+ (segment (start 214.2 68.96) (end 210.94 65.7) (width 0.2) (layer "B.Cu") (net 11) (tstamp 00eb2b06-f111-498e-869d-743fa3652dcd))
+ (segment (start 202.75 64.55) (end 202.55 64.75) (width 0.2) (layer "B.Cu") (net 11) (tstamp 2542d37a-a0c7-434d-a0a2-eed28fd5940a))
+ (segment (start 206.3 64.55) (end 202.75 64.55) (width 0.2) (layer "B.Cu") (net 11) (tstamp 4c22f580-c03d-471c-a4a1-58e0cda40749))
+ (segment (start 202.55 64.75) (end 202.05 64.75) (width 0.2) (layer "B.Cu") (net 11) (tstamp 50969b5f-a5fb-4196-889b-f580439ca7ff))
+ (segment (start 206.55 64.3) (end 206.3 64.55) (width 0.2) (layer "B.Cu") (net 11) (tstamp 624d8d07-9441-4a7c-a9c5-2f67662c17f5))
+ (segment (start 184.380769 66.794105) (end 186.624874 64.55) (width 0.2) (layer "B.Cu") (net 11) (tstamp 9a9cc2e8-c2b4-4c42-8455-96baadf831f8))
+ (segment (start 186.624874 64.55) (end 186.925736 64.55) (width 0.2) (layer "B.Cu") (net 11) (tstamp b13e27b5-e8b4-4022-a6fc-37b66aec972b))
+ (segment (start 186.925736 64.55) (end 187.35 64.55) (width 0.2) (layer "B.Cu") (net 11) (tstamp c0a56800-bc21-4f1c-8103-dd8d2b676ad0))
+ (segment (start 210.05 65.7) (end 208.65 64.3) (width 0.2) (layer "B.Cu") (net 11) (tstamp ef9f51cf-abbe-4c8e-989d-a1f7fa0dbcfc))
+ (segment (start 210.94 65.7) (end 210.05 65.7) (width 0.2) (layer "B.Cu") (net 11) (tstamp fd64f0ba-4a7c-464b-9aff-1c8b44ed3a17))
+ (segment (start 208.65 64.3) (end 206.55 64.3) (width 0.2) (layer "B.Cu") (net 11) (tstamp ff80f59f-5250-4efd-aae7-24acc1d3352f))
+ (segment (start 182.2 52.625) (end 182.075 52.625) (width 0.2) (layer "B.Cu") (net 12) (tstamp 1e9e69d4-9047-42e4-bf2e-2c8af20ea92f))
+ (segment (start 179.9 54.8) (end 179.9 55.35) (width 0.2) (layer "B.Cu") (net 12) (tstamp 1f0ffe20-7621-42b8-8be6-54525d183117))
+ (segment (start 182.075 52.625) (end 179.9 54.8) (width 0.2) (layer "B.Cu") (net 12) (tstamp 4ee5722e-b030-4e01-a9c6-cb8a341c2763))
+ (segment (start 183.65 55.35) (end 180.425 55.35) (width 0.2) (layer "B.Cu") (net 12) (tstamp 5a61b8de-acc4-4dc4-be04-3e88717bfb39))
+ (segment (start 181.95 50.5) (end 181.95 52.375) (width 0.2) (layer "B.Cu") (net 12) (tstamp 739e6cfb-320b-45d5-9495-6628730f5956))
+ (segment (start 184.125 54.4) (end 184.125 54.875) (width 0.2) (layer "B.Cu") (net 12) (tstamp 7d9bd282-5ca2-42e4-aa78-3e96f602732e))
+ (segment (start 184.125 54.875) (end 183.65 55.35) (width 0.2) (layer "B.Cu") (net 12) (tstamp 8d167124-3f75-4a8a-9faf-e70fd54e048b))
+ (segment (start 180.425 55.35) (end 179.9 55.35) (width 0.2) (layer "B.Cu") (net 12) (tstamp b2681c02-a097-44f5-9061-cd58514a6dde))
+ (segment (start 181.95 52.375) (end 182.2 52.625) (width 0.2) (layer "B.Cu") (net 12) (tstamp f7a3105f-0633-46ce-b4d5-56e045fef5df))
+ (segment (start 178 55.35) (end 177.75 55.35) (width 0.2) (layer "B.Cu") (net 13) (tstamp 0c125d43-50fb-4b9c-8a9b-90b8e6bb7b4a))
+ (segment (start 175.95 52.85) (end 175.95 53.375) (width 0.2) (layer "B.Cu") (net 13) (tstamp 1b20dbdb-e7b2-42b3-a510-7daf997ac60c))
+ (segment (start 177.75 55.35) (end 175.95 53.55) (width 0.2) (layer "B.Cu") (net 13) (tstamp 56031547-6b49-4a4b-a9ce-a76dfd62adf4))
+ (segment (start 175.95 53.55) (end 175.95 53.375) (width 0.2) (layer "B.Cu") (net 13) (tstamp 577e900b-7b20-4b79-8cca-547fcce6fbf2))
+ (segment (start 178.95 50.5) (end 178.95 51.425) (width 0.2) (layer "B.Cu") (net 13) (tstamp 6dc2272b-85a1-44c8-8c83-71aa2845c38b))
+ (segment (start 178 55.35) (end 178 56.95) (width 0.2) (layer "B.Cu") (net 13) (tstamp 7099edb4-61b8-4a0c-be17-82631d526d0d))
+ (segment (start 178.075 52.3) (end 176.5 52.3) (width 0.2) (layer "B.Cu") (net 13) (tstamp 713ecf19-4433-42d0-a736-a65bac78376f))
+ (segment (start 176.5 52.3) (end 175.95 52.85) (width 0.2) (layer "B.Cu") (net 13) (tstamp 71765752-5e09-4bdf-91c4-eefd0488acbb))
+ (segment (start 178 56.95) (end 177.7 57.25) (width 0.2) (layer "B.Cu") (net 13) (tstamp 797fc8fc-b0ce-4a82-8a40-59902390f7ea))
+ (segment (start 178.95 51.425) (end 178.075 52.3) (width 0.2) (layer "B.Cu") (net 13) (tstamp f48c4d4f-8d88-4160-84cb-a4051a8dc857))
+ (segment (start 178.342999 54.43811) (end 178.347011 54.442122) (width 0.2) (layer "F.Cu") (net 17) (tstamp 08758369-4965-4c34-b1bb-1bf9f49d62c6))
+ (segment (start 183.414012 63.785988) (end 183.900001 63.299999) (width 0.2) (layer "F.Cu") (net 17) (tstamp 27732f6e-8be8-43b4-be09-58c72b35e023))
+ (segment (start 178.342999 53.832639) (end 178.342999 54.43811) (width 0.2) (layer "F.Cu") (net 17) (tstamp 27cbd11f-38c2-412b-837c-12b36908a0db))
+ (segment (start 179.175638 53) (end 178.342999 53.832639) (width 0.2) (layer "F.Cu") (net 17) (tstamp 4aa40090-e1f5-4566-83fe-fdf3e633ecce))
+ (segment (start 183.900001 63.299999) (end 184.2 63) (width 0.2) (layer "F.Cu") (net 17) (tstamp 681df810-722c-4243-8a86-28a0fced46b0))
+ (segment (start 182.985988 63.785988) (end 183.414012 63.785988) (width 0.2) (layer "F.Cu") (net 17) (tstamp 861a7d51-4687-4a48-9136-7b12ef3acc91))
+ (segment (start 178.347011 56.671373) (end 178.347011 59.147011) (width 0.2) (layer "F.Cu") (net 17) (tstamp a3c18423-fd62-4e4a-8a7f-75770a8eb975))
+ (segment (start 178.347011 56.128627) (end 178.342999 56.132639) (width 0.2) (layer "F.Cu") (net 17) (tstamp a5914d7d-aee2-477d-9e77-ec777cec4b03))
+ (segment (start 178.347011 54.442122) (end 178.347011 56.128627) (width 0.2) (layer "F.Cu") (net 17) (tstamp c0facee0-b435-4bd5-b450-91dd6a5a0526))
+ (segment (start 180.7 53) (end 179.175638 53) (width 0.2) (layer "F.Cu") (net 17) (tstamp cc7bd960-8607-4aa7-9b77-41c4ce5e8574))
+ (segment (start 178.342999 56.667361) (end 178.347011 56.671373) (width 0.2) (layer "F.Cu") (net 17) (tstamp ce9e675a-bad8-41b3-a068-5ec51928e2e8))
+ (segment (start 178.347011 59.147011) (end 182.985988 63.785988) (width 0.2) (layer "F.Cu") (net 17) (tstamp d271b5d6-86ca-4314-8a58-aeb165ea9a71))
+ (segment (start 178.342999 56.132639) (end 178.342999 56.667361) (width 0.2) (layer "F.Cu") (net 17) (tstamp ddb1a86c-37d3-4877-a2aa-dcdd4bd5ca70))
+ (via (at 184.2 63) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 17) (tstamp db5e46d3-86cc-46ac-9775-359f49512b85))
+ (via (at 180.7 53) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 17) (tstamp e037e0bf-493b-4f41-b44b-f4361187e9c1))
+ (segment (start 184.027215 66.440551) (end 185.157002 65.310764) (width 0.2) (layer "B.Cu") (net 17) (tstamp 0c54d71e-4f54-4434-98d9-011a19a7f640))
+ (segment (start 179.9 51.9) (end 179.9 53.15) (width 0.2) (layer "B.Cu") (net 17) (tstamp 2de0cd36-ab06-4ae3-9042-efbe25183c76))
+ (segment (start 179.95 53.1) (end 179.9 53.15) (width 0.2) (layer "B.Cu") (net 17) (tstamp 437d40aa-9602-4b28-baee-969c56b4c662))
+ (segment (start 180.95 51.425) (end 180.875 51.5) (width 0.2) (layer "B.Cu") (net 17) (tstamp 5024afba-7c98-4a77-a1de-288ff124d7c3))
+ (segment (start 180.55 53.15) (end 180.7 53) (width 0.2) (layer "B.Cu") (net 17) (tstamp 6143f460-74af-46e1-99ee-ead36a0ad52f))
+ (segment (start 179.95 50.5) (end 179.95 53.1) (width 0.2) (layer "B.Cu") (net 17) (tstamp 62308f0d-9205-4fe1-b154-95a6ee7ee607))
+ (segment (start 180.875 51.5) (end 180.3 51.5) (width 0.2) (layer "B.Cu") (net 17) (tstamp 6820e975-cfaa-4be4-9f1a-8352a55d37a5))
+ (segment (start 185.157002 63.732638) (end 184.424364 63) (width 0.2) (layer "B.Cu") (net 17) (tstamp 7863e329-d17f-4195-938d-588f5e600c87))
+ (segment (start 185.157002 65.310764) (end 185.157002 63.732638) (width 0.2) (layer "B.Cu") (net 17) (tstamp b05c174b-6723-4780-827b-ba49e4e69af8))
+ (segment (start 184.424364 63) (end 184.2 63) (width 0.2) (layer "B.Cu") (net 17) (tstamp be6801de-6d10-4609-99ed-c7661f3debf7))
+ (segment (start 180.95 50.5) (end 180.95 51.425) (width 0.2) (layer "B.Cu") (net 17) (tstamp c963a446-489f-4097-a843-7c8e15d3f06c))
+ (segment (start 179.9 53.15) (end 180.55 53.15) (width 0.2) (layer "B.Cu") (net 17) (tstamp ef1cf4e7-d407-405c-a35e-9df44b04951c))
+ (segment (start 180.3 51.5) (end 179.9 51.9) (width 0.2) (layer "B.Cu") (net 17) (tstamp f05562db-df77-43c0-b3f0-7efa725fa9cf))
+ (segment (start 177.99 56.819251) (end 177.99 59.294889) (width 0.2) (layer "F.Cu") (net 18) (tstamp 1a729cba-220d-4908-81b7-f38e68246ef8))
+ (segment (start 177.7 54.3) (end 177.99 54.59) (width 0.2) (layer "F.Cu") (net 18) (tstamp 34173284-a749-447d-8a0c-049fd4af2fde))
+ (segment (start 177.985988 56.815239) (end 177.99 56.819251) (width 0.2) (layer "F.Cu") (net 18) (tstamp 35818717-72e4-456e-87c6-8845082a0eae))
+ (segment (start 177.99 59.294889) (end 182.99511 64.299999) (width 0.2) (layer "F.Cu") (net 18) (tstamp 48c32343-a8a9-4d2e-9b28-28372269ac0e))
+ (segment (start 177.985988 55.984761) (end 177.985988 56.815239) (width 0.2) (layer "F.Cu") (net 18) (tstamp 9646cdcb-9949-469e-8d0f-c12493dc6b6f))
+ (segment (start 183.800001 64.299999) (end 184.1 64) (width 0.2) (layer "F.Cu") (net 18) (tstamp 9ac086ff-57fd-4d3a-9df6-b91cdbc791df))
+ (segment (start 177.99 55.980749) (end 177.985988 55.984761) (width 0.2) (layer "F.Cu") (net 18) (tstamp 9e42abd9-0cbe-454c-b9d1-ed3499999503))
+ (segment (start 177.99 54.59) (end 177.99 55.980749) (width 0.2) (layer "F.Cu") (net 18) (tstamp e9f3da12-e337-4282-9434-3b747ce37d9b))
+ (segment (start 182.99511 64.299999) (end 183.800001 64.299999) (width 0.2) (layer "F.Cu") (net 18) (tstamp ea949f67-d304-4963-93f9-a964224f8aa7))
+ (via (at 177.7 54.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 18) (tstamp 6db6e83f-e442-4f04-8d38-15e97f7f32a7))
+ (via (at 184.1 64) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 18) (tstamp 96b60be9-9f41-4e41-b427-a4b1233b5ca4))
+ (segment (start 183.673662 66.086998) (end 184.399999 65.360661) (width 0.2) (layer "B.Cu") (net 18) (tstamp 1240b090-1228-4860-bce7-191617c9a64f))
+ (segment (start 179.45 49.575) (end 179.45 50.5) (width 0.2) (layer "B.Cu") (net 18) (tstamp 23e9c2a1-0f7b-48ba-86ea-f7757f944590))
+ (segment (start 178 52.879889) (end 178 53.15) (width 0.2) (layer "B.Cu") (net 18) (tstamp 2fa750f8-7f79-41bb-9096-eafb786d0de0))
+ (segment (start 184.399999 65.360661) (end 184.399999 64.299999) (width 0.2) (layer "B.Cu") (net 18) (tstamp 3bd88031-70bb-4a0e-9237-d6c7f4e07363))
+ (segment (start 178 54) (end 177.7 54.3) (width 0.2) (layer "B.Cu") (net 18) (tstamp 561f3b27-1e00-4035-aab0-59c1fa4667f6))
+ (segment (start 184.399999 64.299999) (end 184.1 64) (width 0.2) (layer "B.Cu") (net 18) (tstamp 7d3a0866-f457-4845-99e1-10e5e045b7d4))
+ (segment (start 179.45 50.5) (end 179.45 51.429889) (width 0.2) (layer "B.Cu") (net 18) (tstamp 7f9f56bf-a35f-4d4e-bccb-5797a6462656))
+ (segment (start 179.507001 49.517999) (end 179.45 49.575) (width 0.2) (layer "B.Cu") (net 18) (tstamp 81889b6e-ba11-4c0d-8c13-051e743392b3))
+ (segment (start 180.305601 49.517999) (end 179.507001 49.517999) (width 0.2) (layer "B.Cu") (net 18) (tstamp 8ae25c25-b1f9-4aa7-9dee-83fe52b59235))
+ (segment (start 178 53.15) (end 178 54) (width 0.2) (layer "B.Cu") (net 18) (tstamp 8e6343ae-c95c-4c2d-a187-2e0df0f6a565))
+ (segment (start 180.45 49.662398) (end 180.305601 49.517999) (width 0.2) (layer "B.Cu") (net 18) (tstamp 9d82b8f2-3e4a-4015-85ba-81bcfd71430a))
+ (segment (start 180.45 50.5) (end 180.45 49.662398) (width 0.2) (layer "B.Cu") (net 18) (tstamp ecabae40-fa31-4230-97af-e6ce106d701d))
+ (segment (start 179.45 51.429889) (end 178 52.879889) (width 0.2) (layer "B.Cu") (net 18) (tstamp f5118571-5a3e-49ed-af61-2e658eaab9bb))
+ (segment (start 187.1 52) (end 187.9 51.2) (width 0.8) (layer "F.Cu") (net 19) (tstamp 1c00c935-573c-4144-b1da-30b460ef20fc))
+ (segment (start 187 56) (end 187 52.3) (width 0.4) (layer "F.Cu") (net 19) (tstamp 29769049-5727-4bac-be00-8b51217b3049))
+ (segment (start 187.1 52.2) (end 187 52.3) (width 0.6) (layer "F.Cu") (net 19) (tstamp 4dff60ae-e80b-4030-8e5d-462ec5f4d5d1))
+ (segment (start 193.275736 51.2) (end 193.775736 51.7) (width 0.8) (layer "F.Cu") (net 19) (tstamp 68d0e46b-ed70-4018-8f5f-db7a84314990))
+ (segment (start 183 51.7) (end 177.7 51.7) (width 0.6) (layer "F.Cu") (net 19) (tstamp 69615a23-d373-42ac-902e-0da3b6128d7f))
+ (segment (start 186.4 51.7) (end 183 51.7) (width 0.4) (layer "F.Cu") (net 19) (tstamp 88c5d04d-0bb8-4010-b229-2875d2e4a408))
+ (segment (start 193.775736 51.7) (end 194.2 51.7) (width 0.8) (layer "F.Cu") (net 19) (tstamp 8fea2688-5f1d-4337-8e65-f2bbf1f2c895))
+ (segment (start 187 52.3) (end 186.4 51.7) (width 0.4) (layer "F.Cu") (net 19) (tstamp a3147f46-9bd7-4694-bd2e-8bd502c38e41))
+ (segment (start 186.8 51.7) (end 187.1 52) (width 0.6) (layer "F.Cu") (net 19) (tstamp a3eb5999-2081-4d05-846c-44b2a63b510d))
+ (segment (start 183 51.7) (end 186.8 51.7) (width 0.6) (layer "F.Cu") (net 19) (tstamp b1759c3c-697e-4be6-85ae-8b48911d88d2))
+ (segment (start 187.9 51.2) (end 193.275736 51.2) (width 0.8) (layer "F.Cu") (net 19) (tstamp f0a33a6e-c097-47a0-9c16-b1bbc0062c6a))
+ (segment (start 187.1 52) (end 187.1 52.2) (width 0.6) (layer "F.Cu") (net 19) (tstamp fa2dcf34-3cbe-4c92-b910-7209cdd3e0ed))
+ (via (at 177.7 51.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 19) (tstamp 23bfed6d-0fb9-4d58-9dc7-afcba868b196))
+ (via (at 187 56) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 19) (tstamp 77cf6c36-fbdf-477b-bc5f-970012469a3f))
+ (via (at 194.2 51.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 19) (tstamp 793f4967-3947-4386-8840-f9a979e5a40d))
+ (via (at 183 51.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 19) (tstamp c9260bbd-43c8-4412-b24b-65ea03819de8))
+ (segment (start 196.45 52.8125) (end 195.3125 52.8125) (width 0.8) (layer "B.Cu") (net 19) (tstamp 0582ac40-51b0-4ddb-8263-3c380d2cb6b4))
+ (segment (start 198.45 52.9625) (end 196.6 52.9625) (width 0.8) (layer "B.Cu") (net 19) (tstamp 16f93cb8-2095-4856-b487-3f1b5bb4150e))
+ (segment (start 187.6 55.4) (end 187.26 55.74) (width 0.2) (layer "B.Cu") (net 19) (tstamp 1a000366-3c2d-4354-9b3d-782fd7520d85))
+ (segment (start 177.8 50.5) (end 177.8 51.6) (width 0.6) (layer "B.Cu") (net 19) (tstamp 1fe61946-74b5-4194-bf9d-95f90bca4a08))
+ (segment (start 182.6 51.547602) (end 182.752398 51.7) (width 0.6) (layer "B.Cu") (net 19) (tstamp 2eb041e0-4390-44b9-9ed6-2a9cfecd1285))
+ (segment (start 182.6 50.5) (end 182.7 50.5) (width 0.4) (layer "B.Cu") (net 19) (tstamp 2ff90bcb-9b4c-496e-b29f-ce4a76558ed7))
+ (segment (start 177.8 51.6) (end 177.7 51.7) (width 0.6) (layer "B.Cu") (net 19) (tstamp 4f29a097-4eff-4ce1-89c1-de4b91edb3b4))
+ (segment (start 196.6 52.9625) (end 196.45 52.8125) (width 0.8) (layer "B.Cu") (net 19) (tstamp 574f950a-50a1-43e8-86da-799281f1d3ab))
+ (segment (start 195.3125 52.8125) (end 194.2 51.7) (width 0.8) (layer "B.Cu") (net 19) (tstamp 5bf0847e-41da-4490-ba1e-917a92851d91))
+ (segment (start 202.5 55.15) (end 200.3125 52.9625) (width 0.8) (layer "B.Cu") (net 19) (tstamp 8adde1cf-4ebc-4e2b-bd05-edef14e353cd))
+ (segment (start 182.6 50.5) (end 182.6 51.547602) (width 0.6) (layer "B.Cu") (net 19) (tstamp 99899f40-a848-42d3-af57-dcf7204085b6))
+ (segment (start 200.3125 52.9625) (end 198.45 52.9625) (width 0.8) (layer "B.Cu") (net 19) (tstamp a3db90fe-d35f-4359-b80f-9ccc1463c4b4))
+ (segment (start 182.752398 51.7) (end 183 51.7) (width 0.6) (layer "B.Cu") (net 19) (tstamp b5807045-bdf3-44f5-b5a8-85d6b50c20fe))
+ (segment (start 187.6 55.4) (end 187 56) (width 0.4) (layer "B.Cu") (net 19) (tstamp e06e3456-2b4d-4dfc-957c-535989f65ce8))
+ (segment (start 183.4 73.9) (end 183.9 74.4) (width 0.2) (layer "B.Cu") (net 20) (tstamp 0ba5b353-43bb-4fa3-91f5-6096bd525a80))
+ (segment (start 190.15701 71.04879) (end 190.15701 65.95701) (width 0.2) (layer "B.Cu") (net 20) (tstamp 2aac592b-7f42-475e-857d-e1033bd6815f))
+ (segment (start 189.2 62.49) (end 189.2 61.23) (width 0.2) (layer "B.Cu") (net 20) (tstamp 2c7de221-5221-40ee-af2d-90cee9e16d49))
+ (segment (start 187.51 59.54) (end 187.51 57.5) (width 0.2) (layer "B.Cu") (net 20) (tstamp 3b0d0aad-6356-4556-8116-397f998ecdbe))
+ (segment (start 187.51 57.5) (end 188.46799 56.54201) (width 0.2) (layer "B.Cu") (net 20) (tstamp 4bdf9f94-e64a-40c1-8a38-943702e99459))
+ (segment (start 188.87 64.67) (end 188.87 62.82) (width 0.2) (layer "B.Cu") (net 20) (tstamp 5b00ea21-61e7-42ad-aea2-8ef0a8c690cf))
+ (segment (start 190.15701 65.95701) (end 188.87 64.67) (width 0.2) (layer "B.Cu") (net 20) (tstamp 626f5fa8-d9e7-40de-bea2-70cec51db287))
+ (segment (start 178.847658 73.052342) (end 178.1 73.8) (width 0.2) (layer "B.Cu") (net 20) (tstamp 6a73627d-923c-4ed4-8a9f-e0571482017a))
+ (segment (start 189.2 61.23) (end 187.51 59.54) (width 0.2) (layer "B.Cu") (net 20) (tstamp 6bd6af81-3883-4af5-8b6a-a64b5cc131d1))
+ (segment (start 189.2 48.225) (end 188.8 47.825) (width 0.2) (layer "B.Cu") (net 20) (tstamp 7259f09e-42aa-47bd-9b25-5b7d286641e2))
+ (segment (start 187.666652 73.556652) (end 187.11 73) (width 0.2) (layer "B.Cu") (net 20) (tstamp 7317c1cd-7084-4c8e-b780-550c8148a1c2))
+ (segment (start 186 75.2) (end 186.0058 75.2) (width 0.2) (layer "B.Cu") (net 20) (tstamp 81b51d76-79b1-4da0-8da1-74f9b0c3bde7))
+ (segment (start 188.87 62.82) (end 189.2 62.49) (width 0.2) (layer "B.Cu") (net 20) (tstamp 8516d7b0-d65c-443e-8c85-33c37344a3fe))
+ (segment (start 188.8 47.825) (end 188.8 47.8) (width 0.2) (layer "B.Cu") (net 20) (tstamp 868bbf3d-94b2-49e4-951e-f0d30cc524e9))
+ (segment (start 187.11 73) (end 186.3 73) (width 0.2) (layer "B.Cu") (net 20) (tstamp 89ca0996-1f2f-45fc-944d-4ca273e61506))
+ (segment (start 179.2 75.2) (end 186 75.2) (width 0.2) (layer "B.Cu") (net 20) (tstamp 922dad71-6a51-4846-96c5-d1f4a91722b0))
+ (segment (start 188.725 48.75) (end 189.2 48.75) (width 0.2) (layer "B.Cu") (net 20) (tstamp 92c03ace-ffbc-4bfe-86d4-3847988d2887))
+ (segment (start 178.847658 73.034322) (end 178.847658 73.052342) (width 0.2) (layer "B.Cu") (net 20) (tstamp 96885ee8-f197-404d-be75-e2415e087f88))
+ (segment (start 188.8 47.8) (end 189.2 47.4) (width 0.2) (layer "B.Cu") (net 20) (tstamp 9a09563f-69e9-4ffd-b64c-8923e4bdeea5))
+ (segment (start 190.2 71.05) (end 190.15701 71.04879) (width 0.2) (layer "B.Cu") (net 20) (tstamp af648b40-8798-4873-997c-526206977eb9))
+ (segment (start 178.1 74.1) (end 179.2 75.2) (width 0.2) (layer "B.Cu") (net 20) (tstamp afafadcf-9cbc-497e-9b68-f86ebff7ebda))
+ (segment (start 183.4 72.76066) (end 183.4 73.9) (width 0.2) (layer "B.Cu") (net 20) (tstamp b9ef9ec0-0521-4072-a53b-4fe862f81b1d))
+ (segment (start 185.3 74.4) (end 186 73.7) (width 0.2) (layer "B.Cu") (net 20) (tstamp bd5920c4-9360-4903-acd4-454617f12c56))
+ (segment (start 187.3 47.8) (end 188.8 47.8) (width 0.2) (layer "B.Cu") (net 20) (tstamp c06878cf-a061-4cda-9581-dde65971ddd2))
+ (segment (start 182.613002 71.973662) (end 183.4 72.76066) (width 0.2) (layer "B.Cu") (net 20) (tstamp c2f705c5-928c-4e19-aa1f-d3e63a99efc1))
+ (segment (start 187.666652 73.556652) (end 190.2 71.05) (width 0.2) (layer "B.Cu") (net 20) (tstamp c6855e90-76e6-4ad2-8261-9a5ee3f22201))
+ (segment (start 183.9 74.4) (end 185.3 74.4) (width 0.2) (layer "B.Cu") (net 20) (tstamp c6a1e5fc-1e3a-4004-a5e9-a1ec4697ee92))
+ (segment (start 186 73.7) (end 186 73.3) (width 0.2) (layer "B.Cu") (net 20) (tstamp cdc494c7-6592-4e14-ac39-bf96f0689ea4))
+ (segment (start 186.0058 75.2) (end 187.666652 73.556652) (width 0.2) (layer "B.Cu") (net 20) (tstamp d0b3b701-1ea8-4fc0-824f-a4ad57ca3fd0))
+ (segment (start 188.46799 56.54201) (end 188.46799 49.00701) (width 0.2) (layer "B.Cu") (net 20) (tstamp df855e67-65b9-46a1-8eb9-34f13fde5644))
+ (segment (start 178.1 73.8) (end 178.1 74.1) (width 0.2) (layer "B.Cu") (net 20) (tstamp e8dd67ff-ed5b-4b68-91c7-8425979c7076))
+ (segment (start 188.46799 49.00701) (end 188.725 48.75) (width 0.2) (layer "B.Cu") (net 20) (tstamp ed8a709b-93b9-4e1c-956c-8dac8e09fe72))
+ (segment (start 186.3 73) (end 186 73.3) (width 0.2) (layer "B.Cu") (net 20) (tstamp f0ada6f0-76d8-4e67-9f6a-641588883879))
+ (segment (start 189.2 48.75) (end 189.2 48.225) (width 0.2) (layer "B.Cu") (net 20) (tstamp f4c89464-21b8-4fea-9cc9-ffa1c514d76c))
+ (segment (start 189.2 47.4) (end 189.2 46.875) (width 0.2) (layer "B.Cu") (net 20) (tstamp f93cbe89-ccc9-44a3-bf83-34b37df0fabe))
+ (segment (start 189.35 49.825) (end 189.2 49.975) (width 0.2) (layer "B.Cu") (net 21) (tstamp 3608a73d-25ac-4592-9808-ec0c299c0a6d))
+ (segment (start 191.125 49.825) (end 189.35 49.825) (width 0.2) (layer "B.Cu") (net 21) (tstamp 67edb0e7-15f7-47f2-9ef9-40a85478bf3d))
+ (segment (start 189.2 49.975) (end 189.2 50.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp a65ee13c-112e-4819-b2f2-0e8d27f63eb1))
+ (segment (start 191.125 45.675) (end 191.125 49.825) (width 0.2) (layer "B.Cu") (net 21) (tstamp d28fbf5d-7f7b-4ed0-8340-997234a80293))
+ (segment (start 171.804888 68.799999) (end 171.697878 68.692989) (width 0.2) (layer "F.Cu") (net 23) (tstamp 01ebaf88-50c5-4153-bd47-e3bd8ecc63d0))
+ (segment (start 161.407012 68.692989) (end 154.69299 75.407011) (width 0.2) (layer "F.Cu") (net 23) (tstamp 118cef47-6e39-468c-a3be-d1ad8c868228))
+ (segment (start 154.69299 75.407011) (end 148.10701 75.407011) (width 0.2) (layer "F.Cu") (net 23) (tstamp 13a7002f-82d7-41f3-936c-c3f73e8af1ca))
+ (segment (start 146.8 72.05) (end 146.8 71.15) (width 0.2) (layer "F.Cu") (net 23) (tstamp 6a829b14-5dd9-4f7f-b38c-fe285460e1c1))
+ (segment (start 178.3 68.5) (end 177.735989 69.064011) (width 0.2) (layer "F.Cu") (net 23) (tstamp 73964309-a6b6-4943-acf6-999affa20ec5))
+ (segment (start 145.25 70.4) (end 145.05 70.2) (width 0.2) (layer "F.Cu") (net 23) (tstamp 8319cb3a-e81f-475e-a23d-1d50dfd4729e))
+ (segment (start 145 67.85) (end 145.35 67.5) (width 0.2) (layer "F.Cu") (net 23) (tstamp 8454f489-d404-446d-bd02-6d93adde76fd))
+ (segment (start 176.868627 68.799999) (end 171.804888 68.799999) (width 0.2) (layer "F.Cu") (net 23) (tstamp 84b721b7-f59a-442b-80cc-c9786a952425))
+ (segment (start 145.35 67.5) (end 145.875 67.5) (width 0.2) (layer "F.Cu") (net 23) (tstamp 88eabd02-d13b-4814-a32e-402c3bc9ec5d))
+ (segment (start 148.10701 75.407011) (end 147.4 74.700001) (width 0.2) (layer "F.Cu") (net 23) (tstamp b99441ba-b8e8-4fb6-a5c7-e4da14819607))
+ (segment (start 171.697878 68.692989) (end 161.407012 68.692989) (width 0.2) (layer "F.Cu") (net 23) (tstamp bcd6ecf2-27ec-481e-88c8-f33f7f6d57a6))
+ (segment (start 146.05 70.4) (end 145.25 70.4) (width 0.2) (layer "F.Cu") (net 23) (tstamp be70c8d3-3495-48e9-a371-81d731afb839))
+ (segment (start 177.132639 69.064011) (end 176.868627 68.799999) (width 0.2) (layer "F.Cu") (net 23) (tstamp c3f7723a-25e0-4362-a827-e78e84c96054))
+ (segment (start 147.825 65.5) (end 147.825 67.5) (width 0.8) (layer "F.Cu") (net 23) (tstamp d358cc59-6963-416d-bb3e-7becdfb6b449))
+ (segment (start 145.875 67.5) (end 147.825 67.5) (width 0.8) (layer "F.Cu") (net 23) (tstamp e6a4d81d-159b-4367-b09f-4687b965f097))
+ (segment (start 147.4 72.649999) (end 146.8 72.05) (width 0.2) (layer "F.Cu") (net 23) (tstamp e883ded6-87dd-405c-af24-30c8881d9d85))
+ (segment (start 147.4 74.700001) (end 147.4 72.649999) (width 0.2) (layer "F.Cu") (net 23) (tstamp ebbc192d-1d8e-4a1f-a8de-58ae12ba6a56))
+ (segment (start 145.05 70.2) (end 145 67.85) (width 0.2) (layer "F.Cu") (net 23) (tstamp eeb161fc-0f9a-4c31-860d-a16c1584818e))
+ (segment (start 177.735989 69.064011) (end 177.132639 69.064011) (width 0.2) (layer "F.Cu") (net 23) (tstamp f9ec260b-3b6f-450c-a8e6-4197877c2746))
+ (segment (start 146.8 71.15) (end 146.05 70.4) (width 0.2) (layer "F.Cu") (net 23) (tstamp fe3b76cd-c621-4713-8029-b59c0a33b3b3))
+ (via (at 178.3 68.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 23) (tstamp 29617e2a-d1d3-4f69-abba-862b85dda9a1))
+ (segment (start 178.724264 68.5) (end 178.3 68.5) (width 0.2) (layer "B.Cu") (net 23) (tstamp 8f1d21e0-2257-4a1f-9f8d-f1fef032bc78))
+ (segment (start 179.846446 68.5) (end 178.724264 68.5) (width 0.2) (layer "B.Cu") (net 23) (tstamp e779b5e8-4ef4-4c0c-94d4-0fb13c9232fb))
+ (segment (start 182.966555 65.379891) (end 179.846446 68.5) (width 0.2) (layer "B.Cu") (net 23) (tstamp eadc18c8-e3ed-4e54-8033-3eea419e7500))
+ (segment (start 182.8 75.8) (end 181.2 77.4) (width 0.2) (layer "F.Cu") (net 25) (tstamp 4a16a2f2-1b51-4b1d-b776-bc60ff293aa3))
+ (segment (start 181.2 77.4) (end 178.6 77.4) (width 0.2) (layer "F.Cu") (net 25) (tstamp e94baf90-9d12-4976-aefb-b5c8b05ac41a))
+ (segment (start 182.8 74.6) (end 182.8 75.8) (width 0.2) (layer "F.Cu") (net 25) (tstamp edb744c5-4a33-490d-b25f-07b9ee2fa617))
+ (via (at 178.6 77.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 25) (tstamp c16e7b93-169a-4b2a-85f5-5e94918368bd))
+ (via (at 182.8 74.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 25) (tstamp dd8dfad2-c00e-4d3d-86d0-e6bb15449f77))
+ (segment (start 178.6 77.4) (end 177.9 77.4) (width 0.2) (layer "B.Cu") (net 25) (tstamp 080a1fa6-a563-4122-85ec-78513d7b94f9))
+ (segment (start 182.6 73.374874) (end 182.6 74.4) (width 0.2) (layer "B.Cu") (net 25) (tstamp 3d3ea939-4962-481a-a6d9-6b74f5a00fe3))
+ (segment (start 177.9 77.4) (end 177.66 77.64) (width 0.2) (layer "B.Cu") (net 25) (tstamp 88fbfd1b-00d7-4f48-9a8a-976f4e96ea2a))
+ (segment (start 181.905895 72.680769) (end 182.6 73.374874) (width 0.2) (layer "B.Cu") (net 25) (tstamp 919cbaba-eee3-4f40-b287-a8061c5186dc))
+ (segment (start 182.6 74.4) (end 182.8 74.6) (width 0.2) (layer "B.Cu") (net 25) (tstamp a3f2b003-3c22-40df-947c-e6e48a80e34e))
+ (segment (start 177.66 77.64) (end 177.66 79.25) (width 0.2) (layer "B.Cu") (net 25) (tstamp cf444054-0c44-470b-91bf-9d9444587f50))
+ (segment (start 168.47 81.5) (end 167.66 82.31) (width 0.6) (layer "B.Cu") (net 26) (tstamp 01b0a133-cf01-4c46-8725-71bfff1c49f5))
+ (segment (start 167.2 81.85) (end 167.66 82.31) (width 0.6) (layer "B.Cu") (net 26) (tstamp 18cc6ad9-8383-43d1-989d-8612981874d7))
+ (segment (start 212.28 75.15) (end 204.91 75.15) (width 0.6) (layer "B.Cu") (net 26) (tstamp 1b33194f-caa7-419d-b63c-41b9e7dc14d1))
+ (segment (start 192.12 75.31) (end 188.64 75.31) (width 0.6) (layer "B.Cu") (net 26) (tstamp 1fcf1602-99d4-445e-864a-b3cf2a4dde2d))
+ (segment (start 184.07 81.5) (end 168.47 81.5) (width 0.6) (layer "B.Cu") (net 26) (tstamp 21d3c9d1-4603-40b0-b917-ee805a23fe0e))
+ (segment (start 175.165731 70.352289) (end 173.902289 70.352289) (width 0.2) (layer "B.Cu") (net 26) (tstamp 26133d6f-51a6-43aa-9f19-1aa420c50eb8))
+ (segment (start 171.137398 67.65) (end 170.8 67.987398) (width 0.2) (layer "B.Cu") (net 26) (tstamp 301596d1-aa98-434b-b9d0-acb5a95982ca))
+ (segment (start 193.89 83) (end 193.18 82.29) (width 0.6) (layer "B.Cu") (net 26) (tstamp 43a87159-685e-47e2-9ce6-16036eef6557))
+ (segment (start 186.65 78.92) (end 184.07 81.5) (width 0.6) (layer "B.Cu") (net 26) (tstamp 464dfe64-d005-4579-8210-e351d58f748e))
+ (segment (start 169.362602 71) (end 168.897056 71) (width 0.2) (layer "B.Cu") (net 26) (tstamp 4c0b32a7-25cb-49ca-b185-8919031cb3a4))
+ (segment (start 188.64 75.31) (end 186.65 77.3) (width 0.6) (layer "B.Cu") (net 26) (tstamp 54fdfb5c-bc41-47f1-81eb-b8ba9555ecd2))
+ (segment (start 147.7 82.35) (end 147.66 82.31) (width 0.6) (layer "B.Cu") (net 26) (tstamp 615b4fea-eee3-4c62-86cd-10ffc36bd6e7))
+ (segment (start 193.18 82.29) (end 193.18 76.685634) (width 0.6) (layer "B.Cu") (net 26) (tstamp 68ed22cb-fef4-4019-8778-9873a735fac2))
+ (segment (start 193.18 76.685634) (end 192.74 76.245634) (width 0.6) (layer "B.Cu") (net 26) (tstamp 6f296610-2e3c-4210-88a6-56bac47f5744))
+ (segment (start 175.665678 69.852342) (end 175.165731 70.352289) (width 0.2) (layer "B.Cu") (net 26) (tstamp 7010dda9-09c6-41b5-83db-395c20ff5596))
+ (segment (start 167.2 71) (end 167.2 81.85) (width 0.6) (layer "B.Cu") (net 26) (tstamp 715e65e1-f958-48ea-845d-f7493704caee))
+ (segment (start 148.35 81.7) (end 147.7 82.35) (width 0.6) (layer "B.Cu") (net 26) (tstamp 80457c09-d3d8-4a30-bcc8-1b4feec6ddca))
+ (segment (start 170.8 69.562602) (end 169.362602 71) (width 0.2) (layer "B.Cu") (net 26) (tstamp 81d3d8c2-52bf-4f17-bc8d-e909e8c600de))
+ (segment (start 167.66 82.31) (end 152.47 82.31) (width 0.6) (layer "B.Cu") (net 26) (tstamp 8a659b79-b6d9-415a-a604-5d43e16823e6))
+ (segment (start 197.06 83) (end 193.89 83) (width 0.6) (layer "B.Cu") (net 26) (tstamp 8d19909d-1a4c-4ca4-bb48-d09e6e6024c1))
+ (segment (start 192.74 76.245634) (end 192.74 74.69) (width 0.6) (layer "B.Cu") (net 26) (tstamp 9fa541a1-2515-4d3b-a1f5-973f77596a8f))
+ (segment (start 186.65 77.3) (end 186.65 78.92) (width 0.6) (layer "B.Cu") (net 26) (tstamp a4ca2ffa-fcb2-4212-9cf9-2d2150a2693a))
+ (segment (start 152.47 82.31) (end 151.86 81.7) (width 0.6) (layer "B.Cu") (net 26) (tstamp a8746ca1-5176-4271-85ea-518b437bbbb0))
+ (segment (start 151.86 81.7) (end 148.35 81.7) (width 0.6) (layer "B.Cu") (net 26) (tstamp b255358e-cb4b-4124-ac9c-42cd0e616dd2))
+ (segment (start 173.10701 69.55701) (end 173.10701 68.494408) (width 0.2) (layer "B.Cu") (net 26) (tstamp b766a84a-72b6-4b25-839a-de72d5668419))
+ (segment (start 204.91 75.15) (end 197.06 83) (width 0.6) (layer "B.Cu") (net 26) (tstamp bd9b5411-0e56-41fe-a830-7e71842cb972))
+ (segment (start 172.262602 67.65) (end 171.137398 67.65) (width 0.2) (layer "B.Cu") (net 26) (tstamp c3c26fc8-6afb-4cfe-9e0d-a72c17f1d24d))
+ (segment (start 173.10701 68.494408) (end 172.262602 67.65) (width 0.2) (layer "B.Cu") (net 26) (tstamp c657e505-6cf3-447f-8292-c700783f0c37))
+ (segment (start 170.8 67.987398) (end 170.8 69.562602) (width 0.2) (layer "B.Cu") (net 26) (tstamp e0ff3a4f-ed7a-43d4-9600-325ad332f58c))
+ (segment (start 192.74 74.69) (end 192.12 75.31) (width 0.6) (layer "B.Cu") (net 26) (tstamp efae28b4-375e-43ab-bcb3-d951afdc5f4d))
+ (segment (start 212.74 74.69) (end 212.28 75.15) (width 0.6) (layer "B.Cu") (net 26) (tstamp f1f70049-9778-4cd6-a64d-faea478410ce))
+ (segment (start 168.897056 71) (end 167.2 71) (width 0.2) (layer "B.Cu") (net 26) (tstamp f9753419-8c69-442c-8e98-30f1178317c2))
+ (segment (start 173.902289 70.352289) (end 173.10701 69.55701) (width 0.2) (layer "B.Cu") (net 26) (tstamp ff7fcbef-3b7b-4dbd-844b-41cfcea81a7e))
+ (segment (start 198.057001 45.142999) (end 208.112999 45.142999) (width 0.6) (layer "F.Cu") (net 29) (tstamp 15d436ac-19f3-4404-924b-ad4779c46df2))
+ (segment (start 212.74 49.77) (end 212.74 54.69) (width 0.6) (layer "F.Cu") (net 29) (tstamp 3b66b1b6-f0de-4da2-9f5d-c89efbf9c648))
+ (segment (start 208.112999 45.142999) (end 212.74 49.77) (width 0.6) (layer "F.Cu") (net 29) (tstamp 54e234ae-28d0-4ef1-9840-095862bfecd0))
+ (segment (start 195.5 50.5) (end 192.3 47.3) (width 0.6) (layer "F.Cu") (net 29) (tstamp 564a7c1a-d47f-4180-ac5c-604840ab08e9))
+ (segment (start 192.74 54.69) (end 195.5 51.93) (width 0.6) (layer "F.Cu") (net 29) (tstamp 84df9727-a83c-4df4-91ba-3e842e1faf0d))
+ (segment (start 192.3 47.3) (end 173.8 47.3) (width 0.6) (layer "F.Cu") (net 29) (tstamp 8cd6d727-9052-439b-9efc-8e851c9d6484))
+ (segment (start 195.5 50.5) (end 195.5 47.7) (width 0.6) (layer "F.Cu") (net 29) (tstamp a3d6bb83-b4ae-44ba-a968-928d79aedfb8))
+ (segment (start 195.5 51.93) (end 195.5 50.5) (width 0.6) (layer "F.Cu") (net 29) (tstamp eb755756-07dd-490d-b95e-3a0536126d4a))
+ (segment (start 195.5 47.7) (end 198.057001 45.142999) (width 0.6) (layer "F.Cu") (net 29) (tstamp fa9c16a4-af48-42db-9a72-201bf45bc256))
+ (via (at 173.8 47.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 29) (tstamp 670ce089-b893-4245-a43c-8a7352944272))
+ (segment (start 193.2 57) (end 192.6 56.4) (width 0.6) (layer "B.Cu") (net 29) (tstamp 0b95517e-21bf-41aa-ac7b-7c3a4228be2d))
+ (segment (start 193.2 66) (end 193.2 57) (width 0.6) (layer "B.Cu") (net 29) (tstamp 13493953-1413-44fe-8052-a2f49d6406ba))
+ (segment (start 155.28 61.77) (end 164.55 52.5) (width 0.6) (layer "B.Cu") (net 29) (tstamp 1c47a135-178f-4a9e-9151-333b64a36769))
+ (segment (start 169.215634 62.31) (end 167.66 62.31) (width 0.2) (layer "B.Cu") (net 29) (tstamp 287b912b-92bc-4cf8-8e06-c835c3dfa522))
+ (segment (start 175.665678 67.147658) (end 175.665678 67.016154) (width 0.2) (layer "B.Cu") (net 29) (tstamp 397388a0-0579-4791-8c55-ee88e3252c42))
+ (segment (start 171.96 63.89) (end 171.96 62.43) (width 0.2) (layer "B.Cu") (net 29) (tstamp 45d1ffb0-d163-4aae-bd40-90af6603c79b))
+ (segment (start 167.66 60.754366) (end 167.66 62.31) (width 0.6) (layer "B.Cu") (net 29) (tstamp 57ec6052-32f3-4179-9adb-211c78144835))
+ (segment (start 167.77036 52.5) (end 172.97036 47.3) (width 0.6) (layer "B.Cu") (net 29) (tstamp 5bb092d7-c05a-4d91-b9c5-3a8403715510))
+ (segment (start 175.665678 67.016154) (end 174.807001 66.157477) (width 0.2) (layer "B.Cu") (net 29) (tstamp 66dbbce7-238c-4f51-bfde-773837f1813b))
+ (segment (start 171.34 61.81) (end 169.715634 61.81) (width 0.2) (layer "B.Cu") (net 29) (tstamp 7b263799-8ed0-4453-9dea-3a76ca75b831))
+ (segment (start 172.97036 47.3) (end 173.8 47.3) (width 0.6) (layer "B.Cu") (net 29) (tstamp 7c75253f-c782-4506-bb4a-e312c58efb66))
+ (segment (start 147.66 62.31) (end 148.2 61.77) (width 0.6) (layer "B.Cu") (net 29) (tstamp 8f668f34-b55f-4921-8c6a-04704a25bdf0))
+ (segment (start 172.6 64.53) (end 171.96 63.89) (width 0.2) (layer "B.Cu") (net 29) (tstamp 9ab2458a-50ed-43d7-afe5-1a8549dc26e7))
+ (segment (start 192.6 56.4) (end 192.6 54.83) (width 0.6) (layer "B.Cu") (net 29) (tstamp 9bbb3514-9f30-4c92-92d4-67e5d0701267))
+ (segment (start 174.807001 64.844399) (end 174.492602 64.53) (width 0.2) (layer "B.Cu") (net 29) (tstamp 9cbf1f88-1c5a-4d52-8f45-0ee00fafd2c5))
+ (segment (start 148.2 61.77) (end 155.28 61.77) (width 0.6) (layer "B.Cu") (net 29) (tstamp a196042e-66bc-4424-a62a-f974dad4c1a4))
+ (segment (start 192.6 54.83) (end 192.74 54.69) (width 0.6) (layer "B.Cu") (net 29) (tstamp b252b4c3-738c-4c27-8160-df20b135cd6a))
+ (segment (start 167.75 52.5) (end 167.08 53.17) (width 0.6) (layer "B.Cu") (net 29) (tstamp b47dfe83-018f-4a8d-92ef-5afd4c54f496))
+ (segment (start 169.715634 61.81) (end 169.215634 62.31) (width 0.2) (layer "B.Cu") (net 29) (tstamp b96a954c-ff50-4d5e-af3a-cdd8b7c4464a))
+ (segment (start 167.08 53.17) (end 167.08 60.174366) (width 0.6) (layer "B.Cu") (net 29) (tstamp c0e6c720-a970-4708-8fe5-b21501d114fd))
+ (segment (start 164.55 52.5) (end 167.77036 52.5) (width 0.6) (layer "B.Cu") (net 29) (tstamp d479089d-6b7b-45dc-8479-d992f6450fff))
+ (segment (start 174.492602 64.53) (end 172.6 64.53) (width 0.2) (layer "B.Cu") (net 29) (tstamp e6aa1a99-917f-478d-891c-f706f57f9bb6))
+ (segment (start 167.08 60.174366) (end 167.66 60.754366) (width 0.6) (layer "B.Cu") (net 29) (tstamp ecf0182d-3333-4f98-8f9d-1895dd1cd750))
+ (segment (start 174.807001 66.157477) (end 174.807001 64.844399) (width 0.2) (layer "B.Cu") (net 29) (tstamp f5a9a14c-ca3e-4a4d-b2ce-d84f4727be87))
+ (segment (start 171.96 62.43) (end 171.34 61.81) (width 0.2) (layer "B.Cu") (net 29) (tstamp fdb9eed9-aff2-47e2-8706-1f86bd527069))
+ (segment (start 199.45 69.25) (end 199.75 69.55) (width 0.2) (layer "F.Cu") (net 36) (tstamp 0e341794-93cc-4efa-a9ef-dfae80287aec))
+ (segment (start 186.201371 71.472989) (end 190.120701 71.472989) (width 0.2) (layer "F.Cu") (net 36) (tstamp 1228a7eb-db8e-48f3-ab71-10c46a91504d))
+ (segment (start 201.850001 68.849999) (end 202.15 68.55) (width 0.2) (layer "F.Cu") (net 36) (tstamp 23b3c234-fc64-422f-ad03-66994fb89b44))
+ (segment (start 199.75 69.55) (end 201.15 69.55) (width 0.2) (layer "F.Cu") (net 36) (tstamp 52e09e37-feda-4a0e-b9b8-c0ce8d5cfc0c))
+ (segment (start 192.34369 69.25) (end 199.45 69.25) (width 0.2) (layer "F.Cu") (net 36) (tstamp 9825768b-d5fd-4eaa-b285-f9c15972f875))
+ (segment (start 201.15 69.55) (end 201.850001 68.849999) (width 0.2) (layer "F.Cu") (net 36) (tstamp b3fcb6c0-32d2-42b2-8d84-d46f3541ebec))
+ (segment (start 190.120701 71.472989) (end 192.34369 69.25) (width 0.2) (layer "F.Cu") (net 36) (tstamp d762c1c7-e96e-44c4-a6c4-5a19ebfbe8c6))
+ (segment (start 185 72.1) (end 185.57436 72.1) (width 0.2) (layer "F.Cu") (net 36) (tstamp f784166d-7c9a-4008-bec8-4b72cdb77485))
+ (segment (start 185.57436 72.1) (end 186.201371 71.472989) (width 0.2) (layer "F.Cu") (net 36) (tstamp f9618860-524b-4d11-b372-eb4577ae8f7c))
+ (via (at 185 72.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 36) (tstamp 00062bdf-1c79-47cb-9dac-4161013f64a5))
+ (via (at 202.15 68.55) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 36) (tstamp 11c8f362-4838-4bfe-8015-a68a43713693))
+ (segment (start 183.713002 70.913002) (end 184.9 72.1) (width 0.2) (layer "B.Cu") (net 36) (tstamp 01649679-7a36-45f9-b0ff-8f0f3518e684))
+ (segment (start 201.075 67.5) (end 201.6 67.5) (width 0.2) (layer "B.Cu") (net 36) (tstamp 13225d35-a938-4b70-82cb-14466402eb46))
+ (segment (start 183.673662 70.913002) (end 183.713002 70.913002) (width 0.2) (layer "B.Cu") (net 36) (tstamp 2667923e-2a6a-4225-a8f9-0e01bddf48c0))
+ (segment (start 201.6 67.5) (end 202.15 68.05) (width 0.2) (layer "B.Cu") (net 36) (tstamp 74e61a52-1b29-4df7-87f8-945cf803ea8c))
+ (segment (start 202.15 68.05) (end 202.15 68.55) (width 0.2) (layer "B.Cu") (net 36) (tstamp 89bf6716-a36c-4848-b8f2-54a6ddb699cd))
+ (segment (start 201.075 67.5) (end 203.325 67.5) (width 0.8) (layer "B.Cu") (net 36) (tstamp 9cc0fe76-b328-4ecb-aaa8-70ff8be1fed9))
+ (segment (start 184.9 72.1) (end 185 72.1) (width 0.2) (layer "B.Cu") (net 36) (tstamp afa96848-897d-4e48-94e1-a15ac45543ea))
+ (segment (start 203.325 65.5) (end 203.325 67.5) (width 0.8) (layer "B.Cu") (net 36) (tstamp c77c42ab-ca3a-40cb-8ef7-5d7f96d71492))
+ (segment (start 185.3 71.3) (end 185.484022 71.115978) (width 0.2) (layer "F.Cu") (net 37) (tstamp 084b1b6f-7dcf-496e-beb8-f98bbe61eb82))
+ (segment (start 190.512822 70.185978) (end 190.902822 70.185978) (width 0.2) (layer "F.Cu") (net 37) (tstamp 3b078108-3d2c-4442-a0ca-966ecdb3519a))
+ (segment (start 190.902822 70.185978) (end 192.2388 68.85) (width 0.2) (layer "F.Cu") (net 37) (tstamp 43b2c0bc-08c1-4621-b4a1-435614015b85))
+ (segment (start 189.582822 71.115978) (end 190.512822 70.185978) (width 0.2) (layer "F.Cu") (net 37) (tstamp 6d26b054-ad93-4b34-9f2d-99dfd66fa56f))
+ (segment (start 192.2388 68.85) (end 200.4 68.85) (width 0.2) (layer "F.Cu") (net 37) (tstamp e2d0bbd3-f3dc-4657-96ff-da1b93678bf6))
+ (segment (start 185.484022 71.115978) (end 189.582822 71.115978) (width 0.2) (layer "F.Cu") (net 37) (tstamp f771be51-8396-486c-b9ca-b9ae0a98e9f5))
+ (via (at 185.3 71.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp deb84a2f-30d7-471c-80fc-70ce21a810c5))
+ (via (at 200.4 68.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp fdb7cb00-d8d3-4de6-9cfb-fa709c33f072))
+ (segment (start 201.075 69.5) (end 200.55 69.5) (width 0.2) (layer "B.Cu") (net 37) (tstamp 161ffc9b-441a-49ff-b477-e480be139d4c))
+ (segment (start 201.075 69.5) (end 201.182002 69.607002) (width 0.8) (layer "B.Cu") (net 37) (tstamp 1d3104ce-46b4-4db5-8a05-d3c719270651))
+ (segment (start 202.8 69.5) (end 203.325 69.5) (width 0.8) (layer "B.Cu") (net 37) (tstamp 1e7a42a9-e96e-4364-b162-0d4a6673d6bc))
+ (segment (start 184.027215 70.559449) (end 184.767766 71.3) (width 0.2) (layer "B.Cu") (net 37) (tstamp 3c61b12a-a381-4fc3-ae9a-5487b129f4d6))
+ (segment (start 184.767766 71.3) (end 185.3 71.3) (width 0.2) (layer "B.Cu") (net 37) (tstamp 54c52ce0-409a-47a3-9689-d8a1a84ea77b))
+ (segment (start 202.692998 69.607002) (end 202.8 69.5) (width 0.8) (layer "B.Cu") (net 37) (tstamp 614b0aca-3ab2-403d-b8ec-f5be670a51b9))
+ (segment (start 200.55 69.5) (end 200.4 69.35) (width 0.2) (layer "B.Cu") (net 37) (tstamp 87b05457-72b0-40ff-b7c8-9a9ffa920021))
+ (segment (start 203.325 71.5) (end 203.325 69.5) (width 0.8) (layer "B.Cu") (net 37) (tstamp 95af9c08-0c43-4951-ae9a-e92c03c41a8b))
+ (segment (start 201.182002 69.607002) (end 202.692998 69.607002) (width 0.8) (layer "B.Cu") (net 37) (tstamp 9b2087f2-7806-4355-961c-31eccc5ff21e))
+ (segment (start 200.4 69.35) (end 200.4 68.85) (width 0.2) (layer "B.Cu") (net 37) (tstamp c1d10167-487e-46df-a317-39d056118314))
+ (segment (start 184.2 82.5) (end 185 81.7) (width 0.2) (layer "F.Cu") (net 39) (tstamp 213dc039-7316-4a24-b513-307bb28cdbcd))
+ (segment (start 185 81.7) (end 185 73.7) (width 0.2) (layer "F.Cu") (net 39) (tstamp f742a88b-a130-4997-8a4e-dd4a3193df53))
+ (via (at 185 73.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 39) (tstamp 17112052-fac7-4f39-8e17-7f2227bb7220))
+ (via (at 184.2 82.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 39) (tstamp 9f3a804c-4c74-4d93-a583-43b4df084f38))
+ (segment (start 185 72.946446) (end 185 73.7) (width 0.2) (layer "B.Cu") (net 39) (tstamp 57886210-21a7-4c52-adfe-79d530359d6f))
+ (segment (start 183.320109 71.266555) (end 185 72.946446) (width 0.2) (layer "B.Cu") (net 39) (tstamp 7a905599-677b-4213-9d96-fc0deee521b3))
+ (segment (start 182.74 86.75) (end 182.74 83.96) (width 0.2) (layer "B.Cu") (net 39) (tstamp a35d9cb6-6aca-4f6c-9532-5bdf145ddb7a))
+ (segment (start 182.74 83.96) (end 184.2 82.5) (width 0.2) (layer "B.Cu") (net 39) (tstamp bf445ae9-59b1-40a5-92ea-08d815a60f53))
+ (segment (start 184.1 73.7) (end 184.1 75.8) (width 0.2) (layer "F.Cu") (net 40) (tstamp 6e30a552-53fd-4b0e-9289-267fadc8e2f5))
+ (segment (start 184.1 75.8) (end 182.8 77.1) (width 0.2) (layer "F.Cu") (net 40) (tstamp 8aee74dc-0d78-4f83-bf84-c57da30a3571))
+ (via (at 184.1 73.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 40) (tstamp cfdcff1e-1713-49d5-806d-8ac38d5a6169))
+ (via (at 182.8 77.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 40) (tstamp fce5b826-4372-4046-83dc-b98c80f02e7a))
+ (segment (start 182.8 79.19) (end 182.74 79.25) (width 0.2) (layer "B.Cu") (net 40) (tstamp 1ce13f1c-e914-4e2e-99f6-a8317e9b111e))
+ (segment (start 183.9 72.553554) (end 183.9 73.5) (width 0.2) (layer "B.Cu") (net 40) (tstamp 67549f34-2575-4896-a31a-777b4f8a8751))
+ (segment (start 182.8 77.1) (end 182.8 79.19) (width 0.2) (layer "B.Cu") (net 40) (tstamp adf5cb79-16fb-4896-951d-329372dd6ba5))
+ (segment (start 183.9 73.5) (end 184.1 73.7) (width 0.2) (layer "B.Cu") (net 40) (tstamp daeb9c5e-4507-42c5-9166-4687b49c6222))
+ (segment (start 182.966555 71.620109) (end 183.9 72.553554) (width 0.2) (layer "B.Cu") (net 40) (tstamp ded90cb3-b304-45d4-8766-a0ca2c4933c1))
+ (segment (start 177.786998 65.026338) (end 176.26066 63.5) (width 0.2) (layer "B.Cu") (net 41) (tstamp 07c7d120-4f0b-40a6-85ec-a05bfd0822b7))
+ (segment (start 176.26066 63.5) (end 173.2 63.5) (width 0.2) (layer "B.Cu") (net 41) (tstamp 9f31330c-b4bf-49ce-90b0-2f39a8baf1d2))
+ (segment (start 189.530539 70.345197) (end 189.530539 70.380529) (width 0.2) (layer "F.Cu") (net 42) (tstamp 128e58fc-9849-4331-a253-1256e8208c72))
+ (segment (start 190.375736 69.5) (end 189.530539 70.345197) (width 0.2) (layer "F.Cu") (net 42) (tstamp a1dde32d-484d-4c02-86e5-2521ef8ea8f3))
+ (segment (start 190.8 69.5) (end 190.375736 69.5) (width 0.2) (layer "F.Cu") (net 42) (tstamp d0ae5bbd-dce6-4a51-af1a-8d9830172c8c))
+ (via (at 190.8 69.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 42) (tstamp 57ae5def-c6c3-49f4-8904-de0c7ec67c18))
+ (via (at 189.530539 70.380529) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 42) (tstamp b1f9b8ff-52b7-44a3-8063-7c88ae11c721))
+ (segment (start 190.8 69.5) (end 191.8 68.5) (width 0.2) (layer "B.Cu") (net 42) (tstamp 181df436-a122-43db-ab64-39b50b5b1618))
+ (segment (start 189.035332 71.3) (end 189.530539 70.804793) (width 0.2) (layer "B.Cu") (net 42) (tstamp 22e35c5c-af12-4b49-8f26-da12a0721786))
+ (segment (start 191.8 68.5) (end 194.2 68.5) (width 0.2) (layer "B.Cu") (net 42) (tstamp 7d204284-70d7-42cc-aa8f-55a30ddcbfc4))
+ (segment (start 186.050476 71.3) (end 189.035332 71.3) (width 0.2) (layer "B.Cu") (net 42) (tstamp 810ff61e-515c-4e5d-82c3-626e2f46556d))
+ (segment (start 184.734322 69.983846) (end 186.050476 71.3) (width 0.2) (layer "B.Cu") (net 42) (tstamp 8d640d1f-a730-4c66-b107-20476fcb35cb))
+ (segment (start 189.530539 70.804793) (end 189.530539 70.380529) (width 0.2) (layer "B.Cu") (net 42) (tstamp bb5bc26a-8d49-4b39-98db-4035fdf0585c))
+ (segment (start 184.734322 69.852342) (end 184.734322 69.983846) (width 0.2) (layer "B.Cu") (net 42) (tstamp ea10dd92-3e91-4d8f-a023-c8de29b037bd))
+ (segment (start 187.95 80.8) (end 186.71028 79.56028) (width 0.2) (layer "F.Cu") (net 43) (tstamp 989d3837-0342-454d-9c7c-52bf940a02dd))
+ (segment (start 186.71028 79.56028) (end 186.71028 73.61028) (width 0.2) (layer "F.Cu") (net 43) (tstamp d956ea7e-685d-4b61-9fc6-bbfa9551c3e6))
+ (via (at 186.71028 73.61028) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 43) (tstamp 8b11d375-5fb4-4588-bad8-e535025beb62))
+ (via (at 187.95 80.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 43) (tstamp c53c0490-b5b1-43ba-9587-2a2fe38e910f))
+ (segment (start 193.659278 83.55701) (end 190.902268 80.8) (width 0.2) (layer "B.Cu") (net 43) (tstamp 197a8c46-8a25-427f-85a7-110f7600983a))
+ (segment (start 183.042991 73.110757) (end 183.042991 74.047879) (width 0.2) (layer "B.Cu") (net 43) (tstamp 230e3301-375c-4665-9d91-bebaed5da36f))
+ (segment (start 197.290721 83.557011) (end 193.659278 83.55701) (width 0.2) (layer "B.Cu") (net 43) (tstamp 2fa25747-f18e-48c2-915a-bf604ed07028))
+ (segment (start 183.042991 74.047879) (end 183.795112 74.8) (width 0.2) (layer "B.Cu") (net 43) (tstamp 7b4edc7e-8ee8-42bb-b608-8c9ec07a6ec5))
+ (segment (start 185.52056 74.8) (end 186.71028 73.61028) (width 0.2) (layer "B.Cu") (net 43) (tstamp 8022e27c-357e-4bc7-93e8-f8b0a7c5e540))
+ (segment (start 205.140721 75.707011) (end 197.290721 83.557011) (width 0.2) (layer "B.Cu") (net 43) (tstamp 8dd086a8-39f5-4db4-85dc-0e3c4725c39f))
+ (segment (start 215.7 77) (end 212.59 77) (width 0.2) (layer "B.Cu") (net 43) (tstamp 8f2a3834-2ef4-4978-b614-5dfe76a3ff85))
+ (segment (start 182.259449 72.327215) (end 183.042991 73.110757) (width 0.2) (layer "B.Cu") (net 43) (tstamp 93e8d28d-0c60-48f1-a567-7bb5a8e5cfc9))
+ (segment (start 188.374264 80.8) (end 187.95 80.8) (width 0.2) (layer "B.Cu") (net 43) (tstamp 9f214161-9984-4c98-9d35-fc6f81c59a29))
+ (segment (start 190.902268 80.8) (end 188.374264 80.8) (width 0.2) (layer "B.Cu") (net 43) (tstamp b256724a-ede3-46d2-a675-34ed7d87d1fc))
+ (segment (start 212.59 77) (end 211.297011 75.707011) (width 0.2) (layer "B.Cu") (net 43) (tstamp b2737557-f8dd-47e3-abe8-f7b9c4c53cdf))
+ (segment (start 211.297011 75.707011) (end 205.140721 75.707011) (width 0.2) (layer "B.Cu") (net 43) (tstamp b40078fd-d90b-406f-b91b-1c230cb2d9ac))
+ (segment (start 183.795112 74.8) (end 185.52056 74.8) (width 0.2) (layer "B.Cu") (net 43) (tstamp f9243eeb-8815-4a28-8a1b-fba4c5d6e7ad))
+ (segment (start 163.574264 67.95) (end 177.4 67.95) (width 0.2) (layer "F.Cu") (net 44) (tstamp 0a9c2a7f-a60c-47c6-9c53-7aaccc9cf48e))
+ (segment (start 162.65 67.45) (end 163.074264 67.45) (width 0.2) (layer "F.Cu") (net 44) (tstamp 93016617-7def-45fd-96ea-878ddd10ac9d))
+ (segment (start 177.4 67.95) (end 178.2 67.15) (width 0.2) (layer "F.Cu") (net 44) (tstamp c5ffe0cb-f3e8-4c12-84a2-0e8e3baee8b2))
+ (segment (start 163.074264 67.45) (end 163.574264 67.95) (width 0.2) (layer "F.Cu") (net 44) (tstamp debb21fa-9a42-4461-a6f5-c7a7c0ed8f9e))
+ (via (at 178.2 67.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 44) (tstamp 3a814225-b774-40bf-932c-5452b339a3e3))
+ (via (at 162.65 67.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 44) (tstamp ca35b14d-1126-41c5-b182-bf6716c909ad))
+ (segment (start 177.433445 65.379891) (end 177.564949 65.379891) (width 0.2) (layer "B.Cu") (net 44) (tstamp 29f89eaa-34fc-4757-a6a3-f9708527c97d))
+ (segment (start 157.86 64.76) (end 159.96 64.76) (width 0.2) (layer "B.Cu") (net 44) (tstamp 44ddbaa9-c148-4fab-b916-5b293d7edb72))
+ (segment (start 149.63 65.22) (end 150.88 65.22) (width 0.2) (layer "B.Cu") (net 44) (tstamp 72e787ef-62db-4d8e-9b01-29333cb2147e))
+ (segment (start 150.88 65.22) (end 151.86 64.24) (width 0.2) (layer "B.Cu") (net 44) (tstamp 8251a6ee-8c8b-45c2-a29e-864c8c2feb11))
+ (segment (start 151.86 64.24) (end 157.34 64.24) (width 0.2) (layer "B.Cu") (net 44) (tstamp 8386f253-f148-4dbb-9cdc-76d5c8dabb11))
+ (segment (start 159.96 64.76) (end 162.65 67.45) (width 0.2) (layer "B.Cu") (net 44) (tstamp 89e3e3ac-387f-4cbc-b669-c83e254a0df5))
+ (segment (start 145.7 68.5) (end 146.35 68.5) (width 0.2) (layer "B.Cu") (net 44) (tstamp 93afd87c-016f-4839-bf5e-2741fada1c30))
+ (segment (start 178.2 66.146446) (end 177.433445 65.379891) (width 0.2) (layer "B.Cu") (net 44) (tstamp 94f1d775-36b6-4f15-af8d-3952bb64d4d8))
+ (segment (start 157.34 64.24) (end 157.86 64.76) (width 0.2) (layer "B.Cu") (net 44) (tstamp 9d91822d-9296-46ee-aa66-f9115ba1e77b))
+ (segment (start 178.2 67.15) (end 178.2 66.146446) (width 0.2) (layer "B.Cu") (net 44) (tstamp b0444ace-b1f2-4cb8-92f5-28ece392a669))
+ (segment (start 146.35 68.5) (end 149.63 65.22) (width 0.2) (layer "B.Cu") (net 44) (tstamp f37d56fe-0cb1-4b34-85e7-222c6770b8b4))
+ (segment (start 161.259134 68.335978) (end 171.845756 68.335978) (width 0.2) (layer "F.Cu") (net 45) (tstamp 08091dd8-39fd-49b6-ace8-df03afc2b82a))
+ (segment (start 147.825 74.620112) (end 148.254888 75.05) (width 0.2) (layer "F.Cu") (net 45) (tstamp 3346e29d-355e-475a-9e59-d5f941873bec))
+ (segment (start 171.916788 68.40701) (end 177.3 68.40701) (width 0.2) (layer "F.Cu") (net 45) (tstamp 3638afee-7e96-4913-b091-a93279788ab9))
+ (segment (start 154.545112 75.05) (end 161.259134 68.335978) (width 0.2) (layer "F.Cu") (net 45) (tstamp 72a513a4-339c-472f-b849-b327997ab52c))
+ (segment (start 147.825 71.5) (end 147.825 74.620112) (width 0.2) (layer "F.Cu") (net 45) (tstamp 8a90ac53-09f1-4b39-9b3d-e6b97c7002ef))
+ (segment (start 148.254888 75.05) (end 154.545112 75.05) (width 0.2) (layer "F.Cu") (net 45) (tstamp c47ef5fe-bc51-49b3-9809-24e5615d8221))
+ (segment (start 177.3 68.40701) (end 177.4 68.50701) (width 0.2) (layer "F.Cu") (net 45) (tstamp d3547d82-cd86-451a-b066-d5120579bbbb))
+ (segment (start 147.825 69.5) (end 147.825 71.5) (width 0.8) (layer "F.Cu") (net 45) (tstamp d4509766-0118-4f6b-bcea-4e417e2ada39))
+ (segment (start 171.845756 68.335978) (end 171.916788 68.40701) (width 0.2) (layer "F.Cu") (net 45) (tstamp ec85984e-fc42-4bc2-909f-8737556a8bad))
+ (segment (start 147.825 69.5) (end 145.875 69.5) (width 0.8) (layer "F.Cu") (net 45) (tstamp ee51c199-d401-4cae-9527-ccbfbce5365d))
+ (via (at 177.4 68.50701) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 45) (tstamp c137efc2-50c9-4bb4-90d2-359adc91511b))
+ (segment (start 177.699999 68.207011) (end 177.4 68.50701) (width 0.2) (layer "B.Cu") (net 45) (tstamp 271923b2-4ba7-4523-95ec-be0071e17707))
+ (segment (start 179.732329 67.907011) (end 177.999999 67.907011) (width 0.2) (layer "B.Cu") (net 45) (tstamp a6e30423-6eb5-4705-892d-87214bc7979f))
+ (segment (start 182.613002 65.026338) (end 179.732329 67.907011) (width 0.2) (layer "B.Cu") (net 45) (tstamp bdf0bcd3-505c-41ea-aae2-68da6a35f115))
+ (segment (start 177.999999 67.907011) (end 177.699999 68.207011) (width 0.2) (layer "B.Cu") (net 45) (tstamp e1da1ad6-1716-46b7-8aeb-8c7b9a9e28d4))
+ (segment (start 172.75 69.76) (end 174.247001 71.257001) (width 0.2) (layer "B.Cu") (net 46) (tstamp 1787d815-a70f-4283-bdfd-1a0ecba2e3e3))
+ (segment (start 171.85 68.4) (end 172.75 69.3) (width 0.2) (layer "B.Cu") (net 46) (tstamp 25494f3b-a773-407c-8617-5f1d8c2b5eb7))
+ (segment (start 172.75 69.3) (end 172.75 69.76) (width 0.2) (layer "B.Cu") (net 46) (tstamp 30bc257e-bd6b-42ce-9ef5-4755b4fe7f90))
+ (segment (start 174.247001 71.257001) (end 174.968125 71.257001) (width 0.2) (layer "B.Cu") (net 46) (tstamp 6a8838d6-495d-4034-9c6e-b9049077ede9))
+ (segment (start 174.968125 71.257001) (end 176.019231 70.205895) (width 0.2) (layer "B.Cu") (net 46) (tstamp 937bfc97-707c-4e5f-9ba0-103bc9482dfb))
+ (segment (start 171.7 68.4) (end 171.85 68.4) (width 0.2) (layer "B.Cu") (net 46) (tstamp c74b96b7-2f49-41b7-b98b-731d252706c3))
+ (segment (start 176.515361 73.257001) (end 175.884639 73.257001) (width 0.4) (layer "F.Cu") (net 47) (tstamp 3366aaba-d253-4ecc-9927-b42a03478d44))
+ (segment (start 185.385163 72.935508) (end 176.836854 72.935508) (width 0.4) (layer "F.Cu") (net 47) (tstamp 45b64e1f-a9da-4662-b6e6-5983d73713e6))
+ (segment (start 186.390671 71.93) (end 185.385163 72.935508) (width 0.4) (layer "F.Cu") (net 47) (tstamp 59361181-e5db-44f0-aaec-d3b6344f6178))
+ (segment (start 175.884639 73.257001) (end 174 71.372362) (width 0.4) (layer "F.Cu") (net 47) (tstamp 6409b150-5abc-4e0d-b735-d033db8fb582))
+ (segment (start 190.572944 71.93) (end 186.390671 71.93) (width 0.4) (layer "F.Cu") (net 47) (tstamp 6970983a-9269-47e5-8464-d52075228dbf))
+ (segment (start 176.836854 72.935508) (end 176.515361 73.257001) (width 0.4) (layer "F.Cu") (net 47) (tstamp 8891dd37-a57d-44cd-b882-8a63f266aca5))
+ (segment (start 191.502944 71) (end 190.572944 71.93) (width 0.4) (layer "F.Cu") (net 47) (tstamp 88b3b3a9-8c1f-41ab-9410-82b703c8e8c8))
+ (segment (start 174 71.372362) (end 174 69.55) (width 0.4) (layer "F.Cu") (net 47) (tstamp 9657ffa5-aaf8-4ee8-b62e-c9ed725cf024))
+ (segment (start 193.2 71) (end 191.502944 71) (width 0.6) (layer "F.Cu") (net 47) (tstamp fbae10da-4b7c-4184-844b-e4adc93247e1))
+ (via (at 174 69.55) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp f75a965b-412a-41aa-b5d7-3d4e0b508a74))
+ (segment (start 174.15 65.5) (end 174.15 69.4) (width 0.6) (layer "B.Cu") (net 47) (tstamp 0af6371a-019d-44fc-a829-934efee80fb6))
+ (segment (start 174.15 69.4) (end 174 69.55) (width 0.6) (layer "B.Cu") (net 47) (tstamp 8318ab0d-5458-4492-9a2e-097b14df8e54))
+ (segment (start 217.7 76.05) (end 217.7 63.46) (width 0.8) (layer "B.Cu") (net 48) (tstamp 5301f861-a076-4a60-8c22-1587101c6310))
+ (segment (start 217.7 63.46) (end 215.28 61.04) (width 0.8) (layer "B.Cu") (net 48) (tstamp 88831e8c-eb6c-424d-8d94-63f04c6dec0e))
+ (segment (start 145.12 72.02) (end 143.7 70.6) (width 0.6) (layer "B.Cu") (net 49) (tstamp 5b1a5f8a-b081-4add-9700-9603c960745d))
+ (segment (start 143.7 70.6) (end 143.7 69.45) (width 0.6) (layer "B.Cu") (net 49) (tstamp a6b389eb-6004-4f38-8122-856101063623))
+ (segment (start 145.12 75.96) (end 145.12 72.02) (width 0.6) (layer "B.Cu") (net 49) (tstamp a87bdb01-2882-49b3-a27b-851264c1f604))
+ (segment (start 196.2 67.55) (end 195.28 66.63) (width 0.8) (layer "B.Cu") (net 50) (tstamp 5f42079a-fa5f-42bd-898e-4db69dd6b20a))
+ (segment (start 195.28 66.63) (end 195.28 61.04) (width 0.8) (layer "B.Cu") (net 50) (tstamp 7305e21d-ee25-4711-8db2-a3119d365d98))
+ (segment (start 165.45701 68.85701) (end 165.12 69.19402) (width 0.6) (layer "B.Cu") (net 51) (tstamp 20adafd6-84b1-403c-98f2-7029f9ad28e6))
+ (segment (start 165.12 69.19402) (end 165.12 75.96) (width 0.6) (layer "B.Cu") (net 51) (tstamp 3cd67ba7-7ba4-45dc-9128-f3c51ee2726b))
+ (segment (start 169.7 69.35) (end 168.65 69.35) (width 0.6) (layer "B.Cu") (net 51) (tstamp 5b66de41-1351-4b37-8725-13c6d9850c9e))
+ (segment (start 168.65 69.35) (end 168.15701 68.85701) (width 0.6) (layer "B.Cu") (net 51) (tstamp 9697ae1b-efab-476b-a07d-4143c95a1173))
+ (segment (start 168.15701 68.85701) (end 165.45701 68.85701) (width 0.6) (layer "B.Cu") (net 51) (tstamp bc31c90d-57c0-4349-8fa6-227b0a1c85ec))
+ (segment (start 152.7 71) (end 150.075 71) (width 0.8) (layer "F.Cu") (net 52) (tstamp 86a72182-3f9c-4c82-98b2-cb504af70c62))
+ (segment (start 150.075 71) (end 149.575 71.5) (width 0.8) (layer "F.Cu") (net 52) (tstamp f001b790-1ae8-4c5f-8bc0-a651bf5371b4))
+ (segment (start 150.075 66) (end 149.575 65.5) (width 0.8) (layer "F.Cu") (net 53) (tstamp 236083c5-43ec-42ee-bb59-51f0e62584a5))
+ (segment (start 152.7 66) (end 150.075 66) (width 0.8) (layer "F.Cu") (net 53) (tstamp c2a8ad2a-6365-43d3-bf0a-2e728efef732))
+ (segment (start 205.575 66) (end 205.075 65.5) (width 0.8) (layer "B.Cu") (net 54) (tstamp 3e39a924-f14e-4996-bb47-684ce7687266))
+ (segment (start 207.7 66) (end 205.575 66) (width 0.8) (layer "B.Cu") (net 54) (tstamp a7f98961-1b09-44e9-8ad6-706696c807b5))
+ (segment (start 205.575 71) (end 205.075 71.5) (width 0.8) (layer "B.Cu") (net 55) (tstamp 2b7b5c7e-28c9-4a1f-baf8-7155544f6a07))
+ (segment (start 207.7 71) (end 205.575 71) (width 0.8) (layer "B.Cu") (net 55) (tstamp e454d325-ef40-4814-a333-f10159cb84a9))
+ (segment (start 167.7 65.5) (end 167.2 66) (width 0.8) (layer "B.Cu") (net 56) (tstamp 5d1ef456-e83c-40bf-917d-6a6bc7043e57))
+ (segment (start 172.25 65.5) (end 167.7 65.5) (width 0.8) (layer "B.Cu") (net 56) (tstamp b475ce5c-975d-4986-8683-11580bb81977))
+ (segment (start 217.7 78.62) (end 215.28 81.04) (width 0.8) (layer "B.Cu") (net 57) (tstamp 2357105d-d731-4762-92f6-bc25daf7d590))
+ (segment (start 217.7 77.95) (end 217.7 78.62) (width 0.8) (layer "B.Cu") (net 57) (tstamp 7eaa72c0-bf36-45cb-8910-6e7bb37fdf7c))
+ (segment (start 145.12 64.58) (end 145.12 55.96) (width 0.6) (layer "B.Cu") (net 58) (tstamp 0c4d847c-eb42-4248-a1a1-8301cdad4c0f))
+ (segment (start 143.7 67.55) (end 143.7 66) (width 0.6) (layer "B.Cu") (net 58) (tstamp 6354889e-16d3-48ff-9a46-6b09643af171))
+ (segment (start 143.7 66) (end 145.12 64.58) (width 0.6) (layer "B.Cu") (net 58) (tstamp f123a25e-e727-4ee3-9dc0-a28b948e2443))
+ (segment (start 196.2 69.45) (end 195.28 70.37) (width 0.8) (layer "B.Cu") (net 59) (tstamp 89981884-5876-4cde-bcf1-d653f08a7011))
+ (segment (start 195.28 70.37) (end 195.28 81.04) (width 0.8) (layer "B.Cu") (net 59) (tstamp c3bee213-6934-499b-bf7e-0583a3a897c3))
+ (segment (start 165.12 67.12) (end 165.12 57.515634) (width 0.6) (layer "B.Cu") (net 60) (tstamp 05827fc1-86d3-4795-883a-d86dd5d583c1))
+ (segment (start 168.725 67.45) (end 168.075 68.1) (width 0.6) (layer "B.Cu") (net 60) (tstamp 07f57fa3-9d62-4427-a324-5eaa63c15510))
+ (segment (start 165.12 57.515634) (end 165.12 55.96) (width 0.6) (layer "B.Cu") (net 60) (tstamp 8ba99c0e-9e59-454a-b731-fcc060aa69c3))
+ (segment (start 169.7 67.45) (end 168.725 67.45) (width 0.6) (layer "B.Cu") (net 60) (tstamp 9cee6dfd-798c-47aa-b866-6f2147b132b2))
+ (segment (start 166.1 68.1) (end 165.12 67.12) (width 0.6) (layer "B.Cu") (net 60) (tstamp b075f6fa-d950-4b1b-9438-534f8583a009))
+ (segment (start 168.075 68.1) (end 166.1 68.1) (width 0.6) (layer "B.Cu") (net 60) (tstamp b8200046-86d3-41c1-99bb-39c7034aef5e))
+
+ (zone (net 1) (net_name "GND") (layer "F.Cu") (tstamp 19f84c5e-7586-403e-a84e-e00fdbbe73c7) (hatch edge 0.508)
+ (connect_pads (clearance 0.157))
+ (min_thickness 0.254) (filled_areas_thickness no)
+ (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 225.2 93.5)
+ (xy 135.2 93.5)
+ (xy 135.2 43.5)
+ (xy 225.2 43.5)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 220.19932 43.657125)
+ (xy 220.48545 43.669868)
+ (xy 220.624888 43.676078)
+ (xy 220.636055 43.677074)
+ (xy 221.052037 43.732947)
+ (xy 221.063072 43.734933)
+ (xy 221.472427 43.827562)
+ (xy 221.483241 43.83052)
+ (xy 221.554565 43.853488)
+ (xy 221.88276 43.959175)
+ (xy 221.893256 43.963079)
+ (xy 222.135336 44.065588)
+ (xy 222.279726 44.12673)
+ (xy 222.289845 44.131556)
+ (xy 222.327223 44.151472)
+ (xy 222.640394 44.318338)
+ (xy 222.660263 44.328925)
+ (xy 222.669913 44.334632)
+ (xy 222.983794 44.53964)
+ (xy 223.021305 44.56414)
+ (xy 223.030406 44.570679)
+ (xy 223.343293 44.81734)
+ (xy 223.36001 44.830519)
+ (xy 223.368492 44.837841)
+ (xy 223.673702 45.125958)
+ (xy 223.681493 45.133998)
+ (xy 223.800813 45.268628)
+ (xy 223.959882 45.448107)
+ (xy 223.966937 45.45682)
+ (xy 224.216283 45.794409)
+ (xy 224.222537 45.803715)
+ (xy 224.440901 46.162158)
+ (xy 224.446302 46.171983)
+ (xy 224.631932 46.548404)
+ (xy 224.636438 46.55867)
+ (xy 224.654619 46.605664)
+ (xy 224.787288 46.948589)
+ (xy 224.787869 46.950092)
+ (xy 224.791443 46.960711)
+ (xy 224.904303 47.353005)
+ (xy 224.907486 47.364069)
+ (xy 224.910101 47.374963)
+ (xy 224.97863 47.729162)
+ (xy 224.989827 47.787033)
+ (xy 224.991465 47.798123)
+ (xy 225.019269 48.069499)
+ (xy 225.034469 48.217854)
+ (xy 225.035073 48.227068)
+ (xy 225.042948 48.500435)
+ (xy 225.043 48.504063)
+ (xy 225.043001 88.493691)
+ (xy 225.042876 88.499297)
+ (xy 225.023922 88.924887)
+ (xy 225.022926 88.936054)
+ (xy 224.967053 89.352036)
+ (xy 224.965067 89.363071)
+ (xy 224.872442 89.772415)
+ (xy 224.869484 89.783229)
+ (xy 224.810533 89.966294)
+ (xy 224.768076 90.098138)
+ (xy 224.740831 90.182742)
+ (xy 224.736924 90.193244)
+ (xy 224.688016 90.308746)
+ (xy 224.573273 90.579719)
+ (xy 224.568447 90.589838)
+ (xy 224.371085 90.960244)
+ (xy 224.365378 90.969895)
+ (xy 224.135867 91.321296)
+ (xy 224.129324 91.330401)
+ (xy 223.86949 91.660001)
+ (xy 223.862168 91.668483)
+ (xy 223.660078 91.882562)
+ (xy 223.574051 91.973692)
+ (xy 223.566006 91.981488)
+ (xy 223.251896 92.25988)
+ (xy 223.243202 92.26692)
+ (xy 222.90559 92.516285)
+ (xy 222.896308 92.522523)
+ (xy 222.573253 92.71933)
+ (xy 222.537857 92.740893)
+ (xy 222.528033 92.746294)
+ (xy 222.151601 92.931931)
+ (xy 222.141335 92.936437)
+ (xy 221.749906 93.08787)
+ (xy 221.739301 93.09144)
+ (xy 221.335915 93.207491)
+ (xy 221.325029 93.210104)
+ (xy 220.912961 93.289827)
+ (xy 220.901884 93.291463)
+ (xy 220.594806 93.322926)
+ (xy 220.482145 93.334469)
+ (xy 220.472931 93.335073)
+ (xy 220.199565 93.342948)
+ (xy 220.195937 93.343)
+ (xy 140.206286 93.343)
+ (xy 140.20068 93.342875)
+ (xy 140.097011 93.338258)
+ (xy 139.775113 93.323922)
+ (xy 139.763946 93.322926)
+ (xy 139.347964 93.267053)
+ (xy 139.336929 93.265067)
+ (xy 138.927585 93.172442)
+ (xy 138.916771 93.169484)
+ (xy 138.663315 93.087865)
+ (xy 138.517252 93.040829)
+ (xy 138.506756 93.036924)
+ (xy 138.120281 92.873273)
+ (xy 138.110162 92.868447)
+ (xy 137.739756 92.671085)
+ (xy 137.730105 92.665378)
+ (xy 137.378704 92.435867)
+ (xy 137.369599 92.429324)
+ (xy 137.07331 92.19575)
+ (xy 137.039994 92.169486)
+ (xy 137.031512 92.162164)
+ (xy 136.94545 92.080921)
+ (xy 136.726303 91.874045)
+ (xy 136.718506 91.866)
+ (xy 136.603972 91.736771)
+ (xy 136.44012 91.551896)
+ (xy 136.43308 91.543202)
+ (xy 136.183715 91.20559)
+ (xy 136.177477 91.196308)
+ (xy 135.959107 90.837857)
+ (xy 135.953706 90.828033)
+ (xy 135.768069 90.451601)
+ (xy 135.763563 90.441335)
+ (xy 135.724651 90.340754)
+ (xy 135.61213 90.049906)
+ (xy 135.608558 90.039294)
+ (xy 135.492509 89.635915)
+ (xy 135.489894 89.625021)
+ (xy 135.484153 89.595346)
+ (xy 135.456783 89.453876)
+ (xy 137.438604 89.453876)
+ (xy 137.438828 89.458542)
+ (xy 137.438828 89.458547)
+ (xy 137.440971 89.50316)
+ (xy 137.451134 89.714734)
+ (xy 137.502083 89.970874)
+ (xy 137.590333 90.21667)
+ (xy 137.592549 90.220794)
+ (xy 137.657006 90.340754)
+ (xy 137.713944 90.446722)
+ (xy 137.716739 90.450465)
+ (xy 137.716741 90.450468)
+ (xy 137.86741 90.652238)
+ (xy 137.867415 90.652244)
+ (xy 137.870202 90.655976)
+ (xy 137.873511 90.659256)
+ (xy 137.873516 90.659262)
+ (xy 138.052356 90.836547)
+ (xy 138.055673 90.839835)
+ (xy 138.266282 90.994261)
+ (xy 138.270425 90.996441)
+ (xy 138.270427 90.996442)
+ (xy 138.493254 91.113677)
+ (xy 138.493259 91.113679)
+ (xy 138.497404 91.11586)
+ (xy 138.501827 91.117405)
+ (xy 138.501828 91.117405)
+ (xy 138.727739 91.196296)
+ (xy 138.743961 91.201961)
+ (xy 139.000536 91.250674)
+ (xy 139.124612 91.255549)
+ (xy 139.256825 91.260744)
+ (xy 139.25683 91.260744)
+ (xy 139.261493 91.260927)
+ (xy 139.368659 91.24919)
+ (xy 139.516446 91.233005)
+ (xy 139.516451 91.233004)
+ (xy 139.521099 91.232495)
+ (xy 139.525623 91.231304)
+ (xy 139.769128 91.167195)
+ (xy 139.76913 91.167194)
+ (xy 139.773651 91.166004)
+ (xy 139.890364 91.11586)
+ (xy 140.009307 91.064758)
+ (xy 140.009309 91.064757)
+ (xy 140.013601 91.062913)
+ (xy 140.235678 90.925488)
+ (xy 140.435002 90.756747)
+ (xy 140.48123 90.704034)
+ (xy 140.604114 90.563913)
+ (xy 140.604118 90.563908)
+ (xy 140.607196 90.560398)
+ (xy 140.748476 90.340754)
+ (xy 140.855738 90.102639)
+ (xy 140.926627 89.851286)
+ (xy 140.959585 89.592216)
+ (xy 140.962 89.5)
+ (xy 140.958573 89.453876)
+ (xy 219.438604 89.453876)
+ (xy 219.438828 89.458542)
+ (xy 219.438828 89.458547)
+ (xy 219.440971 89.50316)
+ (xy 219.451134 89.714734)
+ (xy 219.502083 89.970874)
+ (xy 219.590333 90.21667)
+ (xy 219.592549 90.220794)
+ (xy 219.657006 90.340754)
+ (xy 219.713944 90.446722)
+ (xy 219.716739 90.450465)
+ (xy 219.716741 90.450468)
+ (xy 219.86741 90.652238)
+ (xy 219.867415 90.652244)
+ (xy 219.870202 90.655976)
+ (xy 219.873511 90.659256)
+ (xy 219.873516 90.659262)
+ (xy 220.052356 90.836547)
+ (xy 220.055673 90.839835)
+ (xy 220.266282 90.994261)
+ (xy 220.270425 90.996441)
+ (xy 220.270427 90.996442)
+ (xy 220.493254 91.113677)
+ (xy 220.493259 91.113679)
+ (xy 220.497404 91.11586)
+ (xy 220.501827 91.117405)
+ (xy 220.501828 91.117405)
+ (xy 220.727739 91.196296)
+ (xy 220.743961 91.201961)
+ (xy 221.000536 91.250674)
+ (xy 221.124612 91.255549)
+ (xy 221.256825 91.260744)
+ (xy 221.25683 91.260744)
+ (xy 221.261493 91.260927)
+ (xy 221.368659 91.24919)
+ (xy 221.516446 91.233005)
+ (xy 221.516451 91.233004)
+ (xy 221.521099 91.232495)
+ (xy 221.525623 91.231304)
+ (xy 221.769128 91.167195)
+ (xy 221.76913 91.167194)
+ (xy 221.773651 91.166004)
+ (xy 221.890364 91.11586)
+ (xy 222.009307 91.064758)
+ (xy 222.009309 91.064757)
+ (xy 222.013601 91.062913)
+ (xy 222.235678 90.925488)
+ (xy 222.435002 90.756747)
+ (xy 222.48123 90.704034)
+ (xy 222.604114 90.563913)
+ (xy 222.604118 90.563908)
+ (xy 222.607196 90.560398)
+ (xy 222.748476 90.340754)
+ (xy 222.855738 90.102639)
+ (xy 222.926627 89.851286)
+ (xy 222.959585 89.592216)
+ (xy 222.962 89.5)
+ (xy 222.95426 89.395841)
+ (xy 222.942992 89.244212)
+ (xy 222.942991 89.244208)
+ (xy 222.942646 89.23956)
+ (xy 222.885009 88.984841)
+ (xy 222.881513 88.975851)
+ (xy 222.792048 88.745792)
+ (xy 222.792047 88.74579)
+ (xy 222.790355 88.741439)
+ (xy 222.660764 88.514702)
+ (xy 222.499083 88.30961)
+ (xy 222.308863 88.130669)
+ (xy 222.094283 87.981809)
+ (xy 222.090093 87.979743)
+ (xy 222.09009 87.979741)
+ (xy 221.864245 87.868367)
+ (xy 221.864242 87.868366)
+ (xy 221.860057 87.866302)
+ (xy 221.611331 87.786684)
+ (xy 221.526364 87.772846)
+ (xy 221.358181 87.745456)
+ (xy 221.358177 87.745456)
+ (xy 221.353568 87.744705)
+ (xy 221.223 87.742995)
+ (xy 221.097109 87.741347)
+ (xy 221.097106 87.741347)
+ (xy 221.092432 87.741286)
+ (xy 220.89759 87.767803)
+ (xy 220.838288 87.775874)
+ (xy 220.838286 87.775874)
+ (xy 220.83366 87.776504)
+ (xy 220.829178 87.77781)
+ (xy 220.829175 87.777811)
+ (xy 220.801307 87.785934)
+ (xy 220.582935 87.849584)
+ (xy 220.578688 87.851542)
+ (xy 220.578685 87.851543)
+ (xy 220.542191 87.868367)
+ (xy 220.345765 87.95892)
+ (xy 220.341856 87.961483)
+ (xy 220.131275 88.099545)
+ (xy 220.13127 88.099549)
+ (xy 220.127362 88.102111)
+ (xy 219.932523 88.276012)
+ (xy 219.765528 88.476801)
+ (xy 219.763104 88.480795)
+ (xy 219.763103 88.480797)
+ (xy 219.740067 88.51876)
+ (xy 219.630047 88.700069)
+ (xy 219.628238 88.704383)
+ (xy 219.628237 88.704385)
+ (xy 219.53109 88.936054)
+ (xy 219.529054 88.940909)
+ (xy 219.464769 89.194032)
+ (xy 219.438604 89.453876)
+ (xy 140.958573 89.453876)
+ (xy 140.95426 89.395841)
+ (xy 140.942992 89.244212)
+ (xy 140.942991 89.244208)
+ (xy 140.942646 89.23956)
+ (xy 140.885009 88.984841)
+ (xy 140.881513 88.975851)
+ (xy 140.792048 88.745792)
+ (xy 140.792047 88.74579)
+ (xy 140.790355 88.741439)
+ (xy 140.660764 88.514702)
+ (xy 140.499083 88.30961)
+ (xy 140.308863 88.130669)
+ (xy 140.094283 87.981809)
+ (xy 140.090093 87.979743)
+ (xy 140.09009 87.979741)
+ (xy 139.864245 87.868367)
+ (xy 139.864242 87.868366)
+ (xy 139.860057 87.866302)
+ (xy 139.611331 87.786684)
+ (xy 139.526364 87.772846)
+ (xy 139.358181 87.745456)
+ (xy 139.358177 87.745456)
+ (xy 139.353568 87.744705)
+ (xy 139.223 87.742995)
+ (xy 139.097109 87.741347)
+ (xy 139.097106 87.741347)
+ (xy 139.092432 87.741286)
+ (xy 138.89759 87.767803)
+ (xy 138.838288 87.775874)
+ (xy 138.838286 87.775874)
+ (xy 138.83366 87.776504)
+ (xy 138.829178 87.77781)
+ (xy 138.829175 87.777811)
+ (xy 138.801307 87.785934)
+ (xy 138.582935 87.849584)
+ (xy 138.578688 87.851542)
+ (xy 138.578685 87.851543)
+ (xy 138.542191 87.868367)
+ (xy 138.345765 87.95892)
+ (xy 138.341856 87.961483)
+ (xy 138.131275 88.099545)
+ (xy 138.13127 88.099549)
+ (xy 138.127362 88.102111)
+ (xy 137.932523 88.276012)
+ (xy 137.765528 88.476801)
+ (xy 137.763104 88.480795)
+ (xy 137.763103 88.480797)
+ (xy 137.740067 88.51876)
+ (xy 137.630047 88.700069)
+ (xy 137.628238 88.704383)
+ (xy 137.628237 88.704385)
+ (xy 137.53109 88.936054)
+ (xy 137.529054 88.940909)
+ (xy 137.464769 89.194032)
+ (xy 137.438604 89.453876)
+ (xy 135.456783 89.453876)
+ (xy 135.410173 89.212961)
+ (xy 135.408536 89.201878)
+ (xy 135.40821 89.19869)
+ (xy 135.365531 88.782145)
+ (xy 135.364927 88.772931)
+ (xy 135.357052 88.499565)
+ (xy 135.357 88.495937)
+ (xy 135.357 82.389242)
+ (xy 146.40049 82.389242)
+ (xy 146.414006 82.477567)
+ (xy 146.432463 82.598179)
+ (xy 146.434429 82.611029)
+ (xy 146.452567 82.664006)
+ (xy 146.500306 82.80344)
+ (xy 146.507106 82.823302)
+ (xy 146.616224 83.019349)
+ (xy 146.619776 83.023689)
+ (xy 146.619779 83.023693)
+ (xy 146.724264 83.151348)
+ (xy 146.758335 83.192975)
+ (xy 146.799394 83.228043)
+ (xy 146.924682 83.335049)
+ (xy 146.924686 83.335052)
+ (xy 146.928947 83.338691)
+ (xy 147.122667 83.451892)
+ (xy 147.127933 83.453819)
+ (xy 147.127937 83.453821)
+ (xy 147.328106 83.527072)
+ (xy 147.328109 83.527073)
+ (xy 147.33337 83.528998)
+ (xy 147.338886 83.529961)
+ (xy 147.338891 83.529962)
+ (xy 147.509541 83.559745)
+ (xy 147.554399 83.567574)
+ (xy 147.560005 83.567545)
+ (xy 147.560009 83.567545)
+ (xy 147.663025 83.567005)
+ (xy 147.778765 83.566399)
+ (xy 147.781619 83.56587)
+ (xy 149.188099 83.56587)
+ (xy 149.204626 83.762685)
+ (xy 149.259066 83.952542)
+ (xy 149.261885 83.958027)
+ (xy 149.346529 84.122727)
+ (xy 149.346532 84.122732)
+ (xy 149.349347 84.128209)
+ (xy 149.472028 84.282994)
+ (xy 149.476722 84.286988)
+ (xy 149.476722 84.286989)
+ (xy 149.501643 84.308198)
+ (xy 149.622438 84.411003)
+ (xy 149.794847 84.507359)
+ (xy 149.982688 84.568392)
+ (xy 150.178806 84.591778)
+ (xy 150.184941 84.591306)
+ (xy 150.184943 84.591306)
+ (xy 150.369589 84.577098)
+ (xy 150.369592 84.577097)
+ (xy 150.375732 84.576625)
+ (xy 150.565964 84.523512)
+ (xy 150.742257 84.43446)
+ (xy 150.777392 84.40701)
+ (xy 150.893039 84.316656)
+ (xy 150.897895 84.312862)
+ (xy 151.026951 84.16335)
+ (xy 151.04417 84.13304)
+ (xy 151.121465 83.996974)
+ (xy 151.121466 83.996973)
+ (xy 151.124508 83.991617)
+ (xy 151.186851 83.804207)
+ (xy 151.211605 83.608257)
+ (xy 151.212 83.58)
+ (xy 151.192727 83.383435)
+ (xy 151.135641 83.194357)
+ (xy 151.10335 83.133626)
+ (xy 151.04581 83.02541)
+ (xy 151.045808 83.025407)
+ (xy 151.042916 83.019968)
+ (xy 150.918086 82.86691)
+ (xy 150.765903 82.741014)
+ (xy 150.592166 82.647074)
+ (xy 150.403491 82.58867)
+ (xy 150.397366 82.588026)
+ (xy 150.397365 82.588026)
+ (xy 150.213193 82.568669)
+ (xy 150.213192 82.568669)
+ (xy 150.207065 82.568025)
+ (xy 150.086743 82.578975)
+ (xy 150.016511 82.585366)
+ (xy 150.01651 82.585366)
+ (xy 150.01037 82.585925)
+ (xy 150.000663 82.588782)
+ (xy 149.826809 82.63995)
+ (xy 149.826806 82.639951)
+ (xy 149.820898 82.64169)
+ (xy 149.645866 82.733195)
+ (xy 149.641068 82.737053)
+ (xy 149.641066 82.737054)
+ (xy 149.57673 82.788782)
+ (xy 149.491941 82.856954)
+ (xy 149.487982 82.861672)
+ (xy 149.487981 82.861673)
+ (xy 149.413417 82.950534)
+ (xy 149.364985 83.008253)
+ (xy 149.362022 83.013642)
+ (xy 149.362019 83.013647)
+ (xy 149.286318 83.151348)
+ (xy 149.269835 83.181331)
+ (xy 149.210115 83.369593)
+ (xy 149.209429 83.37571)
+ (xy 149.209428 83.375714)
+ (xy 149.192626 83.525511)
+ (xy 149.188099 83.56587)
+ (xy 147.781619 83.56587)
+ (xy 147.999377 83.525511)
+ (xy 148.004626 83.523528)
+ (xy 148.004628 83.523527)
+ (xy 148.081769 83.494378)
+ (xy 148.209262 83.446202)
+ (xy 148.231918 83.432643)
+ (xy 148.30389 83.389568)
+ (xy 148.401785 83.330979)
+ (xy 148.406005 83.327297)
+ (xy 148.40601 83.327294)
+ (xy 148.566631 83.187175)
+ (xy 148.570861 83.183485)
+ (xy 148.628718 83.111268)
+ (xy 148.707641 83.012757)
+ (xy 148.707644 83.012752)
+ (xy 148.711147 83.00838)
+ (xy 148.818206 82.811201)
+ (xy 148.888657 82.598179)
+ (xy 148.889995 82.588782)
+ (xy 148.911026 82.440999)
+ (xy 148.918392 82.389242)
+ (xy 166.40049 82.389242)
+ (xy 166.414006 82.477567)
+ (xy 166.432463 82.598179)
+ (xy 166.434429 82.611029)
+ (xy 166.452567 82.664006)
+ (xy 166.500306 82.80344)
+ (xy 166.507106 82.823302)
+ (xy 166.616224 83.019349)
+ (xy 166.619776 83.023689)
+ (xy 166.619779 83.023693)
+ (xy 166.724264 83.151348)
+ (xy 166.758335 83.192975)
+ (xy 166.799394 83.228043)
+ (xy 166.924682 83.335049)
+ (xy 166.924686 83.335052)
+ (xy 166.928947 83.338691)
+ (xy 167.122667 83.451892)
+ (xy 167.127933 83.453819)
+ (xy 167.127937 83.453821)
+ (xy 167.328106 83.527072)
+ (xy 167.328109 83.527073)
+ (xy 167.33337 83.528998)
+ (xy 167.338886 83.529961)
+ (xy 167.338891 83.529962)
+ (xy 167.509541 83.559745)
+ (xy 167.554399 83.567574)
+ (xy 167.560005 83.567545)
+ (xy 167.560009 83.567545)
+ (xy 167.663025 83.567005)
+ (xy 167.778765 83.566399)
+ (xy 167.781619 83.56587)
+ (xy 169.188099 83.56587)
+ (xy 169.204626 83.762685)
+ (xy 169.259066 83.952542)
+ (xy 169.261885 83.958027)
+ (xy 169.346529 84.122727)
+ (xy 169.346532 84.122732)
+ (xy 169.349347 84.128209)
+ (xy 169.472028 84.282994)
+ (xy 169.476722 84.286988)
+ (xy 169.476722 84.286989)
+ (xy 169.501643 84.308198)
+ (xy 169.622438 84.411003)
+ (xy 169.794847 84.507359)
+ (xy 169.982688 84.568392)
+ (xy 170.178806 84.591778)
+ (xy 170.184941 84.591306)
+ (xy 170.184943 84.591306)
+ (xy 170.369589 84.577098)
+ (xy 170.369592 84.577097)
+ (xy 170.375732 84.576625)
+ (xy 170.565964 84.523512)
+ (xy 170.742257 84.43446)
+ (xy 170.777392 84.40701)
+ (xy 170.893039 84.316656)
+ (xy 170.897895 84.312862)
+ (xy 171.026951 84.16335)
+ (xy 171.04417 84.13304)
+ (xy 171.121465 83.996974)
+ (xy 171.121466 83.996973)
+ (xy 171.124508 83.991617)
+ (xy 171.186851 83.804207)
+ (xy 171.211605 83.608257)
+ (xy 171.212 83.58)
+ (xy 171.210615 83.56587)
+ (xy 189.188099 83.56587)
+ (xy 189.204626 83.762685)
+ (xy 189.259066 83.952542)
+ (xy 189.261885 83.958027)
+ (xy 189.346529 84.122727)
+ (xy 189.346532 84.122732)
+ (xy 189.349347 84.128209)
+ (xy 189.472028 84.282994)
+ (xy 189.476722 84.286988)
+ (xy 189.476722 84.286989)
+ (xy 189.501643 84.308198)
+ (xy 189.622438 84.411003)
+ (xy 189.794847 84.507359)
+ (xy 189.982688 84.568392)
+ (xy 190.178806 84.591778)
+ (xy 190.184941 84.591306)
+ (xy 190.184943 84.591306)
+ (xy 190.369589 84.577098)
+ (xy 190.369592 84.577097)
+ (xy 190.375732 84.576625)
+ (xy 190.565964 84.523512)
+ (xy 190.742257 84.43446)
+ (xy 190.777392 84.40701)
+ (xy 190.893039 84.316656)
+ (xy 190.897895 84.312862)
+ (xy 191.026951 84.16335)
+ (xy 191.04417 84.13304)
+ (xy 191.121465 83.996974)
+ (xy 191.121466 83.996973)
+ (xy 191.124508 83.991617)
+ (xy 191.186851 83.804207)
+ (xy 191.211605 83.608257)
+ (xy 191.212 83.58)
+ (xy 191.210615 83.56587)
+ (xy 209.188099 83.56587)
+ (xy 209.204626 83.762685)
+ (xy 209.259066 83.952542)
+ (xy 209.261885 83.958027)
+ (xy 209.346529 84.122727)
+ (xy 209.346532 84.122732)
+ (xy 209.349347 84.128209)
+ (xy 209.472028 84.282994)
+ (xy 209.476722 84.286988)
+ (xy 209.476722 84.286989)
+ (xy 209.501643 84.308198)
+ (xy 209.622438 84.411003)
+ (xy 209.794847 84.507359)
+ (xy 209.982688 84.568392)
+ (xy 210.178806 84.591778)
+ (xy 210.184941 84.591306)
+ (xy 210.184943 84.591306)
+ (xy 210.369589 84.577098)
+ (xy 210.369592 84.577097)
+ (xy 210.375732 84.576625)
+ (xy 210.565964 84.523512)
+ (xy 210.742257 84.43446)
+ (xy 210.777392 84.40701)
+ (xy 210.893039 84.316656)
+ (xy 210.897895 84.312862)
+ (xy 211.026951 84.16335)
+ (xy 211.04417 84.13304)
+ (xy 211.121465 83.996974)
+ (xy 211.121466 83.996973)
+ (xy 211.124508 83.991617)
+ (xy 211.186851 83.804207)
+ (xy 211.211605 83.608257)
+ (xy 211.212 83.58)
+ (xy 211.192727 83.383435)
+ (xy 211.135641 83.194357)
+ (xy 211.10335 83.133626)
+ (xy 211.04581 83.02541)
+ (xy 211.045808 83.025407)
+ (xy 211.042916 83.019968)
+ (xy 210.918086 82.86691)
+ (xy 210.765903 82.741014)
+ (xy 210.592166 82.647074)
+ (xy 210.403491 82.58867)
+ (xy 210.397366 82.588026)
+ (xy 210.397365 82.588026)
+ (xy 210.213193 82.568669)
+ (xy 210.213192 82.568669)
+ (xy 210.207065 82.568025)
+ (xy 210.086743 82.578975)
+ (xy 210.016511 82.585366)
+ (xy 210.01651 82.585366)
+ (xy 210.01037 82.585925)
+ (xy 210.000663 82.588782)
+ (xy 209.826809 82.63995)
+ (xy 209.826806 82.639951)
+ (xy 209.820898 82.64169)
+ (xy 209.645866 82.733195)
+ (xy 209.641068 82.737053)
+ (xy 209.641066 82.737054)
+ (xy 209.57673 82.788782)
+ (xy 209.491941 82.856954)
+ (xy 209.487982 82.861672)
+ (xy 209.487981 82.861673)
+ (xy 209.413417 82.950534)
+ (xy 209.364985 83.008253)
+ (xy 209.362022 83.013642)
+ (xy 209.362019 83.013647)
+ (xy 209.286318 83.151348)
+ (xy 209.269835 83.181331)
+ (xy 209.210115 83.369593)
+ (xy 209.209429 83.37571)
+ (xy 209.209428 83.375714)
+ (xy 209.192626 83.525511)
+ (xy 209.188099 83.56587)
+ (xy 191.210615 83.56587)
+ (xy 191.192727 83.383435)
+ (xy 191.135641 83.194357)
+ (xy 191.10335 83.133626)
+ (xy 191.04581 83.02541)
+ (xy 191.045808 83.025407)
+ (xy 191.042916 83.019968)
+ (xy 190.918086 82.86691)
+ (xy 190.765903 82.741014)
+ (xy 190.592166 82.647074)
+ (xy 190.403491 82.58867)
+ (xy 190.397366 82.588026)
+ (xy 190.397365 82.588026)
+ (xy 190.213193 82.568669)
+ (xy 190.213192 82.568669)
+ (xy 190.207065 82.568025)
+ (xy 190.086743 82.578975)
+ (xy 190.016511 82.585366)
+ (xy 190.01651 82.585366)
+ (xy 190.01037 82.585925)
+ (xy 190.000663 82.588782)
+ (xy 189.826809 82.63995)
+ (xy 189.826806 82.639951)
+ (xy 189.820898 82.64169)
+ (xy 189.645866 82.733195)
+ (xy 189.641068 82.737053)
+ (xy 189.641066 82.737054)
+ (xy 189.57673 82.788782)
+ (xy 189.491941 82.856954)
+ (xy 189.487982 82.861672)
+ (xy 189.487981 82.861673)
+ (xy 189.413417 82.950534)
+ (xy 189.364985 83.008253)
+ (xy 189.362022 83.013642)
+ (xy 189.362019 83.013647)
+ (xy 189.286318 83.151348)
+ (xy 189.269835 83.181331)
+ (xy 189.210115 83.369593)
+ (xy 189.209429 83.37571)
+ (xy 189.209428 83.375714)
+ (xy 189.192626 83.525511)
+ (xy 189.188099 83.56587)
+ (xy 171.210615 83.56587)
+ (xy 171.192727 83.383435)
+ (xy 171.135641 83.194357)
+ (xy 171.10335 83.133626)
+ (xy 171.04581 83.02541)
+ (xy 171.045808 83.025407)
+ (xy 171.042916 83.019968)
+ (xy 170.918086 82.86691)
+ (xy 170.91598 82.865167)
+ (xy 175.442114 82.865167)
+ (xy 175.444813 82.874191)
+ (xy 175.444813 82.874193)
+ (xy 175.458113 82.918666)
+ (xy 175.481517 82.996923)
+ (xy 175.500552 83.02541)
+ (xy 175.520823 83.055747)
+ (xy 175.557921 83.111268)
+ (xy 175.565222 83.117212)
+ (xy 175.565223 83.117213)
+ (xy 175.653296 83.188916)
+ (xy 175.664568 83.198093)
+ (xy 175.792032 83.249721)
+ (xy 175.801417 83.250534)
+ (xy 175.801418 83.250534)
+ (xy 175.919661 83.260775)
+ (xy 175.919664 83.260775)
+ (xy 175.929041 83.261587)
+ (xy 175.938242 83.259606)
+ (xy 175.938244 83.259606)
+ (xy 176.054278 83.234625)
+ (xy 176.054281 83.234624)
+ (xy 176.063482 83.232643)
+ (xy 176.071694 83.228044)
+ (xy 176.071697 83.228043)
+ (xy 176.175254 83.170048)
+ (xy 176.18347 83.165447)
+ (xy 176.278394 83.06594)
+ (xy 176.27878 83.065167)
+ (xy 179.742114 83.065167)
+ (xy 179.781517 83.196923)
+ (xy 179.857921 83.311268)
+ (xy 179.865222 83.317212)
+ (xy 179.865223 83.317213)
+ (xy 179.895077 83.341518)
+ (xy 179.964568 83.398093)
+ (xy 180.092032 83.449721)
+ (xy 180.101417 83.450534)
+ (xy 180.101418 83.450534)
+ (xy 180.219661 83.460775)
+ (xy 180.219664 83.460775)
+ (xy 180.229041 83.461587)
+ (xy 180.238242 83.459606)
+ (xy 180.238244 83.459606)
+ (xy 180.354278 83.434625)
+ (xy 180.354281 83.434624)
+ (xy 180.363482 83.432643)
+ (xy 180.371694 83.428044)
+ (xy 180.371697 83.428043)
+ (xy 180.475254 83.370048)
+ (xy 180.48347 83.365447)
+ (xy 180.578394 83.26594)
+ (xy 180.639864 83.14292)
+ (xy 180.662443 83.007265)
+ (xy 180.6625 83)
+ (xy 180.642054 82.864006)
+ (xy 180.582525 82.740036)
+ (xy 180.490974 82.640998)
+ (xy 180.4575 82.55547)
+ (xy 180.4575 81.45885)
+ (xy 180.494405 81.369754)
+ (xy 181.171155 80.693005)
+ (xy 181.233467 80.65898)
+ (xy 181.271121 80.656571)
+ (xy 181.31966 80.660775)
+ (xy 181.319663 80.660775)
+ (xy 181.329041 80.661587)
+ (xy 181.338242 80.659606)
+ (xy 181.338244 80.659606)
+ (xy 181.454278 80.634625)
+ (xy 181.454281 80.634624)
+ (xy 181.463482 80.632643)
+ (xy 181.471694 80.628044)
+ (xy 181.471697 80.628043)
+ (xy 181.575254 80.570048)
+ (xy 181.58347 80.565447)
+ (xy 181.678394 80.46594)
+ (xy 181.739864 80.34292)
+ (xy 181.762443 80.207265)
+ (xy 181.7625 80.2)
+ (xy 181.742054 80.064006)
+ (xy 181.682525 79.940036)
+ (xy 181.595759 79.846173)
+ (xy 181.595572 79.845971)
+ (xy 181.595571 79.84597)
+ (xy 181.589175 79.839051)
+ (xy 181.581031 79.83432)
+ (xy 181.581029 79.834319)
+ (xy 181.478399 79.774706)
+ (xy 181.478395 79.774704)
+ (xy 181.470258 79.769978)
+ (xy 181.378189 79.748638)
+ (xy 181.345465 79.741053)
+ (xy 181.345463 79.741053)
+ (xy 181.336287 79.738926)
+ (xy 181.326891 79.739591)
+ (xy 181.326888 79.739591)
+ (xy 181.208511 79.747972)
+ (xy 181.208509 79.747973)
+ (xy 181.199109 79.748638)
+ (xy 181.07085 79.798258)
+ (xy 180.962852 79.883397)
+ (xy 180.884662 79.996528)
+ (xy 180.881823 80.005505)
+ (xy 180.881822 80.005507)
+ (xy 180.860377 80.073316)
+ (xy 180.843194 80.127649)
+ (xy 180.84312 80.13707)
+ (xy 180.842293 80.242344)
+ (xy 180.805392 80.330449)
+ (xy 180.044476 81.091365)
+ (xy 180.025383 81.107035)
+ (xy 180.024876 81.107374)
+ (xy 180.014385 81.114384)
+ (xy 179.980267 81.165447)
+ (xy 179.957483 81.199546)
+ (xy 179.9375 81.3)
+ (xy 179.939921 81.31217)
+ (xy 179.939921 81.312172)
+ (xy 179.94008 81.312971)
+ (xy 179.942501 81.337553)
+ (xy 179.9425 82.559493)
+ (xy 179.922498 82.627614)
+ (xy 179.894507 82.658442)
+ (xy 179.862852 82.683397)
+ (xy 179.784662 82.796528)
+ (xy 179.781823 82.805505)
+ (xy 179.781822 82.805507)
+ (xy 179.762954 82.865167)
+ (xy 179.743194 82.927649)
+ (xy 179.742114 83.065167)
+ (xy 176.27878 83.065167)
+ (xy 176.31288 82.996923)
+ (xy 176.335653 82.951348)
+ (xy 176.335653 82.951347)
+ (xy 176.339864 82.94292)
+ (xy 176.346737 82.90163)
+ (xy 176.36163 82.812149)
+ (xy 176.362443 82.807265)
+ (xy 176.3625 82.8)
+ (xy 176.345646 82.687898)
+ (xy 176.343454 82.673316)
+ (xy 176.343453 82.673314)
+ (xy 176.342054 82.664006)
+ (xy 176.305932 82.588782)
+ (xy 176.2866 82.548522)
+ (xy 176.2866 82.548521)
+ (xy 176.282525 82.540036)
+ (xy 176.190974 82.440998)
+ (xy 176.1575 82.35547)
+ (xy 176.1575 80.558849)
+ (xy 176.194405 80.469754)
+ (xy 176.255524 80.408635)
+ (xy 176.274621 80.392962)
+ (xy 176.275299 80.392509)
+ (xy 176.285616 80.385616)
+ (xy 176.318707 80.33609)
+ (xy 176.31871 80.336088)
+ (xy 176.318709 80.336087)
+ (xy 176.335624 80.310772)
+ (xy 176.342518 80.300455)
+ (xy 176.3625 80.2)
+ (xy 176.359921 80.187035)
+ (xy 176.3575 80.162453)
+ (xy 176.3575 80.04313)
+ (xy 176.39233 79.956159)
+ (xy 176.407711 79.940036)
+ (xy 176.478394 79.86594)
+ (xy 176.531261 79.760138)
+ (xy 176.535653 79.751348)
+ (xy 176.535653 79.751347)
+ (xy 176.539864 79.74292)
+ (xy 176.562443 79.607265)
+ (xy 176.5625 79.6)
+ (xy 176.542054 79.464006)
+ (xy 176.482525 79.340036)
+ (xy 176.389175 79.239051)
+ (xy 176.381031 79.23432)
+ (xy 176.381029 79.234319)
+ (xy 176.278399 79.174706)
+ (xy 176.278395 79.174704)
+ (xy 176.270258 79.169978)
+ (xy 176.178189 79.148638)
+ (xy 176.145465 79.141053)
+ (xy 176.145463 79.141053)
+ (xy 176.136287 79.138926)
+ (xy 176.126891 79.139591)
+ (xy 176.126888 79.139591)
+ (xy 176.008511 79.147972)
+ (xy 176.008509 79.147973)
+ (xy 175.999109 79.148638)
+ (xy 175.87085 79.198258)
+ (xy 175.762852 79.283397)
+ (xy 175.684662 79.396528)
+ (xy 175.681823 79.405505)
+ (xy 175.681822 79.405507)
+ (xy 175.660377 79.473316)
+ (xy 175.643194 79.527649)
+ (xy 175.642114 79.665167)
+ (xy 175.644813 79.674191)
+ (xy 175.644813 79.674193)
+ (xy 175.670516 79.760138)
+ (xy 175.681517 79.796923)
+ (xy 175.70989 79.839385)
+ (xy 175.747372 79.89548)
+ (xy 175.757921 79.911268)
+ (xy 175.765222 79.917212)
+ (xy 175.765223 79.917213)
+ (xy 175.796051 79.942311)
+ (xy 175.83625 80.000831)
+ (xy 175.8425 80.040023)
+ (xy 175.8425 80.041149)
+ (xy 175.805596 80.130244)
+ (xy 175.744471 80.19137)
+ (xy 175.725375 80.207041)
+ (xy 175.714385 80.214384)
+ (xy 175.680454 80.265167)
+ (xy 175.657483 80.299546)
+ (xy 175.6375 80.4)
+ (xy 175.639921 80.41217)
+ (xy 175.639921 80.412172)
+ (xy 175.64008 80.412971)
+ (xy 175.642501 80.437553)
+ (xy 175.6425 82.359493)
+ (xy 175.622498 82.427614)
+ (xy 175.594507 82.458442)
+ (xy 175.562852 82.483397)
+ (xy 175.484662 82.596528)
+ (xy 175.481823 82.605505)
+ (xy 175.481822 82.605507)
+ (xy 175.460377 82.673316)
+ (xy 175.443194 82.727649)
+ (xy 175.442114 82.865167)
+ (xy 170.91598 82.865167)
+ (xy 170.765903 82.741014)
+ (xy 170.592166 82.647074)
+ (xy 170.403491 82.58867)
+ (xy 170.397366 82.588026)
+ (xy 170.397365 82.588026)
+ (xy 170.213193 82.568669)
+ (xy 170.213192 82.568669)
+ (xy 170.207065 82.568025)
+ (xy 170.086743 82.578975)
+ (xy 170.016511 82.585366)
+ (xy 170.01651 82.585366)
+ (xy 170.01037 82.585925)
+ (xy 170.000663 82.588782)
+ (xy 169.826809 82.63995)
+ (xy 169.826806 82.639951)
+ (xy 169.820898 82.64169)
+ (xy 169.645866 82.733195)
+ (xy 169.641068 82.737053)
+ (xy 169.641066 82.737054)
+ (xy 169.57673 82.788782)
+ (xy 169.491941 82.856954)
+ (xy 169.487982 82.861672)
+ (xy 169.487981 82.861673)
+ (xy 169.413417 82.950534)
+ (xy 169.364985 83.008253)
+ (xy 169.362022 83.013642)
+ (xy 169.362019 83.013647)
+ (xy 169.286318 83.151348)
+ (xy 169.269835 83.181331)
+ (xy 169.210115 83.369593)
+ (xy 169.209429 83.37571)
+ (xy 169.209428 83.375714)
+ (xy 169.192626 83.525511)
+ (xy 169.188099 83.56587)
+ (xy 167.781619 83.56587)
+ (xy 167.999377 83.525511)
+ (xy 168.004626 83.523528)
+ (xy 168.004628 83.523527)
+ (xy 168.081769 83.494378)
+ (xy 168.209262 83.446202)
+ (xy 168.231918 83.432643)
+ (xy 168.30389 83.389568)
+ (xy 168.401785 83.330979)
+ (xy 168.406005 83.327297)
+ (xy 168.40601 83.327294)
+ (xy 168.566631 83.187175)
+ (xy 168.570861 83.183485)
+ (xy 168.628718 83.111268)
+ (xy 168.707641 83.012757)
+ (xy 168.707644 83.012752)
+ (xy 168.711147 83.00838)
+ (xy 168.818206 82.811201)
+ (xy 168.888657 82.598179)
+ (xy 168.889995 82.588782)
+ (xy 168.911026 82.440999)
+ (xy 168.92027 82.376048)
+ (xy 168.922 82.31)
+ (xy 168.920889 82.297545)
+ (xy 168.909555 82.17056)
+ (xy 168.902055 82.086519)
+ (xy 168.858312 81.92662)
+ (xy 168.844332 81.875518)
+ (xy 168.844331 81.875514)
+ (xy 168.84285 81.870102)
+ (xy 168.746256 81.66759)
+ (xy 168.742564 81.662452)
+ (xy 168.618604 81.489941)
+ (xy 168.618599 81.489936)
+ (xy 168.615328 81.485383)
+ (xy 168.454202 81.329242)
+ (xy 168.267973 81.204101)
+ (xy 168.125481 81.141551)
+ (xy 168.067669 81.116173)
+ (xy 168.067667 81.116172)
+ (xy 168.062527 81.113916)
+ (xy 167.902838 81.075578)
+ (xy 167.849814 81.062848)
+ (xy 167.849813 81.062848)
+ (xy 167.844357 81.061538)
+ (xy 167.759591 81.056651)
+ (xy 167.625967 81.048946)
+ (xy 167.625964 81.048946)
+ (xy 167.62036 81.048623)
+ (xy 167.397615 81.075578)
+ (xy 167.183165 81.141551)
+ (xy 167.178185 81.144121)
+ (xy 167.178181 81.144123)
+ (xy 167.047219 81.211718)
+ (xy 166.983787 81.244458)
+ (xy 166.805783 81.381045)
+ (xy 166.80201 81.385191)
+ (xy 166.802005 81.385196)
+ (xy 166.706695 81.489941)
+ (xy 166.654779 81.546996)
+ (xy 166.625708 81.59334)
+ (xy 166.566436 81.687828)
+ (xy 166.53555 81.737064)
+ (xy 166.451863 81.945242)
+ (xy 166.406364 82.164949)
+ (xy 166.40049 82.389242)
+ (xy 148.918392 82.389242)
+ (xy 148.92027 82.376048)
+ (xy 148.922 82.31)
+ (xy 148.920889 82.297545)
+ (xy 148.909555 82.17056)
+ (xy 148.902055 82.086519)
+ (xy 148.858312 81.92662)
+ (xy 148.844332 81.875518)
+ (xy 148.844331 81.875514)
+ (xy 148.84285 81.870102)
+ (xy 148.746256 81.66759)
+ (xy 148.742564 81.662452)
+ (xy 148.618604 81.489941)
+ (xy 148.618599 81.489936)
+ (xy 148.615328 81.485383)
+ (xy 148.454202 81.329242)
+ (xy 148.267973 81.204101)
+ (xy 148.125481 81.141551)
+ (xy 148.067669 81.116173)
+ (xy 148.067667 81.116172)
+ (xy 148.062527 81.113916)
+ (xy 147.902838 81.075578)
+ (xy 147.849814 81.062848)
+ (xy 147.849813 81.062848)
+ (xy 147.844357 81.061538)
+ (xy 147.759591 81.056651)
+ (xy 147.625967 81.048946)
+ (xy 147.625964 81.048946)
+ (xy 147.62036 81.048623)
+ (xy 147.397615 81.075578)
+ (xy 147.183165 81.141551)
+ (xy 147.178185 81.144121)
+ (xy 147.178181 81.144123)
+ (xy 147.047219 81.211718)
+ (xy 146.983787 81.244458)
+ (xy 146.805783 81.381045)
+ (xy 146.80201 81.385191)
+ (xy 146.802005 81.385196)
+ (xy 146.706695 81.489941)
+ (xy 146.654779 81.546996)
+ (xy 146.625708 81.59334)
+ (xy 146.566436 81.687828)
+ (xy 146.53555 81.737064)
+ (xy 146.451863 81.945242)
+ (xy 146.406364 82.164949)
+ (xy 146.40049 82.389242)
+ (xy 135.357 82.389242)
+ (xy 135.357 78.47736)
+ (xy 148.038119 78.47736)
+ (xy 148.055048 78.77097)
+ (xy 148.055873 78.775175)
+ (xy 148.055874 78.775183)
+ (xy 148.067401 78.833934)
+ (xy 148.111668 79.059567)
+ (xy 148.113055 79.063617)
+ (xy 148.113056 79.063622)
+ (xy 148.190955 79.291144)
+ (xy 148.206932 79.337809)
+ (xy 148.339076 79.600548)
+ (xy 148.341502 79.604077)
+ (xy 148.341505 79.604083)
+ (xy 148.503224 79.839385)
+ (xy 148.505655 79.842922)
+ (xy 148.508542 79.846095)
+ (xy 148.508543 79.846096)
+ (xy 148.686027 80.041149)
+ (xy 148.703586 80.060446)
+ (xy 148.78396 80.127649)
+ (xy 148.925916 80.246343)
+ (xy 148.925921 80.246347)
+ (xy 148.929208 80.249095)
+ (xy 149.009634 80.299546)
+ (xy 149.174705 80.403095)
+ (xy 149.174709 80.403097)
+ (xy 149.178345 80.405378)
+ (xy 149.268248 80.445971)
+ (xy 149.442475 80.524638)
+ (xy 149.442479 80.52464)
+ (xy 149.446387 80.526404)
+ (xy 149.492408 80.540036)
+ (xy 149.724261 80.608714)
+ (xy 149.724265 80.608715)
+ (xy 149.728374 80.609932)
+ (xy 149.732608 80.61058)
+ (xy 149.732613 80.610581)
+ (xy 149.991302 80.650166)
+ (xy 150.019089 80.654418)
+ (xy 150.168859 80.656771)
+ (xy 150.308859 80.65897)
+ (xy 150.308865 80.65897)
+ (xy 150.31315 80.659037)
+ (xy 150.605118 80.623705)
+ (xy 150.88959 80.549075)
+ (xy 151.161301 80.436529)
+ (xy 151.415224 80.288148)
+ (xy 151.64666 80.106679)
+ (xy 151.851327 79.89548)
+ (xy 151.85386 79.892032)
+ (xy 151.853864 79.892027)
+ (xy 152.022899 79.661913)
+ (xy 152.025437 79.658458)
+ (xy 152.050581 79.612149)
+ (xy 152.163718 79.403775)
+ (xy 152.163719 79.403773)
+ (xy 152.165768 79.399999)
+ (xy 152.2509 79.174706)
+ (xy 152.268207 79.128905)
+ (xy 152.268208 79.128901)
+ (xy 152.269725 79.124887)
+ (xy 152.335382 78.838211)
+ (xy 152.361526 78.545278)
+ (xy 152.362 78.5)
+ (xy 152.361831 78.497519)
+ (xy 152.360457 78.47736)
+ (xy 168.038119 78.47736)
+ (xy 168.055048 78.77097)
+ (xy 168.055873 78.775175)
+ (xy 168.055874 78.775183)
+ (xy 168.067401 78.833934)
+ (xy 168.111668 79.059567)
+ (xy 168.113055 79.063617)
+ (xy 168.113056 79.063622)
+ (xy 168.190955 79.291144)
+ (xy 168.206932 79.337809)
+ (xy 168.339076 79.600548)
+ (xy 168.341502 79.604077)
+ (xy 168.341505 79.604083)
+ (xy 168.503224 79.839385)
+ (xy 168.505655 79.842922)
+ (xy 168.508542 79.846095)
+ (xy 168.508543 79.846096)
+ (xy 168.686027 80.041149)
+ (xy 168.703586 80.060446)
+ (xy 168.78396 80.127649)
+ (xy 168.925916 80.246343)
+ (xy 168.925921 80.246347)
+ (xy 168.929208 80.249095)
+ (xy 169.009634 80.299546)
+ (xy 169.174705 80.403095)
+ (xy 169.174709 80.403097)
+ (xy 169.178345 80.405378)
+ (xy 169.268248 80.445971)
+ (xy 169.442475 80.524638)
+ (xy 169.442479 80.52464)
+ (xy 169.446387 80.526404)
+ (xy 169.492408 80.540036)
+ (xy 169.724261 80.608714)
+ (xy 169.724265 80.608715)
+ (xy 169.728374 80.609932)
+ (xy 169.732608 80.61058)
+ (xy 169.732613 80.610581)
+ (xy 169.991302 80.650166)
+ (xy 170.019089 80.654418)
+ (xy 170.168859 80.656771)
+ (xy 170.308859 80.65897)
+ (xy 170.308865 80.65897)
+ (xy 170.31315 80.659037)
+ (xy 170.605118 80.623705)
+ (xy 170.88959 80.549075)
+ (xy 171.161301 80.436529)
+ (xy 171.415224 80.288148)
+ (xy 171.64666 80.106679)
+ (xy 171.851327 79.89548)
+ (xy 171.85386 79.892032)
+ (xy 171.853864 79.892027)
+ (xy 172.022899 79.661913)
+ (xy 172.025437 79.658458)
+ (xy 172.050581 79.612149)
+ (xy 172.163718 79.403775)
+ (xy 172.163719 79.403773)
+ (xy 172.165768 79.399999)
+ (xy 172.2509 79.174706)
+ (xy 172.268207 79.128905)
+ (xy 172.268208 79.128901)
+ (xy 172.269725 79.124887)
+ (xy 172.335382 78.838211)
+ (xy 172.361526 78.545278)
+ (xy 172.362 78.5)
+ (xy 172.361831 78.497519)
+ (xy 172.342289 78.21086)
+ (xy 172.342288 78.210854)
+ (xy 172.341997 78.206583)
+ (xy 172.282357 77.918595)
+ (xy 172.184186 77.641366)
+ (xy 172.049298 77.380026)
+ (xy 172.039399 77.36594)
+ (xy 171.946407 77.233626)
+ (xy 171.88019 77.139409)
+ (xy 171.776336 77.027649)
+ (xy 171.682912 76.927113)
+ (xy 171.682909 76.927111)
+ (xy 171.679991 76.92397)
+ (xy 171.452406 76.737694)
+ (xy 171.201646 76.584028)
+ (xy 171.197729 76.582309)
+ (xy 171.197726 76.582307)
+ (xy 170.951434 76.474193)
+ (xy 170.932351 76.465816)
+ (xy 170.928223 76.46464)
+ (xy 170.92822 76.464639)
+ (xy 170.840654 76.439695)
+ (xy 170.649505 76.385245)
+ (xy 170.645263 76.384641)
+ (xy 170.645257 76.38464)
+ (xy 170.362592 76.344411)
+ (xy 170.358341 76.343806)
+ (xy 170.203328 76.342994)
+ (xy 170.068533 76.342288)
+ (xy 170.068526 76.342288)
+ (xy 170.064247 76.342266)
+ (xy 170.060002 76.342825)
+ (xy 170.06 76.342825)
+ (xy 169.994726 76.351419)
+ (xy 169.772665 76.380654)
+ (xy 169.48899 76.458258)
+ (xy 169.485042 76.459942)
+ (xy 169.222425 76.571958)
+ (xy 169.222421 76.57196)
+ (xy 169.218473 76.573644)
+ (xy 169.094279 76.647972)
+ (xy 168.969799 76.722471)
+ (xy 168.969795 76.722474)
+ (xy 168.966117 76.724675)
+ (xy 168.962774 76.727353)
+ (xy 168.96277 76.727356)
+ (xy 168.870062 76.80163)
+ (xy 168.736594 76.908558)
+ (xy 168.73365 76.91166)
+ (xy 168.733646 76.911664)
+ (xy 168.543393 77.112149)
+ (xy 168.53415 77.121889)
+ (xy 168.531651 77.125367)
+ (xy 168.53165 77.125368)
+ (xy 168.496566 77.174193)
+ (xy 168.362532 77.360722)
+ (xy 168.224915 77.620635)
+ (xy 168.22344 77.624666)
+ (xy 168.142376 77.846184)
+ (xy 168.123845 77.896821)
+ (xy 168.061193 78.184168)
+ (xy 168.038119 78.47736)
+ (xy 152.360457 78.47736)
+ (xy 152.342289 78.21086)
+ (xy 152.342288 78.210854)
+ (xy 152.341997 78.206583)
+ (xy 152.282357 77.918595)
+ (xy 152.184186 77.641366)
+ (xy 152.049298 77.380026)
+ (xy 152.039399 77.36594)
+ (xy 151.946407 77.233626)
+ (xy 151.88019 77.139409)
+ (xy 151.776336 77.027649)
+ (xy 151.682912 76.927113)
+ (xy 151.682909 76.927111)
+ (xy 151.679991 76.92397)
+ (xy 151.452406 76.737694)
+ (xy 151.201646 76.584028)
+ (xy 151.197729 76.582309)
+ (xy 151.197726 76.582307)
+ (xy 150.951434 76.474193)
+ (xy 150.932351 76.465816)
+ (xy 150.928223 76.46464)
+ (xy 150.92822 76.464639)
+ (xy 150.840654 76.439695)
+ (xy 150.649505 76.385245)
+ (xy 150.645263 76.384641)
+ (xy 150.645257 76.38464)
+ (xy 150.362592 76.344411)
+ (xy 150.358341 76.343806)
+ (xy 150.203328 76.342994)
+ (xy 150.068533 76.342288)
+ (xy 150.068526 76.342288)
+ (xy 150.064247 76.342266)
+ (xy 150.060002 76.342825)
+ (xy 150.06 76.342825)
+ (xy 149.994726 76.351419)
+ (xy 149.772665 76.380654)
+ (xy 149.48899 76.458258)
+ (xy 149.485042 76.459942)
+ (xy 149.222425 76.571958)
+ (xy 149.222421 76.57196)
+ (xy 149.218473 76.573644)
+ (xy 149.094279 76.647972)
+ (xy 148.969799 76.722471)
+ (xy 148.969795 76.722474)
+ (xy 148.966117 76.724675)
+ (xy 148.962774 76.727353)
+ (xy 148.96277 76.727356)
+ (xy 148.870062 76.80163)
+ (xy 148.736594 76.908558)
+ (xy 148.73365 76.91166)
+ (xy 148.733646 76.911664)
+ (xy 148.543393 77.112149)
+ (xy 148.53415 77.121889)
+ (xy 148.531651 77.125367)
+ (xy 148.53165 77.125368)
+ (xy 148.496566 77.174193)
+ (xy 148.362532 77.360722)
+ (xy 148.224915 77.620635)
+ (xy 148.22344 77.624666)
+ (xy 148.142376 77.846184)
+ (xy 148.123845 77.896821)
+ (xy 148.061193 78.184168)
+ (xy 148.038119 78.47736)
+ (xy 135.357 78.47736)
+ (xy 135.357 76.039242)
+ (xy 143.86049 76.039242)
+ (xy 143.875914 76.140036)
+ (xy 143.892463 76.248179)
+ (xy 143.894429 76.261029)
+ (xy 143.917238 76.327649)
+ (xy 143.965134 76.467541)
+ (xy 143.967106 76.473302)
+ (xy 144.076224 76.669349)
+ (xy 144.079776 76.673689)
+ (xy 144.079779 76.673693)
+ (xy 144.192356 76.811235)
+ (xy 144.218335 76.842975)
+ (xy 144.239176 76.860775)
+ (xy 144.384682 76.985049)
+ (xy 144.384686 76.985052)
+ (xy 144.388947 76.988691)
+ (xy 144.582667 77.101892)
+ (xy 144.587933 77.103819)
+ (xy 144.587937 77.103821)
+ (xy 144.788106 77.177072)
+ (xy 144.788109 77.177073)
+ (xy 144.79337 77.178998)
+ (xy 144.798886 77.179961)
+ (xy 144.798891 77.179962)
+ (xy 144.977145 77.211072)
+ (xy 145.014399 77.217574)
+ (xy 145.020005 77.217545)
+ (xy 145.020009 77.217545)
+ (xy 145.123025 77.217005)
+ (xy 145.238765 77.216399)
+ (xy 145.459377 77.175511)
+ (xy 145.464626 77.173528)
+ (xy 145.464628 77.173527)
+ (xy 145.609511 77.11878)
+ (xy 145.669262 77.096202)
+ (xy 145.861785 76.980979)
+ (xy 145.866005 76.977297)
+ (xy 145.86601 76.977294)
+ (xy 146.026631 76.837175)
+ (xy 146.030861 76.833485)
+ (xy 146.056382 76.80163)
+ (xy 146.167641 76.662757)
+ (xy 146.167644 76.662752)
+ (xy 146.171147 76.65838)
+ (xy 146.278206 76.461201)
+ (xy 146.348657 76.248179)
+ (xy 146.38027 76.026048)
+ (xy 146.382 75.96)
+ (xy 146.380889 75.947545)
+ (xy 146.369555 75.82056)
+ (xy 146.362055 75.736519)
+ (xy 146.318312 75.57662)
+ (xy 146.304332 75.525518)
+ (xy 146.304331 75.525514)
+ (xy 146.30285 75.520102)
+ (xy 146.206256 75.31759)
+ (xy 146.202984 75.313036)
+ (xy 146.078604 75.139941)
+ (xy 146.078599 75.139936)
+ (xy 146.075328 75.135383)
+ (xy 145.914202 74.979242)
+ (xy 145.727973 74.854101)
+ (xy 145.585481 74.791551)
+ (xy 145.527669 74.766173)
+ (xy 145.527667 74.766172)
+ (xy 145.522527 74.763916)
+ (xy 145.362838 74.725578)
+ (xy 145.309814 74.712848)
+ (xy 145.309813 74.712848)
+ (xy 145.304357 74.711538)
+ (xy 145.219591 74.706651)
+ (xy 145.085967 74.698946)
+ (xy 145.085964 74.698946)
+ (xy 145.08036 74.698623)
+ (xy 144.857615 74.725578)
+ (xy 144.643165 74.791551)
+ (xy 144.638185 74.794121)
+ (xy 144.638181 74.794123)
+ (xy 144.485334 74.873014)
+ (xy 144.443787 74.894458)
+ (xy 144.265783 75.031045)
+ (xy 144.26201 75.035191)
+ (xy 144.262005 75.035196)
+ (xy 144.166695 75.139941)
+ (xy 144.114779 75.196996)
+ (xy 144.042049 75.312938)
+ (xy 144.013424 75.358571)
+ (xy 143.99555 75.387064)
+ (xy 143.911863 75.595242)
+ (xy 143.866364 75.814949)
+ (xy 143.86049 76.039242)
+ (xy 135.357 76.039242)
+ (xy 135.357 67.2625)
+ (xy 143.438 67.2625)
+ (xy 143.438 67.7375)
+ (xy 143.449232 67.808416)
+ (xy 143.453929 67.838068)
+ (xy 143.457553 67.860952)
+ (xy 143.514298 67.97232)
+ (xy 143.530595 67.988617)
+ (xy 143.5675 68.077712)
+ (xy 143.567501 68.922287)
+ (xy 143.530596 69.011382)
+ (xy 143.514298 69.02768)
+ (xy 143.457553 69.139048)
+ (xy 143.456002 69.148838)
+ (xy 143.456002 69.148839)
+ (xy 143.454533 69.158114)
+ (xy 143.438 69.2625)
+ (xy 143.438 69.7375)
+ (xy 143.447098 69.794939)
+ (xy 143.45471 69.843)
+ (xy 143.457553 69.860952)
+ (xy 143.514298 69.97232)
+ (xy 143.60268 70.060702)
+ (xy 143.714048 70.117447)
+ (xy 143.723838 70.118998)
+ (xy 143.723839 70.118998)
+ (xy 143.760147 70.124748)
+ (xy 143.8375 70.137)
+ (xy 143.867001 70.137)
+ (xy 143.936053 70.165603)
+ (xy 143.939385 70.160616)
+ (xy 143.950386 70.167968)
+ (xy 143.969474 70.183634)
+ (xy 144.791365 71.005525)
+ (xy 144.807035 71.024618)
+ (xy 144.80749 71.025299)
+ (xy 144.807492 71.025301)
+ (xy 144.814384 71.035616)
+ (xy 144.899546 71.092518)
+ (xy 144.911717 71.094939)
+ (xy 144.974864 71.1075)
+ (xy 144.974865 71.1075)
+ (xy 145 71.1125)
+ (xy 145.01217 71.110079)
+ (xy 145.012172 71.110079)
+ (xy 145.012966 71.109921)
+ (xy 145.037548 71.1075)
+ (xy 145.74115 71.1075)
+ (xy 145.830246 71.144405)
+ (xy 146.148586 71.462746)
+ (xy 146.18549 71.551841)
+ (xy 146.185492 72.160331)
+ (xy 146.18307 72.184915)
+ (xy 146.180491 72.197879)
+ (xy 146.182912 72.210049)
+ (xy 146.182912 72.210051)
+ (xy 146.196007 72.275878)
+ (xy 146.199317 72.292518)
+ (xy 146.200474 72.298333)
+ (xy 146.257376 72.383495)
+ (xy 146.267694 72.390389)
+ (xy 146.267696 72.390391)
+ (xy 146.268366 72.390838)
+ (xy 146.287462 72.406509)
+ (xy 146.748586 72.867634)
+ (xy 146.78549 72.956729)
+ (xy 146.785489 73.887898)
+ (xy 146.785489 74.810331)
+ (xy 146.783068 74.834913)
+ (xy 146.780489 74.847879)
+ (xy 146.78291 74.860049)
+ (xy 146.78291 74.860053)
+ (xy 146.785488 74.873012)
+ (xy 146.785489 74.873014)
+ (xy 146.800471 74.948332)
+ (xy 146.807367 74.958653)
+ (xy 146.850477 75.023175)
+ (xy 146.850479 75.023177)
+ (xy 146.857373 75.033495)
+ (xy 146.868375 75.040847)
+ (xy 146.887463 75.056513)
+ (xy 147.750497 75.919547)
+ (xy 147.766167 75.93864)
+ (xy 147.766622 75.939321)
+ (xy 147.766624 75.939323)
+ (xy 147.773516 75.949638)
+ (xy 147.858678 76.00654)
+ (xy 147.870849 76.008961)
+ (xy 147.933996 76.021522)
+ (xy 147.933997 76.021522)
+ (xy 147.959132 76.026522)
+ (xy 147.971302 76.024101)
+ (xy 147.971304 76.024101)
+ (xy 147.972098 76.023943)
+ (xy 147.99668 76.021522)
+ (xy 154.80332 76.021522)
+ (xy 154.827902 76.023943)
+ (xy 154.828696 76.024101)
+ (xy 154.828698 76.024101)
+ (xy 154.840868 76.026522)
+ (xy 154.853038 76.024101)
+ (xy 154.853833 76.023943)
+ (xy 154.866003 76.021522)
+ (xy 154.866004 76.021522)
+ (xy 154.941322 76.00654)
+ (xy 155.026484 75.949638)
+ (xy 155.033376 75.939323)
+ (xy 155.033378 75.939321)
+ (xy 155.033835 75.938637)
+ (xy 155.049505 75.919544)
+ (xy 155.598778 75.370271)
+ (xy 157.656996 75.370271)
+ (xy 157.663275 75.442049)
+ (xy 157.664549 75.45028)
+ (xy 157.72816 75.73486)
+ (xy 157.730516 75.742864)
+ (xy 157.831205 76.016525)
+ (xy 157.834595 76.024141)
+ (xy 157.970589 76.282076)
+ (xy 157.974967 76.289192)
+ (xy 158.14388 76.526875)
+ (xy 158.149151 76.533338)
+ (xy 158.34802 76.7466)
+ (xy 158.354104 76.752312)
+ (xy 158.579425 76.937393)
+ (xy 158.586206 76.942248)
+ (xy 158.834038 77.09591)
+ (xy 158.841398 77.099823)
+ (xy 159.107364 77.219353)
+ (xy 159.115187 77.222263)
+ (xy 159.394637 77.305571)
+ (xy 159.402758 77.307416)
+ (xy 159.691433 77.353137)
+ (xy 159.698408 77.353845)
+ (xy 159.788477 77.357936)
+ (xy 159.79131 77.358)
+ (xy 160.127885 77.358)
+ (xy 160.143124 77.353525)
+ (xy 160.144329 77.352135)
+ (xy 160.146 77.344452)
+ (xy 160.146 77.339885)
+ (xy 160.653999 77.339885)
+ (xy 160.658474 77.355124)
+ (xy 160.659864 77.356329)
+ (xy 160.667547 77.358)
+ (xy 160.973181 77.358)
+ (xy 160.977373 77.357861)
+ (xy 161.195125 77.343398)
+ (xy 161.203394 77.342295)
+ (xy 161.489231 77.284659)
+ (xy 161.497292 77.282469)
+ (xy 161.772998 77.187536)
+ (xy 161.780685 77.184305)
+ (xy 162.04141 77.053743)
+ (xy 162.048619 77.049513)
+ (xy 162.289783 76.885619)
+ (xy 162.296358 76.880482)
+ (xy 162.513735 76.686125)
+ (xy 162.519575 76.680161)
+ (xy 162.709336 76.458762)
+ (xy 162.714329 76.452088)
+ (xy 162.873144 76.207536)
+ (xy 162.877218 76.200246)
+ (xy 162.953667 76.039242)
+ (xy 163.86049 76.039242)
+ (xy 163.875914 76.140036)
+ (xy 163.892463 76.248179)
+ (xy 163.894429 76.261029)
+ (xy 163.917238 76.327649)
+ (xy 163.965134 76.467541)
+ (xy 163.967106 76.473302)
+ (xy 164.076224 76.669349)
+ (xy 164.079776 76.673689)
+ (xy 164.079779 76.673693)
+ (xy 164.192356 76.811235)
+ (xy 164.218335 76.842975)
+ (xy 164.239176 76.860775)
+ (xy 164.384682 76.985049)
+ (xy 164.384686 76.985052)
+ (xy 164.388947 76.988691)
+ (xy 164.582667 77.101892)
+ (xy 164.587933 77.103819)
+ (xy 164.587937 77.103821)
+ (xy 164.788106 77.177072)
+ (xy 164.788109 77.177073)
+ (xy 164.79337 77.178998)
+ (xy 164.798886 77.179961)
+ (xy 164.798891 77.179962)
+ (xy 164.977145 77.211072)
+ (xy 165.014399 77.217574)
+ (xy 165.020005 77.217545)
+ (xy 165.020009 77.217545)
+ (xy 165.123025 77.217005)
+ (xy 165.238765 77.216399)
+ (xy 165.459377 77.175511)
+ (xy 165.464626 77.173528)
+ (xy 165.464628 77.173527)
+ (xy 165.609511 77.11878)
+ (xy 165.669262 77.096202)
+ (xy 165.861785 76.980979)
+ (xy 165.866005 76.977297)
+ (xy 165.86601 76.977294)
+ (xy 166.026631 76.837175)
+ (xy 166.030861 76.833485)
+ (xy 166.056382 76.80163)
+ (xy 166.167641 76.662757)
+ (xy 166.167644 76.662752)
+ (xy 166.171147 76.65838)
+ (xy 166.278206 76.461201)
+ (xy 166.348657 76.248179)
+ (xy 166.38027 76.026048)
+ (xy 166.382 75.96)
+ (xy 166.380889 75.947545)
+ (xy 166.369555 75.82056)
+ (xy 166.362055 75.736519)
+ (xy 166.318312 75.57662)
+ (xy 166.304332 75.525518)
+ (xy 166.304331 75.525514)
+ (xy 166.30285 75.520102)
+ (xy 166.206256 75.31759)
+ (xy 166.202984 75.313036)
+ (xy 166.078604 75.139941)
+ (xy 166.078599 75.139936)
+ (xy 166.075328 75.135383)
+ (xy 165.914202 74.979242)
+ (xy 165.727973 74.854101)
+ (xy 165.585481 74.791551)
+ (xy 165.527669 74.766173)
+ (xy 165.527667 74.766172)
+ (xy 165.522527 74.763916)
+ (xy 165.362838 74.725578)
+ (xy 165.309814 74.712848)
+ (xy 165.309813 74.712848)
+ (xy 165.304357 74.711538)
+ (xy 165.219591 74.706651)
+ (xy 165.085967 74.698946)
+ (xy 165.085964 74.698946)
+ (xy 165.08036 74.698623)
+ (xy 164.857615 74.725578)
+ (xy 164.643165 74.791551)
+ (xy 164.638185 74.794121)
+ (xy 164.638181 74.794123)
+ (xy 164.485334 74.873014)
+ (xy 164.443787 74.894458)
+ (xy 164.265783 75.031045)
+ (xy 164.26201 75.035191)
+ (xy 164.262005 75.035196)
+ (xy 164.166695 75.139941)
+ (xy 164.114779 75.196996)
+ (xy 164.042049 75.312938)
+ (xy 164.013424 75.358571)
+ (xy 163.99555 75.387064)
+ (xy 163.911863 75.595242)
+ (xy 163.866364 75.814949)
+ (xy 163.86049 76.039242)
+ (xy 162.953667 76.039242)
+ (xy 163.002288 75.936848)
+ (xy 163.005364 75.92908)
+ (xy 163.094501 75.651449)
+ (xy 163.096518 75.64336)
+ (xy 163.145375 75.37183)
+ (xy 163.143943 75.358571)
+ (xy 163.129333 75.354)
+ (xy 160.672115 75.353999)
+ (xy 160.656876 75.358474)
+ (xy 160.655671 75.359864)
+ (xy 160.654 75.367547)
+ (xy 160.653999 77.339885)
+ (xy 160.146 77.339885)
+ (xy 160.146001 75.372115)
+ (xy 160.141526 75.356876)
+ (xy 160.140136 75.355671)
+ (xy 160.132453 75.354)
+ (xy 157.673687 75.353999)
+ (xy 157.659059 75.358294)
+ (xy 157.656996 75.370271)
+ (xy 155.598778 75.370271)
+ (xy 156.140879 74.82817)
+ (xy 157.654625 74.82817)
+ (xy 157.656057 74.841429)
+ (xy 157.670667 74.846)
+ (xy 160.127885 74.846001)
+ (xy 160.143124 74.841526)
+ (xy 160.144329 74.840136)
+ (xy 160.146 74.832453)
+ (xy 160.146 74.827885)
+ (xy 160.653999 74.827885)
+ (xy 160.658474 74.843124)
+ (xy 160.659864 74.844329)
+ (xy 160.667547 74.846)
+ (xy 163.126313 74.846001)
+ (xy 163.140941 74.841706)
+ (xy 163.143004 74.829729)
+ (xy 163.136725 74.757951)
+ (xy 163.135451 74.74972)
+ (xy 163.116552 74.665167)
+ (xy 179.642114 74.665167)
+ (xy 179.644813 74.674191)
+ (xy 179.644813 74.674193)
+ (xy 179.660674 74.727228)
+ (xy 179.681517 74.796923)
+ (xy 179.712388 74.843124)
+ (xy 179.746689 74.894458)
+ (xy 179.757921 74.911268)
+ (xy 179.765222 74.917212)
+ (xy 179.765223 74.917213)
+ (xy 179.796051 74.942311)
+ (xy 179.83625 75.000831)
+ (xy 179.8425 75.040023)
+ (xy 179.842501 75.959492)
+ (xy 179.822499 76.027613)
+ (xy 179.794507 76.058442)
+ (xy 179.762852 76.083397)
+ (xy 179.684662 76.196528)
+ (xy 179.681823 76.205505)
+ (xy 179.681822 76.205507)
+ (xy 179.662585 76.266335)
+ (xy 179.643194 76.327649)
+ (xy 179.642114 76.465167)
+ (xy 179.644813 76.474191)
+ (xy 179.644813 76.474193)
+ (xy 179.657106 76.515297)
+ (xy 179.681517 76.596923)
+ (xy 179.68675 76.604754)
+ (xy 179.749228 76.698258)
+ (xy 179.757921 76.711268)
+ (xy 179.765222 76.717212)
+ (xy 179.765223 76.717213)
+ (xy 179.824469 76.765447)
+ (xy 179.864568 76.798093)
+ (xy 179.992032 76.849721)
+ (xy 180.001417 76.850534)
+ (xy 180.001418 76.850534)
+ (xy 180.119661 76.860775)
+ (xy 180.119664 76.860775)
+ (xy 180.129041 76.861587)
+ (xy 180.138242 76.859606)
+ (xy 180.138244 76.859606)
+ (xy 180.254278 76.834625)
+ (xy 180.254281 76.834624)
+ (xy 180.263482 76.832643)
+ (xy 180.271694 76.828044)
+ (xy 180.271697 76.828043)
+ (xy 180.375254 76.770048)
+ (xy 180.38347 76.765447)
+ (xy 180.478394 76.66594)
+ (xy 180.524512 76.573644)
+ (xy 180.535653 76.551348)
+ (xy 180.535653 76.551347)
+ (xy 180.539864 76.54292)
+ (xy 180.562443 76.407265)
+ (xy 180.5625 76.4)
+ (xy 180.542054 76.264006)
+ (xy 180.482525 76.140036)
+ (xy 180.390974 76.040998)
+ (xy 180.3575 75.95547)
+ (xy 180.3575 75.04313)
+ (xy 180.39233 74.956159)
+ (xy 180.47189 74.872758)
+ (xy 180.478394 74.86594)
+ (xy 180.51739 74.787898)
+ (xy 180.535653 74.751348)
+ (xy 180.535653 74.751347)
+ (xy 180.539864 74.74292)
+ (xy 180.542751 74.725578)
+ (xy 180.56163 74.612149)
+ (xy 180.562443 74.607265)
+ (xy 180.5625 74.6)
+ (xy 180.542054 74.464006)
+ (xy 180.493798 74.363512)
+ (xy 180.4866 74.348522)
+ (xy 180.4866 74.348521)
+ (xy 180.482525 74.340036)
+ (xy 180.400223 74.251003)
+ (xy 180.395572 74.245971)
+ (xy 180.395571 74.24597)
+ (xy 180.389175 74.239051)
+ (xy 180.381031 74.23432)
+ (xy 180.381029 74.234319)
+ (xy 180.278399 74.174706)
+ (xy 180.278395 74.174704)
+ (xy 180.270258 74.169978)
+ (xy 180.225023 74.159493)
+ (xy 180.145465 74.141053)
+ (xy 180.145463 74.141053)
+ (xy 180.136287 74.138926)
+ (xy 180.126891 74.139591)
+ (xy 180.126888 74.139591)
+ (xy 180.008511 74.147972)
+ (xy 180.008509 74.147973)
+ (xy 179.999109 74.148638)
+ (xy 179.87085 74.198258)
+ (xy 179.762852 74.283397)
+ (xy 179.684662 74.396528)
+ (xy 179.681823 74.405505)
+ (xy 179.681822 74.405507)
+ (xy 179.662963 74.46514)
+ (xy 179.643194 74.527649)
+ (xy 179.642114 74.665167)
+ (xy 163.116552 74.665167)
+ (xy 163.07184 74.46514)
+ (xy 163.069484 74.457136)
+ (xy 162.968795 74.183475)
+ (xy 162.965405 74.175859)
+ (xy 162.829411 73.917924)
+ (xy 162.825033 73.910808)
+ (xy 162.65612 73.673125)
+ (xy 162.650849 73.666662)
+ (xy 162.45198 73.4534)
+ (xy 162.445896 73.447688)
+ (xy 162.394987 73.40587)
+ (xy 169.188099 73.40587)
+ (xy 169.188615 73.412014)
+ (xy 169.204092 73.59632)
+ (xy 169.204626 73.602685)
+ (xy 169.211784 73.627649)
+ (xy 169.253805 73.774193)
+ (xy 169.259066 73.792542)
+ (xy 169.261885 73.798027)
+ (xy 169.346529 73.962727)
+ (xy 169.346532 73.962732)
+ (xy 169.349347 73.968209)
+ (xy 169.472028 74.122994)
+ (xy 169.476722 74.126988)
+ (xy 169.476722 74.126989)
+ (xy 169.567317 74.204091)
+ (xy 169.622438 74.251003)
+ (xy 169.627816 74.254009)
+ (xy 169.627818 74.25401)
+ (xy 169.644176 74.263152)
+ (xy 169.794847 74.347359)
+ (xy 169.982688 74.408392)
+ (xy 170.178806 74.431778)
+ (xy 170.184941 74.431306)
+ (xy 170.184943 74.431306)
+ (xy 170.369589 74.417098)
+ (xy 170.369592 74.417097)
+ (xy 170.375732 74.416625)
+ (xy 170.565964 74.363512)
+ (xy 170.742257 74.27446)
+ (xy 170.777392 74.24701)
+ (xy 170.893039 74.156656)
+ (xy 170.897895 74.152862)
+ (xy 170.906296 74.14313)
+ (xy 170.942807 74.100831)
+ (xy 171.026951 74.00335)
+ (xy 171.030861 73.996468)
+ (xy 171.121465 73.836974)
+ (xy 171.121466 73.836973)
+ (xy 171.124508 73.831617)
+ (xy 171.130025 73.815034)
+ (xy 171.184904 73.650059)
+ (xy 171.186851 73.644207)
+ (xy 171.211605 73.448257)
+ (xy 171.211875 73.428946)
+ (xy 171.211951 73.423522)
+ (xy 171.211951 73.423518)
+ (xy 171.212 73.42)
+ (xy 171.192727 73.223435)
+ (xy 171.135641 73.034357)
+ (xy 171.107083 72.980647)
+ (xy 171.04581 72.86541)
+ (xy 171.045808 72.865407)
+ (xy 171.042916 72.859968)
+ (xy 170.918086 72.70691)
+ (xy 170.765903 72.581014)
+ (xy 170.592166 72.487074)
+ (xy 170.403491 72.42867)
+ (xy 170.397366 72.428026)
+ (xy 170.397365 72.428026)
+ (xy 170.213193 72.408669)
+ (xy 170.213192 72.408669)
+ (xy 170.207065 72.408025)
+ (xy 170.086743 72.418975)
+ (xy 170.016511 72.425366)
+ (xy 170.01651 72.425366)
+ (xy 170.01037 72.425925)
+ (xy 169.994853 72.430492)
+ (xy 169.826809 72.47995)
+ (xy 169.826806 72.479951)
+ (xy 169.820898 72.48169)
+ (xy 169.645866 72.573195)
+ (xy 169.641068 72.577053)
+ (xy 169.641066 72.577054)
+ (xy 169.61564 72.597497)
+ (xy 169.491941 72.696954)
+ (xy 169.487982 72.701672)
+ (xy 169.487981 72.701673)
+ (xy 169.370232 72.842)
+ (xy 169.364985 72.848253)
+ (xy 169.362022 72.853642)
+ (xy 169.362019 72.853647)
+ (xy 169.336811 72.899501)
+ (xy 169.269835 73.021331)
+ (xy 169.210115 73.209593)
+ (xy 169.209429 73.21571)
+ (xy 169.209428 73.215714)
+ (xy 169.193253 73.359921)
+ (xy 169.188099 73.40587)
+ (xy 162.394987 73.40587)
+ (xy 162.220575 73.262607)
+ (xy 162.213794 73.257752)
+ (xy 161.965962 73.10409)
+ (xy 161.958602 73.100177)
+ (xy 161.692636 72.980647)
+ (xy 161.684813 72.977737)
+ (xy 161.405363 72.894429)
+ (xy 161.397242 72.892584)
+ (xy 161.108567 72.846863)
+ (xy 161.101592 72.846155)
+ (xy 161.011523 72.842064)
+ (xy 161.00869 72.842)
+ (xy 160.672115 72.842)
+ (xy 160.656876 72.846475)
+ (xy 160.655671 72.847865)
+ (xy 160.654 72.855548)
+ (xy 160.653999 74.827885)
+ (xy 160.146 74.827885)
+ (xy 160.146001 72.860115)
+ (xy 160.141526 72.844876)
+ (xy 160.140136 72.843671)
+ (xy 160.132453 72.842)
+ (xy 159.826819 72.842)
+ (xy 159.822627 72.842139)
+ (xy 159.604875 72.856602)
+ (xy 159.596606 72.857705)
+ (xy 159.310769 72.915341)
+ (xy 159.302708 72.917531)
+ (xy 159.027002 73.012464)
+ (xy 159.019315 73.015695)
+ (xy 158.75859 73.146257)
+ (xy 158.751381 73.150487)
+ (xy 158.510217 73.314381)
+ (xy 158.503642 73.319518)
+ (xy 158.286265 73.513875)
+ (xy 158.280425 73.519839)
+ (xy 158.090664 73.741238)
+ (xy 158.085671 73.747912)
+ (xy 157.926856 73.992464)
+ (xy 157.922782 73.999754)
+ (xy 157.797712 74.263152)
+ (xy 157.794636 74.27092)
+ (xy 157.705499 74.548551)
+ (xy 157.703482 74.55664)
+ (xy 157.654625 74.82817)
+ (xy 156.140879 74.82817)
+ (xy 159.866928 71.102121)
+ (xy 165.841834 71.102121)
+ (xy 165.842683 71.107391)
+ (xy 165.842683 71.107393)
+ (xy 165.8747 71.306171)
+ (xy 165.878457 71.329498)
+ (xy 165.880182 71.33455)
+ (xy 165.880182 71.334551)
+ (xy 165.890635 71.365167)
+ (xy 165.952868 71.547453)
+ (xy 166.062937 71.749755)
+ (xy 166.066235 71.753939)
+ (xy 166.066238 71.753943)
+ (xy 166.202216 71.92643)
+ (xy 166.20222 71.926434)
+ (xy 166.205519 71.930619)
+ (xy 166.209479 71.934191)
+ (xy 166.20948 71.934192)
+ (xy 166.24196 71.963488)
+ (xy 166.376536 72.084874)
+ (xy 166.489719 72.156564)
+ (xy 166.53448 72.184915)
+ (xy 166.571098 72.208109)
+ (xy 166.576025 72.210165)
+ (xy 166.576027 72.210166)
+ (xy 166.772372 72.292097)
+ (xy 166.783643 72.2968)
+ (xy 166.788847 72.297997)
+ (xy 166.788848 72.297997)
+ (xy 167.00289 72.347216)
+ (xy 167.002895 72.347217)
+ (xy 167.008093 72.348412)
+ (xy 167.013421 72.348715)
+ (xy 167.013424 72.348715)
+ (xy 167.168134 72.3575)
+ (xy 167.238029 72.361469)
+ (xy 167.243336 72.360869)
+ (xy 167.243338 72.360869)
+ (xy 167.364103 72.347216)
+ (xy 167.466879 72.335597)
+ (xy 167.471994 72.334116)
+ (xy 167.471998 72.334115)
+ (xy 167.573389 72.304754)
+ (xy 167.688097 72.271537)
+ (xy 167.895359 72.171119)
+ (xy 167.968295 72.118998)
+ (xy 168.078393 72.040321)
+ (xy 168.078395 72.040319)
+ (xy 168.082739 72.037215)
+ (xy 168.222204 71.896528)
+ (xy 168.241126 71.87744)
+ (xy 168.241127 71.877439)
+ (xy 168.244879 71.873654)
+ (xy 168.269509 71.838544)
+ (xy 168.374078 71.689481)
+ (xy 168.374079 71.689479)
+ (xy 168.377142 71.685113)
+ (xy 168.475748 71.476982)
+ (xy 168.495204 71.407532)
+ (xy 168.536437 71.260348)
+ (xy 168.536438 71.260342)
+ (xy 168.537875 71.255213)
+ (xy 168.546538 71.172091)
+ (xy 168.561442 71.029097)
+ (xy 168.561443 71.029087)
+ (xy 168.561749 71.026147)
+ (xy 168.562 71)
+ (xy 168.559854 70.974701)
+ (xy 168.553367 70.898258)
+ (xy 168.542528 70.770517)
+ (xy 168.524716 70.701888)
+ (xy 168.486009 70.55276)
+ (xy 168.484669 70.547597)
+ (xy 168.390078 70.337611)
+ (xy 168.261458 70.146566)
+ (xy 168.25758 70.1425)
+ (xy 168.106175 69.983786)
+ (xy 168.102489 69.979922)
+ (xy 168.095694 69.974866)
+ (xy 167.949052 69.865762)
+ (xy 167.917714 69.842446)
+ (xy 167.712417 69.738068)
+ (xy 167.671295 69.725299)
+ (xy 167.497568 69.671355)
+ (xy 167.49247 69.669772)
+ (xy 167.487181 69.669071)
+ (xy 167.269444 69.640212)
+ (xy 167.269439 69.640212)
+ (xy 167.264159 69.639512)
+ (xy 167.25883 69.639712)
+ (xy 167.258828 69.639712)
+ (xy 167.149087 69.643832)
+ (xy 167.034014 69.648152)
+ (xy 166.808615 69.695446)
+ (xy 166.803659 69.697403)
+ (xy 166.803653 69.697405)
+ (xy 166.678267 69.746923)
+ (xy 166.594407 69.780041)
+ (xy 166.495548 69.84003)
+ (xy 166.435593 69.876412)
+ (xy 166.397515 69.899518)
+ (xy 166.393485 69.903015)
+ (xy 166.247656 70.029559)
+ (xy 166.223568 70.050461)
+ (xy 166.215171 70.060702)
+ (xy 166.080924 70.224427)
+ (xy 166.08092 70.224433)
+ (xy 166.07754 70.228555)
+ (xy 166.074901 70.233191)
+ (xy 166.074899 70.233194)
+ (xy 165.980763 70.398568)
+ (xy 165.963607 70.428706)
+ (xy 165.885026 70.645193)
+ (xy 165.884077 70.650442)
+ (xy 165.884076 70.650445)
+ (xy 165.849994 70.838926)
+ (xy 165.844045 70.871824)
+ (xy 165.843293 70.950144)
+ (xy 165.841888 71.096528)
+ (xy 165.841834 71.102121)
+ (xy 159.866928 71.102121)
+ (xy 161.624645 69.344405)
+ (xy 161.71374 69.3075)
+ (xy 171.39115 69.3075)
+ (xy 171.480246 69.344405)
+ (xy 171.656038 69.520198)
+ (xy 171.692938 69.610282)
+ (xy 171.692114 69.715167)
+ (xy 171.694813 69.724191)
+ (xy 171.694813 69.724193)
+ (xy 171.720451 69.809921)
+ (xy 171.731517 69.846923)
+ (xy 171.754192 69.880858)
+ (xy 171.799805 69.949121)
+ (xy 171.807921 69.961268)
+ (xy 171.815222 69.967212)
+ (xy 171.815223 69.967213)
+ (xy 171.88637 70.025136)
+ (xy 171.914568 70.048093)
+ (xy 171.923299 70.051629)
+ (xy 171.9233 70.05163)
+ (xy 171.963921 70.068083)
+ (xy 172.042032 70.099721)
+ (xy 172.051417 70.100534)
+ (xy 172.051418 70.100534)
+ (xy 172.169661 70.110775)
+ (xy 172.169664 70.110775)
+ (xy 172.179041 70.111587)
+ (xy 172.188242 70.109606)
+ (xy 172.188244 70.109606)
+ (xy 172.304278 70.084625)
+ (xy 172.304281 70.084624)
+ (xy 172.313482 70.082643)
+ (xy 172.321694 70.078044)
+ (xy 172.321697 70.078043)
+ (xy 172.425254 70.020048)
+ (xy 172.43347 70.015447)
+ (xy 172.528394 69.91594)
+ (xy 172.563527 69.845629)
+ (xy 172.585653 69.801348)
+ (xy 172.585653 69.801347)
+ (xy 172.589864 69.79292)
+ (xy 172.612443 69.657265)
+ (xy 172.6125 69.65)
+ (xy 172.596466 69.54335)
+ (xy 172.593454 69.523316)
+ (xy 172.593453 69.523314)
+ (xy 172.592054 69.514006)
+ (xy 172.532525 69.390036)
+ (xy 172.439175 69.289051)
+ (xy 172.431031 69.284321)
+ (xy 172.427925 69.281832)
+ (xy 172.387268 69.223629)
+ (xy 172.384533 69.152685)
+ (xy 172.420587 69.091525)
+ (xy 172.506707 69.057499)
+ (xy 173.544173 69.057499)
+ (xy 173.612294 69.077501)
+ (xy 173.658787 69.131157)
+ (xy 173.668891 69.201431)
+ (xy 173.647825 69.255138)
+ (xy 173.590018 69.338777)
+ (xy 173.590015 69.338782)
+ (xy 173.584662 69.346528)
+ (xy 173.581823 69.355505)
+ (xy 173.581822 69.355507)
+ (xy 173.55951 69.426058)
+ (xy 173.543194 69.477649)
+ (xy 173.542114 69.615167)
+ (xy 173.544813 69.624191)
+ (xy 173.544813 69.624193)
+ (xy 173.552306 69.649247)
+ (xy 173.581517 69.746923)
+ (xy 173.621267 69.806411)
+ (xy 173.642501 69.876412)
+ (xy 173.6425 70.600174)
+ (xy 173.6425 71.320522)
+ (xy 173.640262 71.341553)
+ (xy 173.640094 71.345109)
+ (xy 173.637903 71.355287)
+ (xy 173.639127 71.365626)
+ (xy 173.641627 71.38675)
+ (xy 173.641938 71.392033)
+ (xy 173.642072 71.392022)
+ (xy 173.6425 71.3972)
+ (xy 173.6425 71.402401)
+ (xy 173.643354 71.407532)
+ (xy 173.645401 71.41983)
+ (xy 173.646238 71.425707)
+ (xy 173.651894 71.473495)
+ (xy 173.655627 71.48127)
+ (xy 173.657044 71.489781)
+ (xy 173.679911 71.532161)
+ (xy 173.682592 71.537424)
+ (xy 173.699436 71.5725)
+ (xy 173.703422 71.580801)
+ (xy 173.706389 71.584332)
+ (xy 173.708326 71.586269)
+ (xy 173.710154 71.588262)
+ (xy 173.710037 71.588369)
+ (xy 173.710559 71.588961)
+ (xy 173.713569 71.59454)
+ (xy 173.721215 71.601608)
+ (xy 173.751811 71.629891)
+ (xy 173.755377 71.63332)
+ (xy 175.595193 73.473137)
+ (xy 175.608474 73.489581)
+ (xy 175.610872 73.492217)
+ (xy 175.616523 73.500968)
+ (xy 175.624701 73.507415)
+ (xy 175.624702 73.507416)
+ (xy 175.641407 73.520585)
+ (xy 175.645365 73.524103)
+ (xy 175.645452 73.524)
+ (xy 175.649411 73.527355)
+ (xy 175.653089 73.531033)
+ (xy 175.657313 73.534052)
+ (xy 175.657322 73.534059)
+ (xy 175.667474 73.541313)
+ (xy 175.672225 73.54488)
+ (xy 175.710003 73.574662)
+ (xy 175.718143 73.57752)
+ (xy 175.72516 73.582535)
+ (xy 175.735141 73.58552)
+ (xy 175.771253 73.59632)
+ (xy 175.776901 73.598155)
+ (xy 175.813795 73.611111)
+ (xy 175.822315 73.614103)
+ (xy 175.82691 73.614501)
+ (xy 175.829624 73.614501)
+ (xy 175.832351 73.614619)
+ (xy 175.832344 73.614776)
+ (xy 175.833131 73.614826)
+ (xy 175.839205 73.616642)
+ (xy 175.891232 73.614598)
+ (xy 175.896178 73.614501)
+ (xy 176.463522 73.614501)
+ (xy 176.484545 73.616739)
+ (xy 176.488109 73.616907)
+ (xy 176.498286 73.619098)
+ (xy 176.529749 73.615374)
+ (xy 176.535032 73.615063)
+ (xy 176.535021 73.614929)
+ (xy 176.540199 73.614501)
+ (xy 176.545401 73.614501)
+ (xy 176.562848 73.611597)
+ (xy 176.568692 73.610765)
+ (xy 176.586908 73.608609)
+ (xy 176.606155 73.606331)
+ (xy 176.606156 73.606331)
+ (xy 176.616494 73.605107)
+ (xy 176.624268 73.601374)
+ (xy 176.632781 73.599957)
+ (xy 176.665071 73.582535)
+ (xy 176.675151 73.577096)
+ (xy 176.68044 73.574401)
+ (xy 176.693324 73.568214)
+ (xy 176.7238 73.553579)
+ (xy 176.727331 73.550612)
+ (xy 176.729268 73.548675)
+ (xy 176.731261 73.546847)
+ (xy 176.731369 73.546964)
+ (xy 176.73196 73.546443)
+ (xy 176.73754 73.543432)
+ (xy 176.749002 73.531033)
+ (xy 176.772896 73.505184)
+ (xy 176.776326 73.501617)
+ (xy 176.948032 73.329912)
+ (xy 177.037127 73.293008)
+ (xy 183.585074 73.293008)
+ (xy 183.653195 73.31301)
+ (xy 183.699688 73.366666)
+ (xy 183.709792 73.43694)
+ (xy 183.692999 73.479751)
+ (xy 183.694161 73.48032)
+ (xy 183.690015 73.488783)
+ (xy 183.684662 73.496528)
+ (xy 183.681823 73.505505)
+ (xy 183.681822 73.505507)
+ (xy 183.659899 73.574827)
+ (xy 183.643194 73.627649)
+ (xy 183.642114 73.765167)
+ (xy 183.644813 73.774191)
+ (xy 183.644813 73.774193)
+ (xy 183.665367 73.84292)
+ (xy 183.681517 73.896923)
+ (xy 183.701945 73.927495)
+ (xy 183.748032 73.996468)
+ (xy 183.757921 74.011268)
+ (xy 183.765222 74.017212)
+ (xy 183.765223 74.017213)
+ (xy 183.796051 74.042311)
+ (xy 183.83625 74.100831)
+ (xy 183.8425 74.140023)
+ (xy 183.842501 75.641148)
+ (xy 183.805597 75.730243)
+ (xy 182.932263 76.603578)
+ (xy 182.86995 76.637603)
+ (xy 182.839502 76.639671)
+ (xy 182.836287 76.638926)
+ (xy 182.826892 76.639591)
+ (xy 182.826891 76.639591)
+ (xy 182.708511 76.647972)
+ (xy 182.708509 76.647973)
+ (xy 182.699109 76.648638)
+ (xy 182.629916 76.675407)
+ (xy 182.559168 76.68133)
+ (xy 182.496447 76.648064)
+ (xy 182.461669 76.586169)
+ (xy 182.465874 76.515297)
+ (xy 182.495357 76.468803)
+ (xy 182.955531 76.008629)
+ (xy 182.974618 75.992965)
+ (xy 182.975295 75.992512)
+ (xy 182.985616 75.985616)
+ (xy 182.99251 75.975298)
+ (xy 182.992512 75.975296)
+ (xy 183.018707 75.93609)
+ (xy 183.01871 75.936088)
+ (xy 183.018709 75.936087)
+ (xy 183.035624 75.910772)
+ (xy 183.042518 75.900455)
+ (xy 183.0625 75.8)
+ (xy 183.059921 75.787035)
+ (xy 183.0575 75.762453)
+ (xy 183.0575 75.04313)
+ (xy 183.09233 74.956159)
+ (xy 183.17189 74.872758)
+ (xy 183.178394 74.86594)
+ (xy 183.21739 74.787898)
+ (xy 183.235653 74.751348)
+ (xy 183.235653 74.751347)
+ (xy 183.239864 74.74292)
+ (xy 183.242751 74.725578)
+ (xy 183.26163 74.612149)
+ (xy 183.262443 74.607265)
+ (xy 183.2625 74.6)
+ (xy 183.242054 74.464006)
+ (xy 183.193798 74.363512)
+ (xy 183.1866 74.348522)
+ (xy 183.1866 74.348521)
+ (xy 183.182525 74.340036)
+ (xy 183.100223 74.251003)
+ (xy 183.095572 74.245971)
+ (xy 183.095571 74.24597)
+ (xy 183.089175 74.239051)
+ (xy 183.081031 74.23432)
+ (xy 183.081029 74.234319)
+ (xy 182.978399 74.174706)
+ (xy 182.978395 74.174704)
+ (xy 182.970258 74.169978)
+ (xy 182.925023 74.159493)
+ (xy 182.845465 74.141053)
+ (xy 182.845463 74.141053)
+ (xy 182.836287 74.138926)
+ (xy 182.826891 74.139591)
+ (xy 182.826888 74.139591)
+ (xy 182.708511 74.147972)
+ (xy 182.708509 74.147973)
+ (xy 182.699109 74.148638)
+ (xy 182.57085 74.198258)
+ (xy 182.462852 74.283397)
+ (xy 182.384662 74.396528)
+ (xy 182.381823 74.405505)
+ (xy 182.381822 74.405507)
+ (xy 182.362963 74.46514)
+ (xy 182.343194 74.527649)
+ (xy 182.342114 74.665167)
+ (xy 182.344813 74.674191)
+ (xy 182.344813 74.674193)
+ (xy 182.360674 74.727228)
+ (xy 182.381517 74.796923)
+ (xy 182.412388 74.843124)
+ (xy 182.446689 74.894458)
+ (xy 182.457921 74.911268)
+ (xy 182.465222 74.917212)
+ (xy 182.465223 74.917213)
+ (xy 182.496051 74.942311)
+ (xy 182.53625 75.000831)
+ (xy 182.5425 75.040023)
+ (xy 182.542501 75.641149)
+ (xy 182.505596 75.730244)
+ (xy 181.130246 77.105595)
+ (xy 181.041151 77.1425)
+ (xy 179.039916 77.1425)
+ (xy 178.947392 77.102029)
+ (xy 178.895572 77.045971)
+ (xy 178.895571 77.04597)
+ (xy 178.889175 77.039051)
+ (xy 178.881031 77.03432)
+ (xy 178.881029 77.034319)
+ (xy 178.778399 76.974706)
+ (xy 178.778395 76.974704)
+ (xy 178.770258 76.969978)
+ (xy 178.678189 76.948638)
+ (xy 178.645465 76.941053)
+ (xy 178.645463 76.941053)
+ (xy 178.636287 76.938926)
+ (xy 178.626891 76.939591)
+ (xy 178.626888 76.939591)
+ (xy 178.508511 76.947972)
+ (xy 178.508509 76.947973)
+ (xy 178.499109 76.948638)
+ (xy 178.37085 76.998258)
+ (xy 178.262852 77.083397)
+ (xy 178.184662 77.196528)
+ (xy 178.181823 77.205505)
+ (xy 178.181822 77.205507)
+ (xy 178.15679 77.284659)
+ (xy 178.143194 77.327649)
+ (xy 178.142114 77.465167)
+ (xy 178.144813 77.474191)
+ (xy 178.144813 77.474193)
+ (xy 178.160918 77.528043)
+ (xy 178.181517 77.596923)
+ (xy 178.21954 77.653828)
+ (xy 178.248073 77.696529)
+ (xy 178.257921 77.711268)
+ (xy 178.364568 77.798093)
+ (xy 178.492032 77.849721)
+ (xy 178.501417 77.850534)
+ (xy 178.501418 77.850534)
+ (xy 178.619661 77.860775)
+ (xy 178.619664 77.860775)
+ (xy 178.629041 77.861587)
+ (xy 178.638242 77.859606)
+ (xy 178.638244 77.859606)
+ (xy 178.754278 77.834625)
+ (xy 178.754281 77.834624)
+ (xy 178.763482 77.832643)
+ (xy 178.771694 77.828044)
+ (xy 178.771697 77.828043)
+ (xy 178.875254 77.770048)
+ (xy 178.88347 77.765447)
+ (xy 178.915447 77.731927)
+ (xy 178.949214 77.696529)
+ (xy 179.040384 77.6575)
+ (xy 181.162452 77.6575)
+ (xy 181.187034 77.659921)
+ (xy 181.187828 77.660079)
+ (xy 181.18783 77.660079)
+ (xy 181.2 77.6625)
+ (xy 181.21217 77.660079)
+ (xy 181.212965 77.659921)
+ (xy 181.225135 77.6575)
+ (xy 181.225136 77.6575)
+ (xy 181.300454 77.642518)
+ (xy 181.385616 77.585616)
+ (xy 181.392508 77.575301)
+ (xy 181.39251 77.575299)
+ (xy 181.392967 77.574615)
+ (xy 181.408637 77.555522)
+ (xy 182.16651 76.797649)
+ (xy 182.228822 76.763623)
+ (xy 182.299637 76.768688)
+ (xy 182.356473 76.811235)
+ (xy 182.381284 76.877755)
+ (xy 182.37574 76.924737)
+ (xy 182.346035 77.018663)
+ (xy 182.346034 77.018669)
+ (xy 182.343194 77.027649)
+ (xy 182.342114 77.165167)
+ (xy 182.344813 77.174191)
+ (xy 182.344813 77.174193)
+ (xy 182.357778 77.217545)
+ (xy 182.381517 77.296923)
+ (xy 182.402048 77.327649)
+ (xy 182.426689 77.364526)
+ (xy 182.457921 77.411268)
+ (xy 182.564568 77.498093)
+ (xy 182.692032 77.549721)
+ (xy 182.701417 77.550534)
+ (xy 182.701418 77.550534)
+ (xy 182.819661 77.560775)
+ (xy 182.819664 77.560775)
+ (xy 182.829041 77.561587)
+ (xy 182.838242 77.559606)
+ (xy 182.838244 77.559606)
+ (xy 182.954278 77.534625)
+ (xy 182.954281 77.534624)
+ (xy 182.963482 77.532643)
+ (xy 182.971694 77.528044)
+ (xy 182.971697 77.528043)
+ (xy 183.075254 77.470048)
+ (xy 183.08347 77.465447)
+ (xy 183.178394 77.36594)
+ (xy 183.239864 77.24292)
+ (xy 183.262443 77.107265)
+ (xy 183.2625 77.1)
+ (xy 183.2591 77.077386)
+ (xy 183.26875 77.007049)
+ (xy 183.294602 76.969557)
+ (xy 184.255531 76.008629)
+ (xy 184.274618 75.992965)
+ (xy 184.275295 75.992512)
+ (xy 184.285616 75.985616)
+ (xy 184.29251 75.975298)
+ (xy 184.292512 75.975296)
+ (xy 184.318707 75.93609)
+ (xy 184.31871 75.936088)
+ (xy 184.318709 75.936087)
+ (xy 184.335624 75.910772)
+ (xy 184.342518 75.900455)
+ (xy 184.3625 75.8)
+ (xy 184.359921 75.787035)
+ (xy 184.3575 75.762453)
+ (xy 184.3575 74.14313)
+ (xy 184.39233 74.056159)
+ (xy 184.394953 74.05341)
+ (xy 184.457601 73.987737)
+ (xy 184.519094 73.952253)
+ (xy 184.59001 73.955648)
+ (xy 184.644749 73.997922)
+ (xy 184.646347 73.996468)
+ (xy 184.652688 74.003437)
+ (xy 184.657921 74.011268)
+ (xy 184.696053 74.042312)
+ (xy 184.736251 74.100831)
+ (xy 184.742501 74.140023)
+ (xy 184.7425 81.54115)
+ (xy 184.705595 81.630245)
+ (xy 184.332262 82.003578)
+ (xy 184.26995 82.037604)
+ (xy 184.239503 82.039671)
+ (xy 184.236287 82.038926)
+ (xy 184.226892 82.039591)
+ (xy 184.226891 82.039591)
+ (xy 184.108511 82.047972)
+ (xy 184.108509 82.047973)
+ (xy 184.099109 82.048638)
+ (xy 183.97085 82.098258)
+ (xy 183.862852 82.183397)
+ (xy 183.784662 82.296528)
+ (xy 183.781823 82.305505)
+ (xy 183.781822 82.305507)
+ (xy 183.753587 82.394788)
+ (xy 183.743194 82.427649)
+ (xy 183.742114 82.565167)
+ (xy 183.744813 82.574191)
+ (xy 183.744813 82.574193)
+ (xy 183.760789 82.627614)
+ (xy 183.781517 82.696923)
+ (xy 183.802048 82.727649)
+ (xy 183.850392 82.8)
+ (xy 183.857921 82.811268)
+ (xy 183.865222 82.817212)
+ (xy 183.865223 82.817213)
+ (xy 183.872702 82.823302)
+ (xy 183.964568 82.898093)
+ (xy 183.973299 82.901629)
+ (xy 183.9733 82.90163)
+ (xy 184.0283 82.923907)
+ (xy 184.092032 82.949721)
+ (xy 184.101417 82.950534)
+ (xy 184.101418 82.950534)
+ (xy 184.219661 82.960775)
+ (xy 184.219664 82.960775)
+ (xy 184.229041 82.961587)
+ (xy 184.238242 82.959606)
+ (xy 184.238244 82.959606)
+ (xy 184.354278 82.934625)
+ (xy 184.354281 82.934624)
+ (xy 184.363482 82.932643)
+ (xy 184.371694 82.928044)
+ (xy 184.371697 82.928043)
+ (xy 184.475254 82.870048)
+ (xy 184.48347 82.865447)
+ (xy 184.578394 82.76594)
+ (xy 184.639864 82.64292)
+ (xy 184.662443 82.507265)
+ (xy 184.6625 82.5)
+ (xy 184.660004 82.483397)
+ (xy 184.6591 82.477381)
+ (xy 184.668753 82.407044)
+ (xy 184.694605 82.369554)
+ (xy 185.155531 81.908629)
+ (xy 185.174626 81.892959)
+ (xy 185.175296 81.892512)
+ (xy 185.175298 81.89251)
+ (xy 185.185616 81.885616)
+ (xy 185.242518 81.800454)
+ (xy 185.2575 81.725136)
+ (xy 185.2575 81.725135)
+ (xy 185.2625 81.7)
+ (xy 185.259921 81.687034)
+ (xy 185.2575 81.662452)
+ (xy 185.2575 74.14313)
+ (xy 185.29233 74.056159)
+ (xy 185.294953 74.05341)
+ (xy 185.378394 73.96594)
+ (xy 185.439864 73.84292)
+ (xy 185.444506 73.815034)
+ (xy 185.454797 73.7532)
+ (xy 185.462443 73.707265)
+ (xy 185.4625 73.7)
+ (xy 185.458809 73.675447)
+ (xy 186.252394 73.675447)
+ (xy 186.255093 73.684471)
+ (xy 186.255093 73.684473)
+ (xy 186.276972 73.75763)
+ (xy 186.291797 73.807203)
+ (xy 186.368201 73.921548)
+ (xy 186.406333 73.952592)
+ (xy 186.446531 74.011111)
+ (xy 186.452781 74.050303)
+ (xy 186.45278 76.792145)
+ (xy 186.45278 79.522732)
+ (xy 186.450359 79.547314)
+ (xy 186.44778 79.56028)
+ (xy 186.450201 79.57245)
+ (xy 186.450201 79.572454)
+ (xy 186.452779 79.585413)
+ (xy 186.45278 79.585415)
+ (xy 186.467762 79.660733)
+ (xy 186.474658 79.671054)
+ (xy 186.517768 79.735576)
+ (xy 186.51777 79.735578)
+ (xy 186.524664 79.745896)
+ (xy 186.534985 79.752792)
+ (xy 186.534986 79.752793)
+ (xy 186.535666 79.753248)
+ (xy 186.554754 79.768915)
+ (xy 187.456037 80.670198)
+ (xy 187.492938 80.760282)
+ (xy 187.492114 80.865167)
+ (xy 187.494813 80.874191)
+ (xy 187.494813 80.874193)
+ (xy 187.512587 80.933626)
+ (xy 187.531517 80.996923)
+ (xy 187.53675 81.004754)
+ (xy 187.602009 81.10242)
+ (xy 187.607921 81.111268)
+ (xy 187.615222 81.117212)
+ (xy 187.615223 81.117213)
+ (xy 187.648277 81.144123)
+ (xy 187.714568 81.198093)
+ (xy 187.723299 81.201629)
+ (xy 187.7233 81.20163)
+ (xy 187.7783 81.223907)
+ (xy 187.842032 81.249721)
+ (xy 187.851417 81.250534)
+ (xy 187.851418 81.250534)
+ (xy 187.969661 81.260775)
+ (xy 187.969664 81.260775)
+ (xy 187.979041 81.261587)
+ (xy 187.988242 81.259606)
+ (xy 187.988244 81.259606)
+ (xy 188.104278 81.234625)
+ (xy 188.104281 81.234624)
+ (xy 188.113482 81.232643)
+ (xy 188.121694 81.228044)
+ (xy 188.121697 81.228043)
+ (xy 188.225254 81.170048)
+ (xy 188.23347 81.165447)
+ (xy 188.277546 81.119242)
+ (xy 194.02049 81.119242)
+ (xy 194.03313 81.201845)
+ (xy 194.052463 81.328179)
+ (xy 194.054429 81.341029)
+ (xy 194.069551 81.385196)
+ (xy 194.102515 81.481476)
+ (xy 194.127106 81.553302)
+ (xy 194.236224 81.749349)
+ (xy 194.239776 81.753689)
+ (xy 194.239779 81.753693)
+ (xy 194.268091 81.788283)
+ (xy 194.378335 81.922975)
+ (xy 194.382603 81.92662)
+ (xy 194.544682 82.065049)
+ (xy 194.544686 82.065052)
+ (xy 194.548947 82.068691)
+ (xy 194.742667 82.181892)
+ (xy 194.747933 82.183819)
+ (xy 194.747937 82.183821)
+ (xy 194.948106 82.257072)
+ (xy 194.948109 82.257073)
+ (xy 194.95337 82.258998)
+ (xy 194.958886 82.259961)
+ (xy 194.958891 82.259962)
+ (xy 195.124023 82.288782)
+ (xy 195.174399 82.297574)
+ (xy 195.180005 82.297545)
+ (xy 195.180009 82.297545)
+ (xy 195.283025 82.297005)
+ (xy 195.398765 82.296399)
+ (xy 195.619377 82.255511)
+ (xy 195.624626 82.253528)
+ (xy 195.624628 82.253527)
+ (xy 195.789719 82.191144)
+ (xy 195.829262 82.176202)
+ (xy 196.021785 82.060979)
+ (xy 196.026005 82.057297)
+ (xy 196.02601 82.057294)
+ (xy 196.186631 81.917175)
+ (xy 196.190861 81.913485)
+ (xy 196.221278 81.875518)
+ (xy 196.327641 81.742757)
+ (xy 196.327644 81.742752)
+ (xy 196.331147 81.73838)
+ (xy 196.438206 81.541201)
+ (xy 196.508657 81.328179)
+ (xy 196.510822 81.312971)
+ (xy 196.528017 81.192145)
+ (xy 196.538392 81.119242)
+ (xy 214.02049 81.119242)
+ (xy 214.03313 81.201845)
+ (xy 214.052463 81.328179)
+ (xy 214.054429 81.341029)
+ (xy 214.069551 81.385196)
+ (xy 214.102515 81.481476)
+ (xy 214.127106 81.553302)
+ (xy 214.236224 81.749349)
+ (xy 214.239776 81.753689)
+ (xy 214.239779 81.753693)
+ (xy 214.268091 81.788283)
+ (xy 214.378335 81.922975)
+ (xy 214.382603 81.92662)
+ (xy 214.544682 82.065049)
+ (xy 214.544686 82.065052)
+ (xy 214.548947 82.068691)
+ (xy 214.742667 82.181892)
+ (xy 214.747933 82.183819)
+ (xy 214.747937 82.183821)
+ (xy 214.948106 82.257072)
+ (xy 214.948109 82.257073)
+ (xy 214.95337 82.258998)
+ (xy 214.958886 82.259961)
+ (xy 214.958891 82.259962)
+ (xy 215.124023 82.288782)
+ (xy 215.174399 82.297574)
+ (xy 215.180005 82.297545)
+ (xy 215.180009 82.297545)
+ (xy 215.283025 82.297005)
+ (xy 215.398765 82.296399)
+ (xy 215.619377 82.255511)
+ (xy 215.624626 82.253528)
+ (xy 215.624628 82.253527)
+ (xy 215.789719 82.191144)
+ (xy 215.829262 82.176202)
+ (xy 216.021785 82.060979)
+ (xy 216.026005 82.057297)
+ (xy 216.02601 82.057294)
+ (xy 216.186631 81.917175)
+ (xy 216.190861 81.913485)
+ (xy 216.221278 81.875518)
+ (xy 216.327641 81.742757)
+ (xy 216.327644 81.742752)
+ (xy 216.331147 81.73838)
+ (xy 216.438206 81.541201)
+ (xy 216.508657 81.328179)
+ (xy 216.510822 81.312971)
+ (xy 216.528017 81.192145)
+ (xy 216.54027 81.106048)
+ (xy 216.541541 81.057512)
+ (xy 216.541905 81.043631)
+ (xy 216.541905 81.043629)
+ (xy 216.542 81.04)
+ (xy 216.522055 80.816519)
+ (xy 216.480332 80.664006)
+ (xy 216.464332 80.605518)
+ (xy 216.464331 80.605514)
+ (xy 216.46285 80.600102)
+ (xy 216.366256 80.39759)
+ (xy 216.362984 80.393036)
+ (xy 216.238604 80.219941)
+ (xy 216.238599 80.219936)
+ (xy 216.235328 80.215383)
+ (xy 216.074202 80.059242)
+ (xy 215.887973 79.934101)
+ (xy 215.745481 79.871551)
+ (xy 215.687669 79.846173)
+ (xy 215.687667 79.846172)
+ (xy 215.682527 79.843916)
+ (xy 215.522838 79.805578)
+ (xy 215.469814 79.792848)
+ (xy 215.469813 79.792848)
+ (xy 215.464357 79.791538)
+ (xy 215.379591 79.786651)
+ (xy 215.245967 79.778946)
+ (xy 215.245964 79.778946)
+ (xy 215.24036 79.778623)
+ (xy 215.017615 79.805578)
+ (xy 214.803165 79.871551)
+ (xy 214.798185 79.874121)
+ (xy 214.798181 79.874123)
+ (xy 214.621245 79.965447)
+ (xy 214.603787 79.974458)
+ (xy 214.425783 80.111045)
+ (xy 214.42201 80.115191)
+ (xy 214.422005 80.115196)
+ (xy 214.326695 80.219941)
+ (xy 214.274779 80.276996)
+ (xy 214.206642 80.385616)
+ (xy 214.168782 80.445971)
+ (xy 214.15555 80.467064)
+ (xy 214.071863 80.675242)
+ (xy 214.026364 80.894949)
+ (xy 214.02049 81.119242)
+ (xy 196.538392 81.119242)
+ (xy 196.54027 81.106048)
+ (xy 196.541541 81.057512)
+ (xy 196.541905 81.043631)
+ (xy 196.541905 81.043629)
+ (xy 196.542 81.04)
+ (xy 196.522055 80.816519)
+ (xy 196.480332 80.664006)
+ (xy 196.464332 80.605518)
+ (xy 196.464331 80.605514)
+ (xy 196.46285 80.600102)
+ (xy 196.366256 80.39759)
+ (xy 196.362984 80.393036)
+ (xy 196.238604 80.219941)
+ (xy 196.238599 80.219936)
+ (xy 196.235328 80.215383)
+ (xy 196.074202 80.059242)
+ (xy 195.887973 79.934101)
+ (xy 195.745481 79.871551)
+ (xy 195.687669 79.846173)
+ (xy 195.687667 79.846172)
+ (xy 195.682527 79.843916)
+ (xy 195.522838 79.805578)
+ (xy 195.469814 79.792848)
+ (xy 195.469813 79.792848)
+ (xy 195.464357 79.791538)
+ (xy 195.379591 79.786651)
+ (xy 195.245967 79.778946)
+ (xy 195.245964 79.778946)
+ (xy 195.24036 79.778623)
+ (xy 195.017615 79.805578)
+ (xy 194.803165 79.871551)
+ (xy 194.798185 79.874121)
+ (xy 194.798181 79.874123)
+ (xy 194.621245 79.965447)
+ (xy 194.603787 79.974458)
+ (xy 194.425783 80.111045)
+ (xy 194.42201 80.115191)
+ (xy 194.422005 80.115196)
+ (xy 194.326695 80.219941)
+ (xy 194.274779 80.276996)
+ (xy 194.206642 80.385616)
+ (xy 194.168782 80.445971)
+ (xy 194.15555 80.467064)
+ (xy 194.071863 80.675242)
+ (xy 194.026364 80.894949)
+ (xy 194.02049 81.119242)
+ (xy 188.277546 81.119242)
+ (xy 188.328394 81.06594)
+ (xy 188.389864 80.94292)
+ (xy 188.397849 80.894949)
+ (xy 188.41163 80.812149)
+ (xy 188.412443 80.807265)
+ (xy 188.4125 80.8)
+ (xy 188.396414 80.693005)
+ (xy 188.393454 80.673316)
+ (xy 188.393453 80.673314)
+ (xy 188.392054 80.664006)
+ (xy 188.341455 80.558632)
+ (xy 188.3366 80.548522)
+ (xy 188.3366 80.548521)
+ (xy 188.332525 80.540036)
+ (xy 188.239175 80.439051)
+ (xy 188.231031 80.43432)
+ (xy 188.231029 80.434319)
+ (xy 188.128399 80.374706)
+ (xy 188.128395 80.374704)
+ (xy 188.120258 80.369978)
+ (xy 188.039881 80.351348)
+ (xy 187.995465 80.341053)
+ (xy 187.995463 80.341053)
+ (xy 187.986287 80.338926)
+ (xy 187.976891 80.339591)
+ (xy 187.976888 80.339591)
+ (xy 187.919237 80.343673)
+ (xy 187.821244 80.307084)
+ (xy 187.004685 79.490526)
+ (xy 186.96778 79.40143)
+ (xy 186.96778 78.47736)
+ (xy 188.038119 78.47736)
+ (xy 188.055048 78.77097)
+ (xy 188.055873 78.775175)
+ (xy 188.055874 78.775183)
+ (xy 188.067401 78.833934)
+ (xy 188.111668 79.059567)
+ (xy 188.113055 79.063617)
+ (xy 188.113056 79.063622)
+ (xy 188.190955 79.291144)
+ (xy 188.206932 79.337809)
+ (xy 188.339076 79.600548)
+ (xy 188.341502 79.604077)
+ (xy 188.341505 79.604083)
+ (xy 188.503224 79.839385)
+ (xy 188.505655 79.842922)
+ (xy 188.508542 79.846095)
+ (xy 188.508543 79.846096)
+ (xy 188.686027 80.041149)
+ (xy 188.703586 80.060446)
+ (xy 188.78396 80.127649)
+ (xy 188.925916 80.246343)
+ (xy 188.925921 80.246347)
+ (xy 188.929208 80.249095)
+ (xy 189.009634 80.299546)
+ (xy 189.174705 80.403095)
+ (xy 189.174709 80.403097)
+ (xy 189.178345 80.405378)
+ (xy 189.268248 80.445971)
+ (xy 189.442475 80.524638)
+ (xy 189.442479 80.52464)
+ (xy 189.446387 80.526404)
+ (xy 189.492408 80.540036)
+ (xy 189.724261 80.608714)
+ (xy 189.724265 80.608715)
+ (xy 189.728374 80.609932)
+ (xy 189.732608 80.61058)
+ (xy 189.732613 80.610581)
+ (xy 189.991302 80.650166)
+ (xy 190.019089 80.654418)
+ (xy 190.168859 80.656771)
+ (xy 190.308859 80.65897)
+ (xy 190.308865 80.65897)
+ (xy 190.31315 80.659037)
+ (xy 190.605118 80.623705)
+ (xy 190.88959 80.549075)
+ (xy 191.161301 80.436529)
+ (xy 191.415224 80.288148)
+ (xy 191.64666 80.106679)
+ (xy 191.851327 79.89548)
+ (xy 191.85386 79.892032)
+ (xy 191.853864 79.892027)
+ (xy 192.022899 79.661913)
+ (xy 192.025437 79.658458)
+ (xy 192.050581 79.612149)
+ (xy 192.163718 79.403775)
+ (xy 192.163719 79.403773)
+ (xy 192.165768 79.399999)
+ (xy 192.2509 79.174706)
+ (xy 192.268207 79.128905)
+ (xy 192.268208 79.128901)
+ (xy 192.269725 79.124887)
+ (xy 192.335382 78.838211)
+ (xy 192.361526 78.545278)
+ (xy 192.362 78.5)
+ (xy 192.361831 78.497519)
+ (xy 192.360457 78.47736)
+ (xy 208.038119 78.47736)
+ (xy 208.055048 78.77097)
+ (xy 208.055873 78.775175)
+ (xy 208.055874 78.775183)
+ (xy 208.067401 78.833934)
+ (xy 208.111668 79.059567)
+ (xy 208.113055 79.063617)
+ (xy 208.113056 79.063622)
+ (xy 208.190955 79.291144)
+ (xy 208.206932 79.337809)
+ (xy 208.339076 79.600548)
+ (xy 208.341502 79.604077)
+ (xy 208.341505 79.604083)
+ (xy 208.503224 79.839385)
+ (xy 208.505655 79.842922)
+ (xy 208.508542 79.846095)
+ (xy 208.508543 79.846096)
+ (xy 208.686027 80.041149)
+ (xy 208.703586 80.060446)
+ (xy 208.78396 80.127649)
+ (xy 208.925916 80.246343)
+ (xy 208.925921 80.246347)
+ (xy 208.929208 80.249095)
+ (xy 209.009634 80.299546)
+ (xy 209.174705 80.403095)
+ (xy 209.174709 80.403097)
+ (xy 209.178345 80.405378)
+ (xy 209.268248 80.445971)
+ (xy 209.442475 80.524638)
+ (xy 209.442479 80.52464)
+ (xy 209.446387 80.526404)
+ (xy 209.492408 80.540036)
+ (xy 209.724261 80.608714)
+ (xy 209.724265 80.608715)
+ (xy 209.728374 80.609932)
+ (xy 209.732608 80.61058)
+ (xy 209.732613 80.610581)
+ (xy 209.991302 80.650166)
+ (xy 210.019089 80.654418)
+ (xy 210.168859 80.656771)
+ (xy 210.308859 80.65897)
+ (xy 210.308865 80.65897)
+ (xy 210.31315 80.659037)
+ (xy 210.605118 80.623705)
+ (xy 210.88959 80.549075)
+ (xy 211.161301 80.436529)
+ (xy 211.415224 80.288148)
+ (xy 211.64666 80.106679)
+ (xy 211.851327 79.89548)
+ (xy 211.85386 79.892032)
+ (xy 211.853864 79.892027)
+ (xy 212.022899 79.661913)
+ (xy 212.025437 79.658458)
+ (xy 212.050581 79.612149)
+ (xy 212.163718 79.403775)
+ (xy 212.163719 79.403773)
+ (xy 212.165768 79.399999)
+ (xy 212.2509 79.174706)
+ (xy 212.268207 79.128905)
+ (xy 212.268208 79.128901)
+ (xy 212.269725 79.124887)
+ (xy 212.335382 78.838211)
+ (xy 212.361526 78.545278)
+ (xy 212.362 78.5)
+ (xy 212.361831 78.497519)
+ (xy 212.342289 78.21086)
+ (xy 212.342288 78.210854)
+ (xy 212.341997 78.206583)
+ (xy 212.282357 77.918595)
+ (xy 212.184186 77.641366)
+ (xy 212.049298 77.380026)
+ (xy 212.039399 77.36594)
+ (xy 211.946407 77.233626)
+ (xy 211.88019 77.139409)
+ (xy 211.776336 77.027649)
+ (xy 211.682912 76.927113)
+ (xy 211.682909 76.927111)
+ (xy 211.679991 76.92397)
+ (xy 211.452406 76.737694)
+ (xy 211.201646 76.584028)
+ (xy 211.197729 76.582309)
+ (xy 211.197726 76.582307)
+ (xy 210.951434 76.474193)
+ (xy 210.932351 76.465816)
+ (xy 210.928223 76.46464)
+ (xy 210.92822 76.464639)
+ (xy 210.840654 76.439695)
+ (xy 210.649505 76.385245)
+ (xy 210.645263 76.384641)
+ (xy 210.645257 76.38464)
+ (xy 210.362592 76.344411)
+ (xy 210.358341 76.343806)
+ (xy 210.203328 76.342994)
+ (xy 210.068533 76.342288)
+ (xy 210.068526 76.342288)
+ (xy 210.064247 76.342266)
+ (xy 210.060002 76.342825)
+ (xy 210.06 76.342825)
+ (xy 209.994726 76.351419)
+ (xy 209.772665 76.380654)
+ (xy 209.48899 76.458258)
+ (xy 209.485042 76.459942)
+ (xy 209.222425 76.571958)
+ (xy 209.222421 76.57196)
+ (xy 209.218473 76.573644)
+ (xy 209.094279 76.647972)
+ (xy 208.969799 76.722471)
+ (xy 208.969795 76.722474)
+ (xy 208.966117 76.724675)
+ (xy 208.962774 76.727353)
+ (xy 208.96277 76.727356)
+ (xy 208.870062 76.80163)
+ (xy 208.736594 76.908558)
+ (xy 208.73365 76.91166)
+ (xy 208.733646 76.911664)
+ (xy 208.543393 77.112149)
+ (xy 208.53415 77.121889)
+ (xy 208.531651 77.125367)
+ (xy 208.53165 77.125368)
+ (xy 208.496566 77.174193)
+ (xy 208.362532 77.360722)
+ (xy 208.224915 77.620635)
+ (xy 208.22344 77.624666)
+ (xy 208.142376 77.846184)
+ (xy 208.123845 77.896821)
+ (xy 208.061193 78.184168)
+ (xy 208.038119 78.47736)
+ (xy 192.360457 78.47736)
+ (xy 192.342289 78.21086)
+ (xy 192.342288 78.210854)
+ (xy 192.341997 78.206583)
+ (xy 192.282357 77.918595)
+ (xy 192.184186 77.641366)
+ (xy 192.049298 77.380026)
+ (xy 192.039399 77.36594)
+ (xy 191.946407 77.233626)
+ (xy 191.88019 77.139409)
+ (xy 191.776336 77.027649)
+ (xy 191.682912 76.927113)
+ (xy 191.682909 76.927111)
+ (xy 191.679991 76.92397)
+ (xy 191.452406 76.737694)
+ (xy 191.201646 76.584028)
+ (xy 191.197729 76.582309)
+ (xy 191.197726 76.582307)
+ (xy 190.951434 76.474193)
+ (xy 190.932351 76.465816)
+ (xy 190.928223 76.46464)
+ (xy 190.92822 76.464639)
+ (xy 190.840654 76.439695)
+ (xy 190.649505 76.385245)
+ (xy 190.645263 76.384641)
+ (xy 190.645257 76.38464)
+ (xy 190.362592 76.344411)
+ (xy 190.358341 76.343806)
+ (xy 190.203328 76.342994)
+ (xy 190.068533 76.342288)
+ (xy 190.068526 76.342288)
+ (xy 190.064247 76.342266)
+ (xy 190.060002 76.342825)
+ (xy 190.06 76.342825)
+ (xy 189.994726 76.351419)
+ (xy 189.772665 76.380654)
+ (xy 189.48899 76.458258)
+ (xy 189.485042 76.459942)
+ (xy 189.222425 76.571958)
+ (xy 189.222421 76.57196)
+ (xy 189.218473 76.573644)
+ (xy 189.094279 76.647972)
+ (xy 188.969799 76.722471)
+ (xy 188.969795 76.722474)
+ (xy 188.966117 76.724675)
+ (xy 188.962774 76.727353)
+ (xy 188.96277 76.727356)
+ (xy 188.870062 76.80163)
+ (xy 188.736594 76.908558)
+ (xy 188.73365 76.91166)
+ (xy 188.733646 76.911664)
+ (xy 188.543393 77.112149)
+ (xy 188.53415 77.121889)
+ (xy 188.531651 77.125367)
+ (xy 188.53165 77.125368)
+ (xy 188.496566 77.174193)
+ (xy 188.362532 77.360722)
+ (xy 188.224915 77.620635)
+ (xy 188.22344 77.624666)
+ (xy 188.142376 77.846184)
+ (xy 188.123845 77.896821)
+ (xy 188.061193 78.184168)
+ (xy 188.038119 78.47736)
+ (xy 186.96778 78.47736)
+ (xy 186.96778 74.769242)
+ (xy 191.48049 74.769242)
+ (xy 191.49313 74.851845)
+ (xy 191.512761 74.980127)
+ (xy 191.514429 74.991029)
+ (xy 191.536849 75.056513)
+ (xy 191.562515 75.131476)
+ (xy 191.587106 75.203302)
+ (xy 191.696224 75.399349)
+ (xy 191.699776 75.403689)
+ (xy 191.699779 75.403693)
+ (xy 191.731173 75.442049)
+ (xy 191.838335 75.572975)
+ (xy 191.842603 75.57662)
+ (xy 192.004682 75.715049)
+ (xy 192.004686 75.715052)
+ (xy 192.008947 75.718691)
+ (xy 192.202667 75.831892)
+ (xy 192.207933 75.833819)
+ (xy 192.207937 75.833821)
+ (xy 192.408106 75.907072)
+ (xy 192.408109 75.907073)
+ (xy 192.41337 75.908998)
+ (xy 192.418886 75.909961)
+ (xy 192.418891 75.909962)
+ (xy 192.58321 75.93864)
+ (xy 192.634399 75.947574)
+ (xy 192.640005 75.947545)
+ (xy 192.640009 75.947545)
+ (xy 192.743025 75.947005)
+ (xy 192.858765 75.946399)
+ (xy 192.896955 75.939321)
+ (xy 193.050991 75.910772)
+ (xy 193.079377 75.905511)
+ (xy 193.084626 75.903528)
+ (xy 193.084628 75.903527)
+ (xy 193.161769 75.874378)
+ (xy 193.289262 75.826202)
+ (xy 193.481785 75.710979)
+ (xy 193.486005 75.707297)
+ (xy 193.48601 75.707294)
+ (xy 193.646631 75.567175)
+ (xy 193.650861 75.563485)
+ (xy 193.681278 75.525518)
+ (xy 193.787641 75.392757)
+ (xy 193.787644 75.392752)
+ (xy 193.791147 75.38838)
+ (xy 193.800979 75.370271)
+ (xy 197.256996 75.370271)
+ (xy 197.263275 75.442049)
+ (xy 197.264549 75.45028)
+ (xy 197.32816 75.73486)
+ (xy 197.330516 75.742864)
+ (xy 197.431205 76.016525)
+ (xy 197.434595 76.024141)
+ (xy 197.570589 76.282076)
+ (xy 197.574967 76.289192)
+ (xy 197.74388 76.526875)
+ (xy 197.749151 76.533338)
+ (xy 197.94802 76.7466)
+ (xy 197.954104 76.752312)
+ (xy 198.179425 76.937393)
+ (xy 198.186206 76.942248)
+ (xy 198.434038 77.09591)
+ (xy 198.441398 77.099823)
+ (xy 198.707364 77.219353)
+ (xy 198.715187 77.222263)
+ (xy 198.994637 77.305571)
+ (xy 199.002758 77.307416)
+ (xy 199.291433 77.353137)
+ (xy 199.298408 77.353845)
+ (xy 199.388477 77.357936)
+ (xy 199.39131 77.358)
+ (xy 199.727885 77.358)
+ (xy 199.743124 77.353525)
+ (xy 199.744329 77.352135)
+ (xy 199.746 77.344452)
+ (xy 199.746 77.339885)
+ (xy 200.253999 77.339885)
+ (xy 200.258474 77.355124)
+ (xy 200.259864 77.356329)
+ (xy 200.267547 77.358)
+ (xy 200.573181 77.358)
+ (xy 200.577373 77.357861)
+ (xy 200.795125 77.343398)
+ (xy 200.803394 77.342295)
+ (xy 201.089231 77.284659)
+ (xy 201.097292 77.282469)
+ (xy 201.372998 77.187536)
+ (xy 201.380685 77.184305)
+ (xy 201.64141 77.053743)
+ (xy 201.648619 77.049513)
+ (xy 201.889783 76.885619)
+ (xy 201.896358 76.880482)
+ (xy 202.113735 76.686125)
+ (xy 202.119575 76.680161)
+ (xy 202.309336 76.458762)
+ (xy 202.314329 76.452088)
+ (xy 202.473144 76.207536)
+ (xy 202.477218 76.200246)
+ (xy 202.602288 75.936848)
+ (xy 202.605364 75.92908)
+ (xy 202.694501 75.651449)
+ (xy 202.696518 75.64336)
+ (xy 202.745375 75.37183)
+ (xy 202.743943 75.358571)
+ (xy 202.729333 75.354)
+ (xy 200.272115 75.353999)
+ (xy 200.256876 75.358474)
+ (xy 200.255671 75.359864)
+ (xy 200.254 75.367547)
+ (xy 200.253999 77.339885)
+ (xy 199.746 77.339885)
+ (xy 199.746001 75.372115)
+ (xy 199.741526 75.356876)
+ (xy 199.740136 75.355671)
+ (xy 199.732453 75.354)
+ (xy 197.273687 75.353999)
+ (xy 197.259059 75.358294)
+ (xy 197.256996 75.370271)
+ (xy 193.800979 75.370271)
+ (xy 193.898206 75.191201)
+ (xy 193.968657 74.978179)
+ (xy 193.977334 74.917213)
+ (xy 193.990005 74.82817)
+ (xy 197.254625 74.82817)
+ (xy 197.256057 74.841429)
+ (xy 197.270667 74.846)
+ (xy 199.727885 74.846001)
+ (xy 199.743124 74.841526)
+ (xy 199.744329 74.840136)
+ (xy 199.746 74.832453)
+ (xy 199.746 74.827885)
+ (xy 200.253999 74.827885)
+ (xy 200.258474 74.843124)
+ (xy 200.259864 74.844329)
+ (xy 200.267547 74.846)
+ (xy 202.726313 74.846001)
+ (xy 202.740941 74.841706)
+ (xy 202.743004 74.829729)
+ (xy 202.737713 74.769242)
+ (xy 211.48049 74.769242)
+ (xy 211.49313 74.851845)
+ (xy 211.512761 74.980127)
+ (xy 211.514429 74.991029)
+ (xy 211.536849 75.056513)
+ (xy 211.562515 75.131476)
+ (xy 211.587106 75.203302)
+ (xy 211.696224 75.399349)
+ (xy 211.699776 75.403689)
+ (xy 211.699779 75.403693)
+ (xy 211.731173 75.442049)
+ (xy 211.838335 75.572975)
+ (xy 211.842603 75.57662)
+ (xy 212.004682 75.715049)
+ (xy 212.004686 75.715052)
+ (xy 212.008947 75.718691)
+ (xy 212.202667 75.831892)
+ (xy 212.207933 75.833819)
+ (xy 212.207937 75.833821)
+ (xy 212.408106 75.907072)
+ (xy 212.408109 75.907073)
+ (xy 212.41337 75.908998)
+ (xy 212.418886 75.909961)
+ (xy 212.418891 75.909962)
+ (xy 212.58321 75.93864)
+ (xy 212.634399 75.947574)
+ (xy 212.640005 75.947545)
+ (xy 212.640009 75.947545)
+ (xy 212.743025 75.947005)
+ (xy 212.858765 75.946399)
+ (xy 212.896955 75.939321)
+ (xy 213.050991 75.910772)
+ (xy 213.079377 75.905511)
+ (xy 213.084626 75.903528)
+ (xy 213.084628 75.903527)
+ (xy 213.161769 75.874378)
+ (xy 213.289262 75.826202)
+ (xy 213.481785 75.710979)
+ (xy 213.486005 75.707297)
+ (xy 213.48601 75.707294)
+ (xy 213.646631 75.567175)
+ (xy 213.650861 75.563485)
+ (xy 213.681278 75.525518)
+ (xy 213.787641 75.392757)
+ (xy 213.787644 75.392752)
+ (xy 213.791147 75.38838)
+ (xy 213.898206 75.191201)
+ (xy 213.968657 74.978179)
+ (xy 213.977334 74.917213)
+ (xy 213.990778 74.822744)
+ (xy 214.00027 74.756048)
+ (xy 214.001444 74.711215)
+ (xy 214.001905 74.693631)
+ (xy 214.001905 74.693629)
+ (xy 214.002 74.69)
+ (xy 213.982055 74.466519)
+ (xy 213.934078 74.291144)
+ (xy 213.924332 74.255518)
+ (xy 213.924331 74.255514)
+ (xy 213.92285 74.250102)
+ (xy 213.826256 74.04759)
+ (xy 213.804428 74.017213)
+ (xy 213.698604 73.869941)
+ (xy 213.698599 73.869936)
+ (xy 213.695328 73.865383)
+ (xy 213.534202 73.709242)
+ (xy 213.347973 73.584101)
+ (xy 213.20328 73.520585)
+ (xy 213.147669 73.496173)
+ (xy 213.147667 73.496172)
+ (xy 213.142527 73.493916)
+ (xy 213.033442 73.467727)
+ (xy 212.929814 73.442848)
+ (xy 212.929813 73.442848)
+ (xy 212.924357 73.441538)
+ (xy 212.839591 73.436651)
+ (xy 212.705967 73.428946)
+ (xy 212.705964 73.428946)
+ (xy 212.70036 73.428623)
+ (xy 212.477615 73.455578)
+ (xy 212.263165 73.521551)
+ (xy 212.258185 73.524121)
+ (xy 212.258181 73.524123)
+ (xy 212.076543 73.617874)
+ (xy 212.063787 73.624458)
+ (xy 211.885783 73.761045)
+ (xy 211.88201 73.765191)
+ (xy 211.882005 73.765196)
+ (xy 211.774778 73.883038)
+ (xy 211.734779 73.926996)
+ (xy 211.61555 74.117064)
+ (xy 211.531863 74.325242)
+ (xy 211.486364 74.544949)
+ (xy 211.48049 74.769242)
+ (xy 202.737713 74.769242)
+ (xy 202.736725 74.757951)
+ (xy 202.735451 74.74972)
+ (xy 202.67184 74.46514)
+ (xy 202.669484 74.457136)
+ (xy 202.568795 74.183475)
+ (xy 202.565405 74.175859)
+ (xy 202.429411 73.917924)
+ (xy 202.425033 73.910808)
+ (xy 202.25612 73.673125)
+ (xy 202.250849 73.666662)
+ (xy 202.05198 73.4534)
+ (xy 202.045896 73.447688)
+ (xy 201.994987 73.40587)
+ (xy 209.188099 73.40587)
+ (xy 209.188615 73.412014)
+ (xy 209.204092 73.59632)
+ (xy 209.204626 73.602685)
+ (xy 209.211784 73.627649)
+ (xy 209.253805 73.774193)
+ (xy 209.259066 73.792542)
+ (xy 209.261885 73.798027)
+ (xy 209.346529 73.962727)
+ (xy 209.346532 73.962732)
+ (xy 209.349347 73.968209)
+ (xy 209.472028 74.122994)
+ (xy 209.476722 74.126988)
+ (xy 209.476722 74.126989)
+ (xy 209.567317 74.204091)
+ (xy 209.622438 74.251003)
+ (xy 209.627816 74.254009)
+ (xy 209.627818 74.25401)
+ (xy 209.644176 74.263152)
+ (xy 209.794847 74.347359)
+ (xy 209.982688 74.408392)
+ (xy 210.178806 74.431778)
+ (xy 210.184941 74.431306)
+ (xy 210.184943 74.431306)
+ (xy 210.369589 74.417098)
+ (xy 210.369592 74.417097)
+ (xy 210.375732 74.416625)
+ (xy 210.565964 74.363512)
+ (xy 210.742257 74.27446)
+ (xy 210.777392 74.24701)
+ (xy 210.893039 74.156656)
+ (xy 210.897895 74.152862)
+ (xy 210.906296 74.14313)
+ (xy 210.942807 74.100831)
+ (xy 211.026951 74.00335)
+ (xy 211.030861 73.996468)
+ (xy 211.121465 73.836974)
+ (xy 211.121466 73.836973)
+ (xy 211.124508 73.831617)
+ (xy 211.130025 73.815034)
+ (xy 211.184904 73.650059)
+ (xy 211.186851 73.644207)
+ (xy 211.211605 73.448257)
+ (xy 211.211875 73.428946)
+ (xy 211.211951 73.423522)
+ (xy 211.211951 73.423518)
+ (xy 211.212 73.42)
+ (xy 211.192727 73.223435)
+ (xy 211.135641 73.034357)
+ (xy 211.107083 72.980647)
+ (xy 211.04581 72.86541)
+ (xy 211.045808 72.865407)
+ (xy 211.042916 72.859968)
+ (xy 210.918086 72.70691)
+ (xy 210.765903 72.581014)
+ (xy 210.592166 72.487074)
+ (xy 210.403491 72.42867)
+ (xy 210.397366 72.428026)
+ (xy 210.397365 72.428026)
+ (xy 210.213193 72.408669)
+ (xy 210.213192 72.408669)
+ (xy 210.207065 72.408025)
+ (xy 210.086743 72.418975)
+ (xy 210.016511 72.425366)
+ (xy 210.01651 72.425366)
+ (xy 210.01037 72.425925)
+ (xy 209.994853 72.430492)
+ (xy 209.826809 72.47995)
+ (xy 209.826806 72.479951)
+ (xy 209.820898 72.48169)
+ (xy 209.645866 72.573195)
+ (xy 209.641068 72.577053)
+ (xy 209.641066 72.577054)
+ (xy 209.61564 72.597497)
+ (xy 209.491941 72.696954)
+ (xy 209.487982 72.701672)
+ (xy 209.487981 72.701673)
+ (xy 209.370232 72.842)
+ (xy 209.364985 72.848253)
+ (xy 209.362022 72.853642)
+ (xy 209.362019 72.853647)
+ (xy 209.336811 72.899501)
+ (xy 209.269835 73.021331)
+ (xy 209.210115 73.209593)
+ (xy 209.209429 73.21571)
+ (xy 209.209428 73.215714)
+ (xy 209.193253 73.359921)
+ (xy 209.188099 73.40587)
+ (xy 201.994987 73.40587)
+ (xy 201.820575 73.262607)
+ (xy 201.813794 73.257752)
+ (xy 201.565962 73.10409)
+ (xy 201.558602 73.100177)
+ (xy 201.292636 72.980647)
+ (xy 201.284813 72.977737)
+ (xy 201.005363 72.894429)
+ (xy 200.997242 72.892584)
+ (xy 200.708567 72.846863)
+ (xy 200.701592 72.846155)
+ (xy 200.611523 72.842064)
+ (xy 200.60869 72.842)
+ (xy 200.272115 72.842)
+ (xy 200.256876 72.846475)
+ (xy 200.255671 72.847865)
+ (xy 200.254 72.855548)
+ (xy 200.253999 74.827885)
+ (xy 199.746 74.827885)
+ (xy 199.746001 72.860115)
+ (xy 199.741526 72.844876)
+ (xy 199.740136 72.843671)
+ (xy 199.732453 72.842)
+ (xy 199.426819 72.842)
+ (xy 199.422627 72.842139)
+ (xy 199.204875 72.856602)
+ (xy 199.196606 72.857705)
+ (xy 198.910769 72.915341)
+ (xy 198.902708 72.917531)
+ (xy 198.627002 73.012464)
+ (xy 198.619315 73.015695)
+ (xy 198.35859 73.146257)
+ (xy 198.351381 73.150487)
+ (xy 198.110217 73.314381)
+ (xy 198.103642 73.319518)
+ (xy 197.886265 73.513875)
+ (xy 197.880425 73.519839)
+ (xy 197.690664 73.741238)
+ (xy 197.685671 73.747912)
+ (xy 197.526856 73.992464)
+ (xy 197.522782 73.999754)
+ (xy 197.397712 74.263152)
+ (xy 197.394636 74.27092)
+ (xy 197.305499 74.548551)
+ (xy 197.303482 74.55664)
+ (xy 197.254625 74.82817)
+ (xy 193.990005 74.82817)
+ (xy 193.990778 74.822744)
+ (xy 194.00027 74.756048)
+ (xy 194.001444 74.711215)
+ (xy 194.001905 74.693631)
+ (xy 194.001905 74.693629)
+ (xy 194.002 74.69)
+ (xy 193.982055 74.466519)
+ (xy 193.934078 74.291144)
+ (xy 193.924332 74.255518)
+ (xy 193.924331 74.255514)
+ (xy 193.92285 74.250102)
+ (xy 193.826256 74.04759)
+ (xy 193.804428 74.017213)
+ (xy 193.698604 73.869941)
+ (xy 193.698599 73.869936)
+ (xy 193.695328 73.865383)
+ (xy 193.534202 73.709242)
+ (xy 193.347973 73.584101)
+ (xy 193.20328 73.520585)
+ (xy 193.147669 73.496173)
+ (xy 193.147667 73.496172)
+ (xy 193.142527 73.493916)
+ (xy 193.033442 73.467727)
+ (xy 192.929814 73.442848)
+ (xy 192.929813 73.442848)
+ (xy 192.924357 73.441538)
+ (xy 192.839591 73.436651)
+ (xy 192.705967 73.428946)
+ (xy 192.705964 73.428946)
+ (xy 192.70036 73.428623)
+ (xy 192.477615 73.455578)
+ (xy 192.263165 73.521551)
+ (xy 192.258185 73.524121)
+ (xy 192.258181 73.524123)
+ (xy 192.076543 73.617874)
+ (xy 192.063787 73.624458)
+ (xy 191.885783 73.761045)
+ (xy 191.88201 73.765191)
+ (xy 191.882005 73.765196)
+ (xy 191.774778 73.883038)
+ (xy 191.734779 73.926996)
+ (xy 191.61555 74.117064)
+ (xy 191.531863 74.325242)
+ (xy 191.486364 74.544949)
+ (xy 191.48049 74.769242)
+ (xy 186.96778 74.769242)
+ (xy 186.96778 74.05341)
+ (xy 187.00261 73.966439)
+ (xy 187.039761 73.927495)
+ (xy 187.088674 73.87622)
+ (xy 187.132688 73.788135)
+ (xy 187.145933 73.761628)
+ (xy 187.145933 73.761627)
+ (xy 187.150144 73.7532)
+ (xy 187.172723 73.617545)
+ (xy 187.17278 73.61028)
+ (xy 187.157028 73.505507)
+ (xy 187.153734 73.483596)
+ (xy 187.153733 73.483594)
+ (xy 187.152334 73.474286)
+ (xy 187.092805 73.350316)
+ (xy 186.999455 73.249331)
+ (xy 186.991311 73.2446)
+ (xy 186.991309 73.244599)
+ (xy 186.888679 73.184986)
+ (xy 186.888675 73.184984)
+ (xy 186.880538 73.180258)
+ (xy 186.788469 73.158918)
+ (xy 186.755745 73.151333)
+ (xy 186.755743 73.151333)
+ (xy 186.746567 73.149206)
+ (xy 186.737171 73.149871)
+ (xy 186.737168 73.149871)
+ (xy 186.618791 73.158252)
+ (xy 186.618789 73.158253)
+ (xy 186.609389 73.158918)
+ (xy 186.48113 73.208538)
+ (xy 186.373132 73.293677)
+ (xy 186.294942 73.406808)
+ (xy 186.292103 73.415785)
+ (xy 186.292102 73.415787)
+ (xy 186.271873 73.479751)
+ (xy 186.253474 73.537929)
+ (xy 186.252394 73.675447)
+ (xy 185.458809 73.675447)
+ (xy 185.447869 73.602685)
+ (xy 185.443454 73.573316)
+ (xy 185.443453 73.573314)
+ (xy 185.442054 73.564006)
+ (xy 185.392933 73.461711)
+ (xy 185.381477 73.391644)
+ (xy 185.40972 73.326507)
+ (xy 185.471359 73.286174)
+ (xy 185.475958 73.284838)
+ (xy 185.486296 73.283614)
+ (xy 185.49407 73.279881)
+ (xy 185.502583 73.278464)
+ (xy 185.544953 73.255603)
+ (xy 185.550242 73.252908)
+ (xy 185.586461 73.235515)
+ (xy 185.593602 73.232086)
+ (xy 185.597133 73.229119)
+ (xy 185.59907 73.227182)
+ (xy 185.601063 73.225354)
+ (xy 185.601171 73.225471)
+ (xy 185.601762 73.22495)
+ (xy 185.607342 73.221939)
+ (xy 185.624184 73.20372)
+ (xy 185.642699 73.18369)
+ (xy 185.646129 73.180123)
+ (xy 186.501849 72.324404)
+ (xy 186.590944 72.2875)
+ (xy 189.679368 72.2875)
+ (xy 189.747489 72.307502)
+ (xy 189.793982 72.361158)
+ (xy 189.804086 72.431432)
+ (xy 189.774592 72.496012)
+ (xy 189.737744 72.525161)
+ (xy 189.65133 72.570338)
+ (xy 189.651326 72.57034)
+ (xy 189.645866 72.573195)
+ (xy 189.641068 72.577053)
+ (xy 189.641066 72.577054)
+ (xy 189.61564 72.597497)
+ (xy 189.491941 72.696954)
+ (xy 189.487982 72.701672)
+ (xy 189.487981 72.701673)
+ (xy 189.370232 72.842)
+ (xy 189.364985 72.848253)
+ (xy 189.362022 72.853642)
+ (xy 189.362019 72.853647)
+ (xy 189.336811 72.899501)
+ (xy 189.269835 73.021331)
+ (xy 189.210115 73.209593)
+ (xy 189.209429 73.21571)
+ (xy 189.209428 73.215714)
+ (xy 189.193253 73.359921)
+ (xy 189.188099 73.40587)
+ (xy 189.188615 73.412014)
+ (xy 189.204092 73.59632)
+ (xy 189.204626 73.602685)
+ (xy 189.211784 73.627649)
+ (xy 189.253805 73.774193)
+ (xy 189.259066 73.792542)
+ (xy 189.261885 73.798027)
+ (xy 189.346529 73.962727)
+ (xy 189.346532 73.962732)
+ (xy 189.349347 73.968209)
+ (xy 189.472028 74.122994)
+ (xy 189.476722 74.126988)
+ (xy 189.476722 74.126989)
+ (xy 189.567317 74.204091)
+ (xy 189.622438 74.251003)
+ (xy 189.627816 74.254009)
+ (xy 189.627818 74.25401)
+ (xy 189.644176 74.263152)
+ (xy 189.794847 74.347359)
+ (xy 189.982688 74.408392)
+ (xy 190.178806 74.431778)
+ (xy 190.184941 74.431306)
+ (xy 190.184943 74.431306)
+ (xy 190.369589 74.417098)
+ (xy 190.369592 74.417097)
+ (xy 190.375732 74.416625)
+ (xy 190.565964 74.363512)
+ (xy 190.742257 74.27446)
+ (xy 190.777392 74.24701)
+ (xy 190.893039 74.156656)
+ (xy 190.897895 74.152862)
+ (xy 190.906296 74.14313)
+ (xy 190.942807 74.100831)
+ (xy 191.026951 74.00335)
+ (xy 191.030861 73.996468)
+ (xy 191.121465 73.836974)
+ (xy 191.121466 73.836973)
+ (xy 191.124508 73.831617)
+ (xy 191.130025 73.815034)
+ (xy 191.184904 73.650059)
+ (xy 191.186851 73.644207)
+ (xy 191.211605 73.448257)
+ (xy 191.211875 73.428946)
+ (xy 191.211951 73.423522)
+ (xy 191.211951 73.423518)
+ (xy 191.212 73.42)
+ (xy 191.192727 73.223435)
+ (xy 191.135641 73.034357)
+ (xy 191.107083 72.980647)
+ (xy 191.04581 72.86541)
+ (xy 191.045808 72.865407)
+ (xy 191.042916 72.859968)
+ (xy 190.918086 72.70691)
+ (xy 190.765903 72.581014)
+ (xy 190.6375 72.511586)
+ (xy 190.587092 72.461592)
+ (xy 190.571715 72.392281)
+ (xy 190.596251 72.325659)
+ (xy 190.662271 72.279756)
+ (xy 190.663738 72.27933)
+ (xy 190.674077 72.278106)
+ (xy 190.681851 72.274373)
+ (xy 190.690364 72.272956)
+ (xy 190.732734 72.250095)
+ (xy 190.738023 72.2474)
+ (xy 190.774242 72.230007)
+ (xy 190.781383 72.226578)
+ (xy 190.784914 72.223611)
+ (xy 190.786851 72.221674)
+ (xy 190.788844 72.219846)
+ (xy 190.788952 72.219963)
+ (xy 190.789543 72.219442)
+ (xy 190.795123 72.216431)
+ (xy 190.830493 72.178168)
+ (xy 190.833922 72.174603)
+ (xy 191.51412 71.494405)
+ (xy 191.603215 71.4575)
+ (xy 191.832034 71.4575)
+ (xy 191.900155 71.477502)
+ (xy 191.947626 71.538125)
+ (xy 191.949001 71.537521)
+ (xy 191.951144 71.542404)
+ (xy 191.952868 71.547453)
+ (xy 192.062937 71.749755)
+ (xy 192.066235 71.753939)
+ (xy 192.066238 71.753943)
+ (xy 192.202216 71.92643)
+ (xy 192.20222 71.926434)
+ (xy 192.205519 71.930619)
+ (xy 192.209479 71.934191)
+ (xy 192.20948 71.934192)
+ (xy 192.24196 71.963488)
+ (xy 192.376536 72.084874)
+ (xy 192.489719 72.156564)
+ (xy 192.53448 72.184915)
+ (xy 192.571098 72.208109)
+ (xy 192.576025 72.210165)
+ (xy 192.576027 72.210166)
+ (xy 192.772372 72.292097)
+ (xy 192.783643 72.2968)
+ (xy 192.788847 72.297997)
+ (xy 192.788848 72.297997)
+ (xy 193.00289 72.347216)
+ (xy 193.002895 72.347217)
+ (xy 193.008093 72.348412)
+ (xy 193.013421 72.348715)
+ (xy 193.013424 72.348715)
+ (xy 193.168134 72.3575)
+ (xy 193.238029 72.361469)
+ (xy 193.243336 72.360869)
+ (xy 193.243338 72.360869)
+ (xy 193.364103 72.347216)
+ (xy 193.466879 72.335597)
+ (xy 193.471994 72.334116)
+ (xy 193.471998 72.334115)
+ (xy 193.573389 72.304754)
+ (xy 193.688097 72.271537)
+ (xy 193.895359 72.171119)
+ (xy 193.968295 72.118998)
+ (xy 194.078393 72.040321)
+ (xy 194.078395 72.040319)
+ (xy 194.082739 72.037215)
+ (xy 194.222204 71.896528)
+ (xy 194.241126 71.87744)
+ (xy 194.241127 71.877439)
+ (xy 194.244879 71.873654)
+ (xy 194.269509 71.838544)
+ (xy 194.374078 71.689481)
+ (xy 194.374079 71.689479)
+ (xy 194.377142 71.685113)
+ (xy 194.475748 71.476982)
+ (xy 194.495204 71.407532)
+ (xy 194.536437 71.260348)
+ (xy 194.536438 71.260342)
+ (xy 194.537875 71.255213)
+ (xy 194.546538 71.172091)
+ (xy 194.561442 71.029097)
+ (xy 194.561443 71.029087)
+ (xy 194.561749 71.026147)
+ (xy 194.562 71)
+ (xy 194.559854 70.974701)
+ (xy 194.553367 70.898258)
+ (xy 194.542528 70.770517)
+ (xy 194.524716 70.701888)
+ (xy 194.486009 70.55276)
+ (xy 194.484669 70.547597)
+ (xy 194.390078 70.337611)
+ (xy 194.261458 70.146566)
+ (xy 194.25758 70.1425)
+ (xy 194.106175 69.983786)
+ (xy 194.102489 69.979922)
+ (xy 194.095694 69.974866)
+ (xy 193.949052 69.865762)
+ (xy 193.917714 69.842446)
+ (xy 193.727658 69.745817)
+ (xy 193.676001 69.697114)
+ (xy 193.658874 69.628214)
+ (xy 193.681716 69.560992)
+ (xy 193.737275 69.516791)
+ (xy 193.784763 69.5075)
+ (xy 199.29115 69.5075)
+ (xy 199.380246 69.544405)
+ (xy 199.463297 69.627457)
+ (xy 199.541368 69.705528)
+ (xy 199.557037 69.724621)
+ (xy 199.55749 69.725299)
+ (xy 199.557492 69.725301)
+ (xy 199.564384 69.735616)
+ (xy 199.574699 69.742508)
+ (xy 199.635011 69.782806)
+ (xy 199.649546 69.792518)
+ (xy 199.661717 69.794939)
+ (xy 199.724863 69.8075)
+ (xy 199.737828 69.810079)
+ (xy 199.737829 69.810079)
+ (xy 199.749999 69.8125)
+ (xy 199.762169 69.810079)
+ (xy 199.762171 69.810079)
+ (xy 199.762965 69.809921)
+ (xy 199.787547 69.8075)
+ (xy 201.112452 69.8075)
+ (xy 201.137034 69.809921)
+ (xy 201.137828 69.810079)
+ (xy 201.13783 69.810079)
+ (xy 201.15 69.8125)
+ (xy 201.16217 69.810079)
+ (xy 201.162965 69.809921)
+ (xy 201.175135 69.8075)
+ (xy 201.175136 69.8075)
+ (xy 201.250454 69.792518)
+ (xy 201.26499 69.782806)
+ (xy 201.325301 69.742508)
+ (xy 201.335616 69.735616)
+ (xy 201.342968 69.724614)
+ (xy 201.358634 69.705526)
+ (xy 202.021154 69.043006)
+ (xy 202.083466 69.00898)
+ (xy 202.12112 69.006571)
+ (xy 202.16966 69.010775)
+ (xy 202.169663 69.010775)
+ (xy 202.179041 69.011587)
+ (xy 202.188242 69.009606)
+ (xy 202.188244 69.009606)
+ (xy 202.304278 68.984625)
+ (xy 202.304281 68.984624)
+ (xy 202.313482 68.982643)
+ (xy 202.321694 68.978044)
+ (xy 202.321697 68.978043)
+ (xy 202.425254 68.920048)
+ (xy 202.43347 68.915447)
+ (xy 202.528394 68.81594)
+ (xy 202.563093 68.746497)
+ (xy 202.611434 68.694501)
+ (xy 202.680213 68.676894)
+ (xy 202.765647 68.714475)
+ (xy 203.556344 69.518619)
+ (xy 203.592501 69.60696)
+ (xy 203.5925 70.264327)
+ (xy 203.5925 70.912452)
+ (xy 203.590079 70.937034)
+ (xy 203.5875 70.95)
+ (xy 203.589921 70.96217)
+ (xy 203.589921 70.962174)
+ (xy 203.592499 70.975133)
+ (xy 203.5925 70.975135)
+ (xy 203.607482 71.050453)
+ (xy 203.614378 71.060774)
+ (xy 203.657488 71.125296)
+ (xy 203.65749 71.125298)
+ (xy 203.664384 71.135616)
+ (xy 203.674705 71.142512)
+ (xy 203.675385 71.142967)
+ (xy 203.694478 71.158637)
+ (xy 205.791365 73.255525)
+ (xy 205.807035 73.274618)
+ (xy 205.80749 73.275299)
+ (xy 205.807492 73.275301)
+ (xy 205.814384 73.285616)
+ (xy 205.899546 73.342518)
+ (xy 205.911717 73.344939)
+ (xy 205.974863 73.3575)
+ (xy 205.987828 73.360079)
+ (xy 205.987829 73.360079)
+ (xy 205.999999 73.3625)
+ (xy 206.012169 73.360079)
+ (xy 206.012171 73.360079)
+ (xy 206.012965 73.359921)
+ (xy 206.037547 73.3575)
+ (xy 208.312452 73.3575)
+ (xy 208.337034 73.359921)
+ (xy 208.337828 73.360079)
+ (xy 208.33783 73.360079)
+ (xy 208.35 73.3625)
+ (xy 208.36217 73.360079)
+ (xy 208.362965 73.359921)
+ (xy 208.375135 73.3575)
+ (xy 208.375136 73.3575)
+ (xy 208.450454 73.342518)
+ (xy 208.535616 73.285616)
+ (xy 208.542508 73.275301)
+ (xy 208.54251 73.275299)
+ (xy 208.542967 73.274615)
+ (xy 208.558637 73.255522)
+ (xy 211.164159 70.65)
+ (xy 213.188 70.65)
+ (xy 213.188 72.35)
+ (xy 213.189207 72.356067)
+ (xy 213.196411 72.392281)
+ (xy 213.200332 72.411995)
+ (xy 213.207224 72.422309)
+ (xy 213.207225 72.422312)
+ (xy 213.225822 72.450143)
+ (xy 213.235449 72.464551)
+ (xy 213.245765 72.471444)
+ (xy 213.277688 72.492775)
+ (xy 213.277691 72.492776)
+ (xy 213.288005 72.499668)
+ (xy 213.300172 72.502088)
+ (xy 213.300174 72.502089)
+ (xy 213.330432 72.508108)
+ (xy 213.35 72.512)
+ (xy 215.05 72.512)
+ (xy 215.069568 72.508108)
+ (xy 215.099826 72.502089)
+ (xy 215.099828 72.502088)
+ (xy 215.111995 72.499668)
+ (xy 215.122309 72.492776)
+ (xy 215.122312 72.492775)
+ (xy 215.154235 72.471444)
+ (xy 215.164551 72.464551)
+ (xy 215.174178 72.450143)
+ (xy 215.192775 72.422312)
+ (xy 215.192776 72.422309)
+ (xy 215.199668 72.411995)
+ (xy 215.20359 72.392281)
+ (xy 215.210793 72.356067)
+ (xy 215.212 72.35)
+ (xy 215.212 70.65)
+ (xy 215.199668 70.588005)
+ (xy 215.192776 70.577691)
+ (xy 215.192775 70.577688)
+ (xy 215.171444 70.545765)
+ (xy 215.164551 70.535449)
+ (xy 215.145169 70.522498)
+ (xy 215.122312 70.507225)
+ (xy 215.122309 70.507224)
+ (xy 215.111995 70.500332)
+ (xy 215.099828 70.497912)
+ (xy 215.099826 70.497911)
+ (xy 215.069568 70.491892)
+ (xy 215.05 70.488)
+ (xy 213.35 70.488)
+ (xy 213.330432 70.491892)
+ (xy 213.300174 70.497911)
+ (xy 213.300172 70.497912)
+ (xy 213.288005 70.500332)
+ (xy 213.277691 70.507224)
+ (xy 213.277688 70.507225)
+ (xy 213.254831 70.522498)
+ (xy 213.235449 70.535449)
+ (xy 213.228556 70.545765)
+ (xy 213.207225 70.577688)
+ (xy 213.207224 70.577691)
+ (xy 213.200332 70.588005)
+ (xy 213.188 70.65)
+ (xy 211.164159 70.65)
+ (xy 211.605525 70.208635)
+ (xy 211.624618 70.192965)
+ (xy 211.625299 70.19251)
+ (xy 211.625301 70.192508)
+ (xy 211.635616 70.185616)
+ (xy 211.692518 70.100454)
+ (xy 211.701062 70.0575)
+ (xy 211.7075 70.025137)
+ (xy 211.710079 70.012172)
+ (xy 211.710079 70.012171)
+ (xy 211.7125 70.000001)
+ (xy 211.709921 69.987035)
+ (xy 211.7075 69.962453)
+ (xy 211.7075 69.328849)
+ (xy 211.744405 69.239754)
+ (xy 212.038289 68.94587)
+ (xy 213.188099 68.94587)
+ (xy 213.189286 68.96)
+ (xy 213.20397 69.134867)
+ (xy 213.204626 69.142685)
+ (xy 213.214778 69.178088)
+ (xy 213.257337 69.326511)
+ (xy 213.259066 69.332542)
+ (xy 213.261885 69.338027)
+ (xy 213.346529 69.502727)
+ (xy 213.346532 69.502732)
+ (xy 213.349347 69.508209)
+ (xy 213.472028 69.662994)
+ (xy 213.476722 69.666988)
+ (xy 213.476722 69.666989)
+ (xy 213.616118 69.785624)
+ (xy 213.622438 69.791003)
+ (xy 213.627816 69.794009)
+ (xy 213.627818 69.79401)
+ (xy 213.66938 69.817238)
+ (xy 213.794847 69.887359)
+ (xy 213.982688 69.948392)
+ (xy 214.178806 69.971778)
+ (xy 214.184941 69.971306)
+ (xy 214.184943 69.971306)
+ (xy 214.369589 69.957098)
+ (xy 214.369592 69.957097)
+ (xy 214.375732 69.956625)
+ (xy 214.565964 69.903512)
+ (xy 214.742257 69.81446)
+ (xy 214.747152 69.810636)
+ (xy 214.893039 69.696656)
+ (xy 214.897895 69.692862)
+ (xy 214.91646 69.671355)
+ (xy 215.022924 69.548015)
+ (xy 215.026951 69.54335)
+ (xy 215.042039 69.516791)
+ (xy 215.121465 69.376974)
+ (xy 215.121466 69.376973)
+ (xy 215.124508 69.371617)
+ (xy 215.131483 69.350651)
+ (xy 215.167376 69.242751)
+ (xy 215.186851 69.184207)
+ (xy 215.211605 68.988257)
+ (xy 215.212 68.96)
+ (xy 215.192727 68.763435)
+ (xy 215.135641 68.574357)
+ (xy 215.128715 68.561331)
+ (xy 215.04581 68.40541)
+ (xy 215.045808 68.405407)
+ (xy 215.042916 68.399968)
+ (xy 214.918086 68.24691)
+ (xy 214.765903 68.121014)
+ (xy 214.592166 68.027074)
+ (xy 214.403491 67.96867)
+ (xy 214.397366 67.968026)
+ (xy 214.397365 67.968026)
+ (xy 214.213193 67.948669)
+ (xy 214.213192 67.948669)
+ (xy 214.207065 67.948025)
+ (xy 214.086743 67.958975)
+ (xy 214.016511 67.965366)
+ (xy 214.01651 67.965366)
+ (xy 214.01037 67.965925)
+ (xy 213.994853 67.970492)
+ (xy 213.826809 68.01995)
+ (xy 213.826806 68.019951)
+ (xy 213.820898 68.02169)
+ (xy 213.645866 68.113195)
+ (xy 213.641068 68.117053)
+ (xy 213.641066 68.117054)
+ (xy 213.592573 68.156044)
+ (xy 213.491941 68.236954)
+ (xy 213.487982 68.241672)
+ (xy 213.487981 68.241673)
+ (xy 213.482234 68.248522)
+ (xy 213.364985 68.388253)
+ (xy 213.362022 68.393642)
+ (xy 213.362019 68.393647)
+ (xy 213.310661 68.487068)
+ (xy 213.269835 68.561331)
+ (xy 213.210115 68.749593)
+ (xy 213.209429 68.75571)
+ (xy 213.209428 68.755714)
+ (xy 213.195375 68.881002)
+ (xy 213.188099 68.94587)
+ (xy 212.038289 68.94587)
+ (xy 213.62608 67.35808)
+ (xy 213.688392 67.324054)
+ (xy 213.776644 67.337186)
+ (xy 213.789468 67.344353)
+ (xy 213.794847 67.347359)
+ (xy 213.982688 67.408392)
+ (xy 214.178806 67.431778)
+ (xy 214.184941 67.431306)
+ (xy 214.184943 67.431306)
+ (xy 214.369589 67.417098)
+ (xy 214.369592 67.417097)
+ (xy 214.375732 67.416625)
+ (xy 214.565964 67.363512)
+ (xy 214.742257 67.27446)
+ (xy 214.778009 67.246528)
+ (xy 214.893039 67.156656)
+ (xy 214.897895 67.152862)
+ (xy 214.917444 67.130215)
+ (xy 215.009717 67.023316)
+ (xy 215.026951 67.00335)
+ (xy 215.033164 66.992414)
+ (xy 215.121465 66.836974)
+ (xy 215.121466 66.836973)
+ (xy 215.124508 66.831617)
+ (xy 215.128143 66.820692)
+ (xy 215.184904 66.650059)
+ (xy 215.186851 66.644207)
+ (xy 215.211605 66.448257)
+ (xy 215.212 66.42)
+ (xy 215.192727 66.223435)
+ (xy 215.135641 66.034357)
+ (xy 215.115805 65.997051)
+ (xy 215.04581 65.86541)
+ (xy 215.045808 65.865407)
+ (xy 215.042916 65.859968)
+ (xy 214.918086 65.70691)
+ (xy 214.765903 65.581014)
+ (xy 214.592166 65.487074)
+ (xy 214.48206 65.452991)
+ (xy 214.422901 65.41374)
+ (xy 214.394353 65.348736)
+ (xy 214.405481 65.278617)
+ (xy 214.484272 65.211598)
+ (xy 214.683333 65.153954)
+ (xy 214.693259 65.150143)
+ (xy 214.891065 65.054307)
+ (xy 214.900212 65.048876)
+ (xy 215.079041 64.921083)
+ (xy 215.087149 64.914182)
+ (xy 215.241893 64.758082)
+ (xy 215.248706 64.749933)
+ (xy 215.37494 64.569988)
+ (xy 215.380295 64.560787)
+ (xy 215.474399 64.362156)
+ (xy 215.478123 64.352197)
+ (xy 215.535968 64.145718)
+ (xy 215.53443 64.137351)
+ (xy 215.522137 64.134)
+ (xy 212.883403 64.134)
+ (xy 212.870222 64.13787)
+ (xy 212.868255 64.152423)
+ (xy 212.876638 64.204471)
+ (xy 212.879212 64.214793)
+ (xy 212.95023 64.42281)
+ (xy 212.954497 64.432533)
+ (xy 213.059556 64.625625)
+ (xy 213.065402 64.634491)
+ (xy 213.201486 64.807113)
+ (xy 213.20875 64.814875)
+ (xy 213.371967 64.962094)
+ (xy 213.380444 64.968528)
+ (xy 213.566122 65.086136)
+ (xy 213.575567 65.091053)
+ (xy 213.778406 65.175694)
+ (xy 213.788545 65.17895)
+ (xy 213.915264 65.208089)
+ (xy 213.97717 65.242848)
+ (xy 214.010456 65.305558)
+ (xy 214.004554 65.376309)
+ (xy 213.961338 65.432638)
+ (xy 213.922609 65.451755)
+ (xy 213.820898 65.48169)
+ (xy 213.645866 65.573195)
+ (xy 213.641068 65.577053)
+ (xy 213.641066 65.577054)
+ (xy 213.636141 65.581014)
+ (xy 213.491941 65.696954)
+ (xy 213.487982 65.701672)
+ (xy 213.487981 65.701673)
+ (xy 213.384373 65.825147)
+ (xy 213.364985 65.848253)
+ (xy 213.362022 65.853642)
+ (xy 213.362019 65.853647)
+ (xy 213.283183 65.997051)
+ (xy 213.269835 66.021331)
+ (xy 213.210115 66.209593)
+ (xy 213.209429 66.21571)
+ (xy 213.209428 66.215714)
+ (xy 213.190157 66.387522)
+ (xy 213.188099 66.40587)
+ (xy 213.188615 66.412014)
+ (xy 213.200832 66.5575)
+ (xy 213.204626 66.602685)
+ (xy 213.214194 66.636052)
+ (xy 213.252593 66.769966)
+ (xy 213.259066 66.792542)
+ (xy 213.261881 66.798019)
+ (xy 213.261882 66.798022)
+ (xy 213.286398 66.845725)
+ (xy 213.299745 66.915456)
+ (xy 213.263426 66.992414)
+ (xy 211.294478 68.961363)
+ (xy 211.275385 68.977033)
+ (xy 211.274875 68.977374)
+ (xy 211.264384 68.984384)
+ (xy 211.25749 68.994702)
+ (xy 211.257488 68.994704)
+ (xy 211.221452 69.048638)
+ (xy 211.207482 69.069547)
+ (xy 211.192934 69.142685)
+ (xy 211.1875 69.17)
+ (xy 211.189921 69.18217)
+ (xy 211.189921 69.182173)
+ (xy 211.190079 69.182966)
+ (xy 211.1925 69.207548)
+ (xy 211.192501 69.54335)
+ (xy 211.192501 69.841149)
+ (xy 211.155596 69.930244)
+ (xy 208.280246 72.805595)
+ (xy 208.191151 72.8425)
+ (xy 206.15885 72.8425)
+ (xy 206.069755 72.805595)
+ (xy 204.144405 70.880246)
+ (xy 204.1075 70.791151)
+ (xy 204.1075 69.48919)
+ (xy 204.109959 69.465258)
+ (xy 204.109967 69.464367)
+ (xy 204.112491 69.452213)
+ (xy 204.110172 69.440017)
+ (xy 204.107518 69.426058)
+ (xy 204.1075 69.425933)
+ (xy 204.1075 69.424864)
+ (xy 204.102817 69.40132)
+ (xy 204.102631 69.400365)
+ (xy 204.095569 69.363227)
+ (xy 204.095568 69.363225)
+ (xy 204.093356 69.351593)
+ (xy 204.092738 69.350651)
+ (xy 204.092518 69.349546)
+ (xy 204.08593 69.339687)
+ (xy 204.085929 69.339683)
+ (xy 204.064916 69.308234)
+ (xy 204.064448 69.307528)
+ (xy 204.051232 69.287384)
+ (xy 204.05048 69.286619)
+ (xy 204.050401 69.286512)
+ (xy 204.04251 69.274702)
+ (xy 204.035616 69.264384)
+ (xy 204.025298 69.25749)
+ (xy 204.024676 69.256868)
+ (xy 204.006131 69.241516)
+ (xy 203.543594 68.771113)
+ (xy 206.013181 68.771113)
+ (xy 206.015233 68.78885)
+ (xy 206.017192 68.798744)
+ (xy 206.080516 69.022527)
+ (xy 206.084028 69.031971)
+ (xy 206.182317 69.242751)
+ (xy 206.187296 69.251516)
+ (xy 206.318014 69.443861)
+ (xy 206.324346 69.451736)
+ (xy 206.484123 69.620696)
+ (xy 206.491632 69.627457)
+ (xy 206.676375 69.768704)
+ (xy 206.684854 69.774168)
+ (xy 206.814675 69.843777)
+ (xy 206.865258 69.893595)
+ (xy 206.880878 69.962852)
+ (xy 206.856574 70.029559)
+ (xy 206.830523 70.055779)
+ (xy 206.72247 70.136466)
+ (xy 206.578123 70.292619)
+ (xy 206.575042 70.297502)
+ (xy 206.575039 70.297506)
+ (xy 206.483555 70.4425)
+ (xy 206.46465 70.472463)
+ (xy 206.385851 70.669974)
+ (xy 206.36688 70.765349)
+ (xy 206.346747 70.86657)
+ (xy 206.344366 70.878538)
+ (xy 206.34429 70.884313)
+ (xy 206.34429 70.884317)
+ (xy 206.343793 70.922287)
+ (xy 206.341582 71.091169)
+ (xy 206.342561 71.096866)
+ (xy 206.342561 71.096867)
+ (xy 206.351691 71.149999)
+ (xy 206.377594 71.300748)
+ (xy 206.451196 71.500254)
+ (xy 206.454148 71.505215)
+ (xy 206.454148 71.505216)
+ (xy 206.536257 71.643228)
+ (xy 206.559922 71.683006)
+ (xy 206.700132 71.842885)
+ (xy 206.704667 71.84646)
+ (xy 206.704668 71.846461)
+ (xy 206.779568 71.905507)
+ (xy 206.867129 71.974535)
+ (xy 206.872245 71.977226)
+ (xy 206.872247 71.977228)
+ (xy 207.050203 72.070855)
+ (xy 207.055322 72.073548)
+ (xy 207.101002 72.087732)
+ (xy 207.252892 72.134896)
+ (xy 207.252897 72.134897)
+ (xy 207.258407 72.136608)
+ (xy 207.287746 72.140081)
+ (xy 207.427013 72.156564)
+ (xy 207.427019 72.156564)
+ (xy 207.4307 72.157)
+ (xy 207.954414 72.157)
+ (xy 208.040497 72.14909)
+ (xy 208.106004 72.143071)
+ (xy 208.106007 72.14307)
+ (xy 208.111758 72.142542)
+ (xy 208.117315 72.140975)
+ (xy 208.117319 72.140974)
+ (xy 208.310865 72.086388)
+ (xy 208.310867 72.086387)
+ (xy 208.316424 72.08482)
+ (xy 208.3216 72.082268)
+ (xy 208.321604 72.082266)
+ (xy 208.501962 71.993323)
+ (xy 208.507143 71.990768)
+ (xy 208.67753 71.863534)
+ (xy 208.821877 71.707381)
+ (xy 208.824958 71.702498)
+ (xy 208.824961 71.702494)
+ (xy 208.932269 71.53242)
+ (xy 208.93535 71.527537)
+ (xy 209.014149 71.330026)
+ (xy 209.044958 71.175134)
+ (xy 209.054507 71.12713)
+ (xy 209.054507 71.127126)
+ (xy 209.055634 71.121462)
+ (xy 209.055752 71.1125)
+ (xy 209.05755 70.975133)
+ (xy 209.058418 70.908831)
+ (xy 209.052977 70.877163)
+ (xy 209.023385 70.704949)
+ (xy 209.023385 70.704948)
+ (xy 209.022406 70.699252)
+ (xy 208.948804 70.499746)
+ (xy 208.941816 70.488)
+ (xy 208.843034 70.321962)
+ (xy 208.843032 70.321959)
+ (xy 208.840078 70.316994)
+ (xy 208.699868 70.157115)
+ (xy 208.695332 70.153539)
+ (xy 208.571613 70.056006)
+ (xy 208.5305 69.998124)
+ (xy 208.527206 69.927204)
+ (xy 208.562778 69.865762)
+ (xy 208.592423 69.846306)
+ (xy 208.591819 69.845151)
+ (xy 208.605251 69.838128)
+ (xy 208.802037 69.714206)
+ (xy 208.810118 69.708161)
+ (xy 208.984565 69.554365)
+ (xy 208.991576 69.547104)
+ (xy 209.139188 69.3674)
+ (xy 209.144941 69.359122)
+ (xy 209.261931 69.158114)
+ (xy 209.266286 69.149023)
+ (xy 209.349629 68.931907)
+ (xy 209.352477 68.92223)
+ (xy 209.383917 68.771736)
+ (xy 209.382905 68.759066)
+ (xy 209.367472 68.754)
+ (xy 207.954002 68.753999)
+ (xy 207.953996 68.754)
+ (xy 206.029316 68.753999)
+ (xy 206.01523 68.758135)
+ (xy 206.013181 68.771113)
+ (xy 203.543594 68.771113)
+ (xy 203.009822 68.228264)
+ (xy 206.016083 68.228264)
+ (xy 206.017095 68.240934)
+ (xy 206.032528 68.246)
+ (xy 207.445998 68.246001)
+ (xy 207.446004 68.246)
+ (xy 209.370684 68.246001)
+ (xy 209.38477 68.241865)
+ (xy 209.386819 68.228887)
+ (xy 209.384767 68.21115)
+ (xy 209.382808 68.201256)
+ (xy 209.319484 67.977473)
+ (xy 209.315972 67.968029)
+ (xy 209.217683 67.757249)
+ (xy 209.212704 67.748484)
+ (xy 209.081986 67.556139)
+ (xy 209.075654 67.548264)
+ (xy 208.915877 67.379304)
+ (xy 208.908368 67.372543)
+ (xy 208.723625 67.231296)
+ (xy 208.715146 67.225832)
+ (xy 208.585325 67.156223)
+ (xy 208.534742 67.106405)
+ (xy 208.519122 67.037148)
+ (xy 208.543426 66.970441)
+ (xy 208.569477 66.944221)
+ (xy 208.630675 66.898522)
+ (xy 208.67753 66.863534)
+ (xy 208.821877 66.707381)
+ (xy 208.824958 66.702498)
+ (xy 208.824961 66.702494)
+ (xy 208.932269 66.53242)
+ (xy 208.93535 66.527537)
+ (xy 209.014149 66.330026)
+ (xy 209.045203 66.173905)
+ (xy 209.054507 66.12713)
+ (xy 209.054507 66.127126)
+ (xy 209.055634 66.121462)
+ (xy 209.055819 66.107393)
+ (xy 209.058342 65.914613)
+ (xy 209.058418 65.908831)
+ (xy 209.052977 65.877163)
+ (xy 209.023385 65.704949)
+ (xy 209.023385 65.704948)
+ (xy 209.022406 65.699252)
+ (xy 208.948804 65.499746)
+ (xy 208.908879 65.432638)
+ (xy 208.843034 65.321962)
+ (xy 208.843032 65.321959)
+ (xy 208.840078 65.316994)
+ (xy 208.699868 65.157115)
+ (xy 208.695332 65.153539)
+ (xy 208.53741 65.029043)
+ (xy 208.537408 65.029042)
+ (xy 208.532871 65.025465)
+ (xy 208.527755 65.022774)
+ (xy 208.527753 65.022772)
+ (xy 208.349797 64.929145)
+ (xy 208.349795 64.929144)
+ (xy 208.344678 64.926452)
+ (xy 208.269199 64.903015)
+ (xy 208.147108 64.865104)
+ (xy 208.147103 64.865103)
+ (xy 208.141593 64.863392)
+ (xy 208.112254 64.859919)
+ (xy 207.972987 64.843436)
+ (xy 207.972981 64.843436)
+ (xy 207.9693 64.843)
+ (xy 207.445586 64.843)
+ (xy 207.359503 64.85091)
+ (xy 207.293996 64.856929)
+ (xy 207.293993 64.85693)
+ (xy 207.288242 64.857458)
+ (xy 207.282685 64.859025)
+ (xy 207.282681 64.859026)
+ (xy 207.089135 64.913612)
+ (xy 207.089133 64.913613)
+ (xy 207.083576 64.91518)
+ (xy 207.0784 64.917732)
+ (xy 207.078396 64.917734)
+ (xy 206.956102 64.978043)
+ (xy 206.892857 65.009232)
+ (xy 206.72247 65.136466)
+ (xy 206.578123 65.292619)
+ (xy 206.575042 65.297502)
+ (xy 206.575039 65.297506)
+ (xy 206.495188 65.424063)
+ (xy 206.46465 65.472463)
+ (xy 206.46251 65.477827)
+ (xy 206.412052 65.604302)
+ (xy 206.385851 65.669974)
+ (xy 206.379285 65.702985)
+ (xy 206.34641 65.868263)
+ (xy 206.344366 65.878538)
+ (xy 206.34429 65.884313)
+ (xy 206.34429 65.884317)
+ (xy 206.343254 65.963488)
+ (xy 206.341582 66.091169)
+ (xy 206.342561 66.096866)
+ (xy 206.342561 66.096867)
+ (xy 206.360922 66.20372)
+ (xy 206.377594 66.300748)
+ (xy 206.451196 66.500254)
+ (xy 206.454148 66.505215)
+ (xy 206.454148 66.505216)
+ (xy 206.540321 66.650059)
+ (xy 206.559922 66.683006)
+ (xy 206.700132 66.842885)
+ (xy 206.704667 66.84646)
+ (xy 206.704668 66.846461)
+ (xy 206.828387 66.943994)
+ (xy 206.8695 67.001876)
+ (xy 206.872794 67.072796)
+ (xy 206.837222 67.134238)
+ (xy 206.807577 67.153694)
+ (xy 206.808181 67.154849)
+ (xy 206.794749 67.161872)
+ (xy 206.597963 67.285794)
+ (xy 206.589882 67.291839)
+ (xy 206.415435 67.445635)
+ (xy 206.408424 67.452896)
+ (xy 206.260812 67.6326)
+ (xy 206.255059 67.640878)
+ (xy 206.138069 67.841886)
+ (xy 206.133714 67.850977)
+ (xy 206.050371 68.068093)
+ (xy 206.047523 68.07777)
+ (xy 206.016083 68.228264)
+ (xy 203.009822 68.228264)
+ (xy 202.626149 67.838068)
+ (xy 202.611228 67.819731)
+ (xy 202.609871 67.8177)
+ (xy 202.609869 67.817698)
+ (xy 202.602977 67.807383)
+ (xy 202.592662 67.800491)
+ (xy 202.59266 67.800489)
+ (xy 202.561659 67.779775)
+ (xy 202.56078 67.779182)
+ (xy 202.52968 67.758021)
+ (xy 202.529678 67.75802)
+ (xy 202.519856 67.751337)
+ (xy 202.518753 67.751108)
+ (xy 202.517815 67.750481)
+ (xy 202.506174 67.748166)
+ (xy 202.506173 67.748165)
+ (xy 202.484325 67.74382)
+ (xy 202.469145 67.7408)
+ (xy 202.468274 67.740624)
+ (xy 202.419574 67.730508)
+ (xy 202.406302 67.733032)
+ (xy 202.404992 67.733281)
+ (xy 202.381453 67.735499)
+ (xy 201.530546 67.735499)
+ (xy 201.505964 67.733078)
+ (xy 201.50517 67.73292)
+ (xy 201.505168 67.73292)
+ (xy 201.492998 67.730499)
+ (xy 201.479013 67.733281)
+ (xy 201.467863 67.735499)
+ (xy 201.404715 67.74806)
+ (xy 201.404714 67.74806)
+ (xy 201.392545 67.750481)
+ (xy 201.307383 67.807383)
+ (xy 201.300491 67.817698)
+ (xy 201.300489 67.8177)
+ (xy 201.300036 67.818378)
+ (xy 201.284367 67.837471)
+ (xy 201.196144 67.925695)
+ (xy 201.123245 67.998594)
+ (xy 201.034149 68.035499)
+ (xy 190.794876 68.035499)
+ (xy 190.770294 68.033078)
+ (xy 190.7695 68.03292)
+ (xy 190.769498 68.03292)
+ (xy 190.757328 68.030499)
+ (xy 190.732193 68.035499)
+ (xy 190.732192 68.035499)
+ (xy 190.656874 68.050481)
+ (xy 190.571712 68.107383)
+ (xy 190.56482 68.117698)
+ (xy 190.564818 68.1177)
+ (xy 190.564363 68.118381)
+ (xy 190.548693 68.137474)
+ (xy 188.744477 69.941691)
+ (xy 188.725384 69.957361)
+ (xy 188.724874 69.957702)
+ (xy 188.714383 69.964712)
+ (xy 188.659147 70.047381)
+ (xy 188.604675 70.092907)
+ (xy 188.563284 70.103063)
+ (xy 188.538341 70.104828)
+ (xy 188.50851 70.10694)
+ (xy 188.508507 70.106941)
+ (xy 188.499109 70.107606)
+ (xy 188.37085 70.157226)
+ (xy 188.262852 70.242365)
+ (xy 188.184662 70.355496)
+ (xy 188.143194 70.486617)
+ (xy 188.142114 70.624135)
+ (xy 188.144814 70.633163)
+ (xy 188.163718 70.696377)
+ (xy 188.164073 70.767373)
+ (xy 188.125988 70.82729)
+ (xy 188.043001 70.858478)
+ (xy 185.521569 70.858478)
+ (xy 185.496987 70.856057)
+ (xy 185.496193 70.855899)
+ (xy 185.496191 70.855899)
+ (xy 185.484021 70.853478)
+ (xy 185.471851 70.855899)
+ (xy 185.47185 70.855899)
+ (xy 185.471056 70.856057)
+ (xy 185.464913 70.857279)
+ (xy 185.41188 70.856447)
+ (xy 185.336287 70.838926)
+ (xy 185.326891 70.839591)
+ (xy 185.326888 70.839591)
+ (xy 185.208511 70.847972)
+ (xy 185.208509 70.847973)
+ (xy 185.199109 70.848638)
+ (xy 185.07085 70.898258)
+ (xy 184.962852 70.983397)
+ (xy 184.884662 71.096528)
+ (xy 184.881823 71.105505)
+ (xy 184.881822 71.105507)
+ (xy 184.860765 71.172091)
+ (xy 184.843194 71.227649)
+ (xy 184.842114 71.365167)
+ (xy 184.844813 71.374191)
+ (xy 184.844813 71.374193)
+ (xy 184.85934 71.422768)
+ (xy 184.881517 71.496923)
+ (xy 184.886747 71.504751)
+ (xy 184.888352 71.508161)
+ (xy 184.899257 71.578316)
+ (xy 184.870502 71.643228)
+ (xy 184.819809 71.679317)
+ (xy 184.77085 71.698258)
+ (xy 184.662852 71.783397)
+ (xy 184.584662 71.896528)
+ (xy 184.543194 72.027649)
+ (xy 184.542114 72.165167)
+ (xy 184.544813 72.174191)
+ (xy 184.544813 72.174193)
+ (xy 184.567513 72.250095)
+ (xy 184.581517 72.296923)
+ (xy 184.638368 72.382005)
+ (xy 184.659583 72.449758)
+ (xy 184.6408 72.518225)
+ (xy 184.587984 72.565669)
+ (xy 184.533603 72.578008)
+ (xy 176.888693 72.578008)
+ (xy 176.867662 72.57577)
+ (xy 176.864109 72.575602)
+ (xy 176.85393 72.573411)
+ (xy 176.82315 72.577054)
+ (xy 176.822466 72.577135)
+ (xy 176.817183 72.577446)
+ (xy 176.817194 72.57758)
+ (xy 176.812018 72.578008)
+ (xy 176.806814 72.578008)
+ (xy 176.795233 72.579935)
+ (xy 176.789388 72.580908)
+ (xy 176.783517 72.581745)
+ (xy 176.73572 72.587402)
+ (xy 176.727945 72.591135)
+ (xy 176.719434 72.592552)
+ (xy 176.71027 72.597497)
+ (xy 176.710269 72.597497)
+ (xy 176.677084 72.615403)
+ (xy 176.671792 72.6181)
+ (xy 176.628414 72.638929)
+ (xy 176.624884 72.641897)
+ (xy 176.622948 72.643833)
+ (xy 176.620964 72.645653)
+ (xy 176.620857 72.645536)
+ (xy 176.620257 72.646065)
+ (xy 176.614675 72.649077)
+ (xy 176.607607 72.656723)
+ (xy 176.579306 72.687339)
+ (xy 176.575876 72.690905)
+ (xy 176.404185 72.862596)
+ (xy 176.31509 72.899501)
+ (xy 176.084911 72.899501)
+ (xy 175.995816 72.862596)
+ (xy 174.394405 71.261186)
+ (xy 174.3575 71.172091)
+ (xy 174.3575 69.883083)
+ (xy 174.378687 69.816087)
+ (xy 174.378394 69.81594)
+ (xy 174.415145 69.74239)
+ (xy 174.435653 69.701348)
+ (xy 174.435653 69.701347)
+ (xy 174.439864 69.69292)
+ (xy 174.462443 69.557265)
+ (xy 174.4625 69.55)
+ (xy 174.450035 69.467089)
+ (xy 174.443454 69.423316)
+ (xy 174.443453 69.423314)
+ (xy 174.442054 69.414006)
+ (xy 174.398877 69.32409)
+ (xy 174.3866 69.298522)
+ (xy 174.3866 69.298521)
+ (xy 174.382525 69.290036)
+ (xy 174.363104 69.269026)
+ (xy 174.331552 69.205429)
+ (xy 174.339393 69.134867)
+ (xy 174.384137 69.079745)
+ (xy 174.455629 69.057499)
+ (xy 176.709777 69.057499)
+ (xy 176.798873 69.094404)
+ (xy 176.862295 69.157827)
+ (xy 176.924007 69.219539)
+ (xy 176.939676 69.238632)
+ (xy 176.940129 69.23931)
+ (xy 176.940131 69.239312)
+ (xy 176.947023 69.249627)
+ (xy 176.957338 69.256519)
+ (xy 177.011189 69.2925)
+ (xy 177.032185 69.306529)
+ (xy 177.044356 69.30895)
+ (xy 177.107502 69.321511)
+ (xy 177.120467 69.32409)
+ (xy 177.120468 69.32409)
+ (xy 177.132638 69.326511)
+ (xy 177.144808 69.32409)
+ (xy 177.14481 69.32409)
+ (xy 177.145604 69.323932)
+ (xy 177.170186 69.321511)
+ (xy 177.698441 69.321511)
+ (xy 177.723023 69.323932)
+ (xy 177.723817 69.32409)
+ (xy 177.723819 69.32409)
+ (xy 177.735989 69.326511)
+ (xy 177.748159 69.32409)
+ (xy 177.748954 69.323932)
+ (xy 177.761124 69.321511)
+ (xy 177.761125 69.321511)
+ (xy 177.836443 69.306529)
+ (xy 177.852249 69.295968)
+ (xy 177.911286 69.256522)
+ (xy 177.911287 69.256521)
+ (xy 177.921605 69.249627)
+ (xy 177.92895 69.238634)
+ (xy 177.944621 69.219538)
+ (xy 178.171155 68.993005)
+ (xy 178.233467 68.95898)
+ (xy 178.271121 68.956571)
+ (xy 178.31966 68.960775)
+ (xy 178.319663 68.960775)
+ (xy 178.329041 68.961587)
+ (xy 178.338242 68.959606)
+ (xy 178.338244 68.959606)
+ (xy 178.454278 68.934625)
+ (xy 178.454281 68.934624)
+ (xy 178.463482 68.932643)
+ (xy 178.471694 68.928044)
+ (xy 178.471697 68.928043)
+ (xy 178.575254 68.870048)
+ (xy 178.58347 68.865447)
+ (xy 178.678394 68.76594)
+ (xy 178.722888 68.676894)
+ (xy 178.735653 68.651348)
+ (xy 178.735653 68.651347)
+ (xy 178.739864 68.64292)
+ (xy 178.746353 68.603937)
+ (xy 178.76163 68.512149)
+ (xy 178.762443 68.507265)
+ (xy 178.7625 68.5)
+ (xy 178.746874 68.396063)
+ (xy 178.743454 68.373316)
+ (xy 178.743453 68.373314)
+ (xy 178.742054 68.364006)
+ (xy 178.682525 68.240036)
+ (xy 178.589175 68.139051)
+ (xy 178.581031 68.13432)
+ (xy 178.581029 68.134319)
+ (xy 178.478399 68.074706)
+ (xy 178.478395 68.074704)
+ (xy 178.470258 68.069978)
+ (xy 178.415884 68.057375)
+ (xy 178.345465 68.041053)
+ (xy 178.345463 68.041053)
+ (xy 178.336287 68.038926)
+ (xy 178.326891 68.039591)
+ (xy 178.326888 68.039591)
+ (xy 178.208511 68.047972)
+ (xy 178.208509 68.047973)
+ (xy 178.199109 68.048638)
+ (xy 178.07085 68.098258)
+ (xy 177.962852 68.183397)
+ (xy 177.9575 68.191141)
+ (xy 177.957497 68.191144)
+ (xy 177.949956 68.202056)
+ (xy 177.894771 68.246724)
+ (xy 177.824198 68.254465)
+ (xy 177.753779 68.215949)
+ (xy 177.713248 68.172103)
+ (xy 177.681697 68.108505)
+ (xy 177.689538 68.037943)
+ (xy 177.716679 67.99748)
+ (xy 177.862999 67.851161)
+ (xy 178.071155 67.643005)
+ (xy 178.133467 67.60898)
+ (xy 178.171121 67.606571)
+ (xy 178.21966 67.610775)
+ (xy 178.219663 67.610775)
+ (xy 178.229041 67.611587)
+ (xy 178.238242 67.609606)
+ (xy 178.238244 67.609606)
+ (xy 178.354278 67.584625)
+ (xy 178.354281 67.584624)
+ (xy 178.363482 67.582643)
+ (xy 178.371694 67.578044)
+ (xy 178.371697 67.578043)
+ (xy 178.475254 67.520048)
+ (xy 178.48347 67.515447)
+ (xy 178.578394 67.41594)
+ (xy 178.624307 67.324054)
+ (xy 178.635653 67.301348)
+ (xy 178.635653 67.301347)
+ (xy 178.639864 67.29292)
+ (xy 178.662443 67.157265)
+ (xy 178.6625 67.15)
+ (xy 178.647204 67.048258)
+ (xy 178.643454 67.023316)
+ (xy 178.643453 67.023314)
+ (xy 178.642054 67.014006)
+ (xy 178.582525 66.890036)
+ (xy 178.489175 66.789051)
+ (xy 178.481031 66.78432)
+ (xy 178.481029 66.784319)
+ (xy 178.378399 66.724706)
+ (xy 178.378395 66.724704)
+ (xy 178.370258 66.719978)
+ (xy 178.325023 66.709493)
+ (xy 178.245465 66.691053)
+ (xy 178.245463 66.691053)
+ (xy 178.236287 66.688926)
+ (xy 178.226891 66.689591)
+ (xy 178.226888 66.689591)
+ (xy 178.108511 66.697972)
+ (xy 178.108509 66.697973)
+ (xy 178.099109 66.698638)
+ (xy 177.97085 66.748258)
+ (xy 177.862852 66.833397)
+ (xy 177.784662 66.946528)
+ (xy 177.781823 66.955505)
+ (xy 177.781822 66.955507)
+ (xy 177.762105 67.017852)
+ (xy 177.743194 67.077649)
+ (xy 177.74312 67.08707)
+ (xy 177.742293 67.192344)
+ (xy 177.705392 67.280449)
+ (xy 177.330246 67.655595)
+ (xy 177.241151 67.6925)
+ (xy 163.733115 67.6925)
+ (xy 163.64402 67.655596)
+ (xy 163.282896 67.294473)
+ (xy 163.267225 67.275377)
+ (xy 163.266775 67.274704)
+ (xy 163.25988 67.264384)
+ (xy 163.246595 67.255507)
+ (xy 163.185036 67.214376)
+ (xy 163.174718 67.207482)
+ (xy 163.0994 67.1925)
+ (xy 163.099399 67.1925)
+ (xy 163.074264 67.1875)
+ (xy 163.074883 67.184388)
+ (xy 162.995008 67.149451)
+ (xy 162.939175 67.089051)
+ (xy 162.931031 67.08432)
+ (xy 162.931029 67.084319)
+ (xy 162.828399 67.024706)
+ (xy 162.828395 67.024704)
+ (xy 162.820258 67.019978)
+ (xy 162.768645 67.008015)
+ (xy 162.695465 66.991053)
+ (xy 162.695463 66.991053)
+ (xy 162.686287 66.988926)
+ (xy 162.676891 66.989591)
+ (xy 162.676888 66.989591)
+ (xy 162.558511 66.997972)
+ (xy 162.558509 66.997973)
+ (xy 162.549109 66.998638)
+ (xy 162.42085 67.048258)
+ (xy 162.312852 67.133397)
+ (xy 162.234662 67.246528)
+ (xy 162.231823 67.255505)
+ (xy 162.231822 67.255507)
+ (xy 162.218192 67.298605)
+ (xy 162.193194 67.377649)
+ (xy 162.192114 67.515167)
+ (xy 162.231517 67.646923)
+ (xy 162.24514 67.667311)
+ (xy 162.302662 67.753397)
+ (xy 162.307921 67.761268)
+ (xy 162.315222 67.767212)
+ (xy 162.315223 67.767213)
+ (xy 162.330653 67.779775)
+ (xy 162.413191 67.846972)
+ (xy 162.413193 67.846974)
+ (xy 162.414568 67.848093)
+ (xy 162.414414 67.848282)
+ (xy 162.458893 67.895919)
+ (xy 162.471597 67.96577)
+ (xy 162.44452 68.031401)
+ (xy 162.386259 68.071974)
+ (xy 162.3463 68.078478)
+ (xy 161.296682 68.078478)
+ (xy 161.2721 68.076057)
+ (xy 161.271306 68.075899)
+ (xy 161.271304 68.075899)
+ (xy 161.259134 68.073478)
+ (xy 161.233999 68.078478)
+ (xy 161.233998 68.078478)
+ (xy 161.15868 68.09346)
+ (xy 161.073518 68.150362)
+ (xy 161.066626 68.160677)
+ (xy 161.066624 68.160679)
+ (xy 161.066169 68.16136)
+ (xy 161.050499 68.180453)
+ (xy 157.749145 71.481808)
+ (xy 154.475358 74.755595)
+ (xy 154.386263 74.7925)
+ (xy 148.413739 74.7925)
+ (xy 148.324644 74.755596)
+ (xy 148.119405 74.550358)
+ (xy 148.0825 74.461262)
+ (xy 148.0825 73.40587)
+ (xy 149.188099 73.40587)
+ (xy 149.188615 73.412014)
+ (xy 149.204092 73.59632)
+ (xy 149.204626 73.602685)
+ (xy 149.211784 73.627649)
+ (xy 149.253805 73.774193)
+ (xy 149.259066 73.792542)
+ (xy 149.261885 73.798027)
+ (xy 149.346529 73.962727)
+ (xy 149.346532 73.962732)
+ (xy 149.349347 73.968209)
+ (xy 149.472028 74.122994)
+ (xy 149.476722 74.126988)
+ (xy 149.476722 74.126989)
+ (xy 149.567317 74.204091)
+ (xy 149.622438 74.251003)
+ (xy 149.627816 74.254009)
+ (xy 149.627818 74.25401)
+ (xy 149.644176 74.263152)
+ (xy 149.794847 74.347359)
+ (xy 149.982688 74.408392)
+ (xy 150.178806 74.431778)
+ (xy 150.184941 74.431306)
+ (xy 150.184943 74.431306)
+ (xy 150.369589 74.417098)
+ (xy 150.369592 74.417097)
+ (xy 150.375732 74.416625)
+ (xy 150.565964 74.363512)
+ (xy 150.742257 74.27446)
+ (xy 150.777392 74.24701)
+ (xy 150.893039 74.156656)
+ (xy 150.897895 74.152862)
+ (xy 150.906296 74.14313)
+ (xy 150.942807 74.100831)
+ (xy 151.026951 74.00335)
+ (xy 151.030861 73.996468)
+ (xy 151.121465 73.836974)
+ (xy 151.121466 73.836973)
+ (xy 151.124508 73.831617)
+ (xy 151.130025 73.815034)
+ (xy 151.184904 73.650059)
+ (xy 151.186851 73.644207)
+ (xy 151.211605 73.448257)
+ (xy 151.211875 73.428946)
+ (xy 151.211951 73.423522)
+ (xy 151.211951 73.423518)
+ (xy 151.212 73.42)
+ (xy 151.192727 73.223435)
+ (xy 151.135641 73.034357)
+ (xy 151.107083 72.980647)
+ (xy 151.04581 72.86541)
+ (xy 151.045808 72.865407)
+ (xy 151.042916 72.859968)
+ (xy 150.918086 72.70691)
+ (xy 150.765903 72.581014)
+ (xy 150.592166 72.487074)
+ (xy 150.403491 72.42867)
+ (xy 150.397366 72.428026)
+ (xy 150.397365 72.428026)
+ (xy 150.213193 72.408669)
+ (xy 150.213192 72.408669)
+ (xy 150.207065 72.408025)
+ (xy 150.086743 72.418975)
+ (xy 150.016511 72.425366)
+ (xy 150.01651 72.425366)
+ (xy 150.01037 72.425925)
+ (xy 149.994853 72.430492)
+ (xy 149.826809 72.47995)
+ (xy 149.826806 72.479951)
+ (xy 149.820898 72.48169)
+ (xy 149.645866 72.573195)
+ (xy 149.641068 72.577053)
+ (xy 149.641066 72.577054)
+ (xy 149.61564 72.597497)
+ (xy 149.491941 72.696954)
+ (xy 149.487982 72.701672)
+ (xy 149.487981 72.701673)
+ (xy 149.370232 72.842)
+ (xy 149.364985 72.848253)
+ (xy 149.362022 72.853642)
+ (xy 149.362019 72.853647)
+ (xy 149.336811 72.899501)
+ (xy 149.269835 73.021331)
+ (xy 149.210115 73.209593)
+ (xy 149.209429 73.21571)
+ (xy 149.209428 73.215714)
+ (xy 149.193253 73.359921)
+ (xy 149.188099 73.40587)
+ (xy 148.0825 73.40587)
+ (xy 148.0825 72.249366)
+ (xy 148.102502 72.181245)
+ (xy 148.188789 72.124917)
+ (xy 148.22616 72.118998)
+ (xy 148.226161 72.118998)
+ (xy 148.235952 72.117447)
+ (xy 148.34732 72.060702)
+ (xy 148.435702 71.97232)
+ (xy 148.492447 71.860952)
+ (xy 148.512 71.7375)
+ (xy 148.512 71.2625)
+ (xy 148.888 71.2625)
+ (xy 148.888 71.7375)
+ (xy 148.907553 71.860952)
+ (xy 148.964298 71.97232)
+ (xy 149.05268 72.060702)
+ (xy 149.164048 72.117447)
+ (xy 149.173838 72.118998)
+ (xy 149.173839 72.118998)
+ (xy 149.210147 72.124748)
+ (xy 149.2875 72.137)
+ (xy 149.8625 72.137)
+ (xy 149.939853 72.124748)
+ (xy 149.976161 72.118998)
+ (xy 149.976162 72.118998)
+ (xy 149.985952 72.117447)
+ (xy 150.09732 72.060702)
+ (xy 150.185702 71.97232)
+ (xy 150.242447 71.860952)
+ (xy 150.262 71.7375)
+ (xy 150.262 71.6835)
+ (xy 150.282002 71.615379)
+ (xy 150.335658 71.568886)
+ (xy 150.388 71.5575)
+ (xy 151.413603 71.5575)
+ (xy 151.481724 71.577502)
+ (xy 151.521888 71.619077)
+ (xy 151.549476 71.665447)
+ (xy 151.559922 71.683006)
+ (xy 151.700132 71.842885)
+ (xy 151.704667 71.84646)
+ (xy 151.704668 71.846461)
+ (xy 151.779568 71.905507)
+ (xy 151.867129 71.974535)
+ (xy 151.872245 71.977226)
+ (xy 151.872247 71.977228)
+ (xy 152.050203 72.070855)
+ (xy 152.055322 72.073548)
+ (xy 152.101002 72.087732)
+ (xy 152.252892 72.134896)
+ (xy 152.252897 72.134897)
+ (xy 152.258407 72.136608)
+ (xy 152.287746 72.140081)
+ (xy 152.427013 72.156564)
+ (xy 152.427019 72.156564)
+ (xy 152.4307 72.157)
+ (xy 152.954414 72.157)
+ (xy 153.040497 72.14909)
+ (xy 153.106004 72.143071)
+ (xy 153.106007 72.14307)
+ (xy 153.111758 72.142542)
+ (xy 153.117315 72.140975)
+ (xy 153.117319 72.140974)
+ (xy 153.310865 72.086388)
+ (xy 153.310867 72.086387)
+ (xy 153.316424 72.08482)
+ (xy 153.3216 72.082268)
+ (xy 153.321604 72.082266)
+ (xy 153.501962 71.993323)
+ (xy 153.507143 71.990768)
+ (xy 153.67753 71.863534)
+ (xy 153.821877 71.707381)
+ (xy 153.824958 71.702498)
+ (xy 153.824961 71.702494)
+ (xy 153.932269 71.53242)
+ (xy 153.93535 71.527537)
+ (xy 154.014149 71.330026)
+ (xy 154.044958 71.175134)
+ (xy 154.054507 71.12713)
+ (xy 154.054507 71.127126)
+ (xy 154.055634 71.121462)
+ (xy 154.055752 71.1125)
+ (xy 154.05755 70.975133)
+ (xy 154.058418 70.908831)
+ (xy 154.052977 70.877163)
+ (xy 154.023385 70.704949)
+ (xy 154.023385 70.704948)
+ (xy 154.022406 70.699252)
+ (xy 153.948804 70.499746)
+ (xy 153.941816 70.488)
+ (xy 153.843034 70.321962)
+ (xy 153.843032 70.321959)
+ (xy 153.840078 70.316994)
+ (xy 153.699868 70.157115)
+ (xy 153.695332 70.153539)
+ (xy 153.571613 70.056006)
+ (xy 153.5305 69.998124)
+ (xy 153.527206 69.927204)
+ (xy 153.562778 69.865762)
+ (xy 153.592423 69.846306)
+ (xy 153.591819 69.845151)
+ (xy 153.605251 69.838128)
+ (xy 153.802037 69.714206)
+ (xy 153.810118 69.708161)
+ (xy 153.984565 69.554365)
+ (xy 153.991576 69.547104)
+ (xy 154.139188 69.3674)
+ (xy 154.144941 69.359122)
+ (xy 154.261931 69.158114)
+ (xy 154.266286 69.149023)
+ (xy 154.349629 68.931907)
+ (xy 154.352477 68.92223)
+ (xy 154.383917 68.771736)
+ (xy 154.382905 68.759066)
+ (xy 154.367472 68.754)
+ (xy 152.954002 68.753999)
+ (xy 152.953996 68.754)
+ (xy 151.029316 68.753999)
+ (xy 151.01523 68.758135)
+ (xy 151.013181 68.771113)
+ (xy 151.015233 68.78885)
+ (xy 151.017192 68.798744)
+ (xy 151.080516 69.022527)
+ (xy 151.084028 69.031971)
+ (xy 151.182317 69.242751)
+ (xy 151.187296 69.251516)
+ (xy 151.318014 69.443861)
+ (xy 151.324346 69.451736)
+ (xy 151.484123 69.620696)
+ (xy 151.491632 69.627457)
+ (xy 151.676375 69.768704)
+ (xy 151.684854 69.774168)
+ (xy 151.814675 69.843777)
+ (xy 151.865258 69.893595)
+ (xy 151.880878 69.962852)
+ (xy 151.856574 70.029559)
+ (xy 151.830523 70.055779)
+ (xy 151.72247 70.136466)
+ (xy 151.578123 70.292619)
+ (xy 151.520632 70.383737)
+ (xy 151.467366 70.430674)
+ (xy 151.414071 70.4425)
+ (xy 150.518648 70.4425)
+ (xy 150.450527 70.422498)
+ (xy 150.404034 70.368842)
+ (xy 150.39393 70.298568)
+ (xy 150.42865 70.231655)
+ (xy 150.427359 70.230572)
+ (xy 150.441452 70.213776)
+ (xy 150.529137 70.080458)
+ (xy 150.535681 70.067427)
+ (xy 150.590261 69.91747)
+ (xy 150.593621 69.903293)
+ (xy 150.608968 69.771996)
+ (xy 150.606498 69.757546)
+ (xy 150.593804 69.754)
+ (xy 149.847115 69.754)
+ (xy 149.831876 69.758475)
+ (xy 149.830671 69.759865)
+ (xy 149.829 69.767548)
+ (xy 149.829 70.422003)
+ (xy 149.808998 70.490124)
+ (xy 149.782296 70.519922)
+ (xy 149.77168 70.528519)
+ (xy 149.753083 70.541015)
+ (xy 149.739623 70.548415)
+ (xy 149.728935 70.557641)
+ (xy 149.727298 70.559278)
+ (xy 149.704736 70.581841)
+ (xy 149.694932 70.590668)
+ (xy 149.664955 70.614942)
+ (xy 149.65998 70.621943)
+ (xy 149.654678 70.629403)
+ (xy 149.641068 70.645508)
+ (xy 149.460482 70.826095)
+ (xy 149.371386 70.863)
+ (xy 149.2875 70.863)
+ (xy 149.225184 70.87287)
+ (xy 149.173839 70.881002)
+ (xy 149.173838 70.881002)
+ (xy 149.164048 70.882553)
+ (xy 149.05268 70.939298)
+ (xy 148.964298 71.02768)
+ (xy 148.907553 71.139048)
+ (xy 148.906002 71.148838)
+ (xy 148.906002 71.148839)
+ (xy 148.900252 71.185147)
+ (xy 148.888 71.2625)
+ (xy 148.512 71.2625)
+ (xy 148.499748 71.185147)
+ (xy 148.493998 71.148839)
+ (xy 148.493998 71.148838)
+ (xy 148.492447 71.139048)
+ (xy 148.435702 71.02768)
+ (xy 148.419405 71.011383)
+ (xy 148.3825 70.922288)
+ (xy 148.3825 70.131083)
+ (xy 148.402502 70.062962)
+ (xy 148.456158 70.016469)
+ (xy 148.526432 70.006365)
+ (xy 148.591012 70.035859)
+ (xy 148.617619 70.068083)
+ (xy 148.681835 70.179308)
+ (xy 148.690546 70.191009)
+ (xy 148.80005 70.307077)
+ (xy 148.811224 70.316452)
+ (xy 148.944542 70.404137)
+ (xy 148.957573 70.410681)
+ (xy 149.10753 70.465261)
+ (xy 149.121708 70.468621)
+ (xy 149.283856 70.487574)
+ (xy 149.291169 70.488)
+ (xy 149.302885 70.488)
+ (xy 149.318124 70.483525)
+ (xy 149.319329 70.482135)
+ (xy 149.321 70.474452)
+ (xy 149.321 68.512)
+ (xy 149.322202 68.512)
+ (xy 149.321345 68.488)
+ (xy 149.827798 68.488)
+ (xy 149.828655 68.512)
+ (xy 149.829 68.512)
+ (xy 149.829 68.521666)
+ (xy 149.829119 68.524998)
+ (xy 149.829 68.525545)
+ (xy 149.829 69.227885)
+ (xy 149.833475 69.243124)
+ (xy 149.834865 69.244329)
+ (xy 149.842548 69.246)
+ (xy 150.593441 69.246)
+ (xy 150.608069 69.241705)
+ (xy 150.610132 69.229728)
+ (xy 150.602157 69.138565)
+ (xy 150.599759 69.126034)
+ (xy 150.553727 68.972276)
+ (xy 150.547949 68.958882)
+ (xy 150.468165 68.820692)
+ (xy 150.459454 68.808991)
+ (xy 150.34995 68.692923)
+ (xy 150.338779 68.683551)
+ (xy 150.217733 68.603937)
+ (xy 150.171811 68.549793)
+ (xy 150.162451 68.479416)
+ (xy 150.192626 68.415151)
+ (xy 150.223972 68.389547)
+ (xy 150.304308 68.343165)
+ (xy 150.316009 68.334454)
+ (xy 150.432077 68.22495)
+ (xy 150.441452 68.213776)
+ (xy 150.529137 68.080458)
+ (xy 150.535681 68.067427)
+ (xy 150.590261 67.91747)
+ (xy 150.593621 67.903293)
+ (xy 150.608968 67.771996)
+ (xy 150.606498 67.757546)
+ (xy 150.593804 67.754)
+ (xy 149.847115 67.753999)
+ (xy 149.831876 67.758474)
+ (xy 149.830671 67.759864)
+ (xy 149.829 67.767547)
+ (xy 149.828999 68.476997)
+ (xy 149.829 68.477003)
+ (xy 149.829 68.488)
+ (xy 149.827798 68.488)
+ (xy 149.321345 68.488)
+ (xy 149.321 68.488)
+ (xy 149.321 68.478334)
+ (xy 149.320881 68.475002)
+ (xy 149.321 68.474455)
+ (xy 149.321001 67.754)
+ (xy 149.321 67.753996)
+ (xy 149.321001 66.530115)
+ (xy 149.316526 66.514876)
+ (xy 149.315136 66.513671)
+ (xy 149.307453 66.512)
+ (xy 149.29024 66.512)
+ (xy 149.284776 66.512238)
+ (xy 149.163565 66.522843)
+ (xy 149.151033 66.525242)
+ (xy 148.997276 66.571273)
+ (xy 148.983882 66.577051)
+ (xy 148.845692 66.656835)
+ (xy 148.833991 66.665546)
+ (xy 148.717923 66.77505)
+ (xy 148.708548 66.786224)
+ (xy 148.616829 66.925676)
+ (xy 148.615657 66.924905)
+ (xy 148.572651 66.970974)
+ (xy 148.503836 66.988441)
+ (xy 148.436503 66.965931)
+ (xy 148.392028 66.910591)
+ (xy 148.3825 66.862527)
+ (xy 148.3825 66.077712)
+ (xy 148.419405 65.988617)
+ (xy 148.435702 65.97232)
+ (xy 148.492447 65.860952)
+ (xy 148.494459 65.848253)
+ (xy 148.500844 65.807938)
+ (xy 148.512 65.7375)
+ (xy 148.512 65.2625)
+ (xy 148.888 65.2625)
+ (xy 148.888 65.7375)
+ (xy 148.899156 65.807938)
+ (xy 148.905542 65.848253)
+ (xy 148.907553 65.860952)
+ (xy 148.964298 65.97232)
+ (xy 149.05268 66.060702)
+ (xy 149.164048 66.117447)
+ (xy 149.173838 66.118998)
+ (xy 149.173839 66.118998)
+ (xy 149.210147 66.124748)
+ (xy 149.2875 66.137)
+ (xy 149.371386 66.137)
+ (xy 149.460481 66.173905)
+ (xy 149.674098 66.387522)
+ (xy 149.677752 66.391331)
+ (xy 149.716449 66.433414)
+ (xy 149.753964 66.456674)
+ (xy 149.76371 66.463372)
+ (xy 149.779182 66.475116)
+ (xy 149.821347 66.532231)
+ (xy 149.829 66.575476)
+ (xy 149.828999 67.227885)
+ (xy 149.833474 67.243124)
+ (xy 149.834864 67.244329)
+ (xy 149.842547 67.246)
+ (xy 150.593441 67.246001)
+ (xy 150.608069 67.241706)
+ (xy 150.610132 67.229729)
+ (xy 150.602157 67.138565)
+ (xy 150.599759 67.126034)
+ (xy 150.553727 66.972276)
+ (xy 150.547949 66.958882)
+ (xy 150.468165 66.820692)
+ (xy 150.459451 66.808986)
+ (xy 150.422637 66.769966)
+ (xy 150.390439 66.706691)
+ (xy 150.397561 66.636052)
+ (xy 150.441743 66.580478)
+ (xy 150.514286 66.5575)
+ (xy 151.413603 66.5575)
+ (xy 151.481724 66.577502)
+ (xy 151.521888 66.619077)
+ (xy 151.540321 66.650059)
+ (xy 151.559922 66.683006)
+ (xy 151.700132 66.842885)
+ (xy 151.704667 66.84646)
+ (xy 151.704668 66.846461)
+ (xy 151.828387 66.943994)
+ (xy 151.8695 67.001876)
+ (xy 151.872794 67.072796)
+ (xy 151.837222 67.134238)
+ (xy 151.807577 67.153694)
+ (xy 151.808181 67.154849)
+ (xy 151.794749 67.161872)
+ (xy 151.597963 67.285794)
+ (xy 151.589882 67.291839)
+ (xy 151.415435 67.445635)
+ (xy 151.408424 67.452896)
+ (xy 151.260812 67.6326)
+ (xy 151.255059 67.640878)
+ (xy 151.138069 67.841886)
+ (xy 151.133714 67.850977)
+ (xy 151.050371 68.068093)
+ (xy 151.047523 68.07777)
+ (xy 151.016083 68.228264)
+ (xy 151.017095 68.240934)
+ (xy 151.032528 68.246)
+ (xy 152.445998 68.246001)
+ (xy 152.446004 68.246)
+ (xy 154.370684 68.246001)
+ (xy 154.38477 68.241865)
+ (xy 154.386819 68.228887)
+ (xy 154.384767 68.21115)
+ (xy 154.382808 68.201256)
+ (xy 154.319484 67.977473)
+ (xy 154.315972 67.968029)
+ (xy 154.217683 67.757249)
+ (xy 154.212704 67.748484)
+ (xy 154.081986 67.556139)
+ (xy 154.075654 67.548264)
+ (xy 153.915877 67.379304)
+ (xy 153.908368 67.372543)
+ (xy 153.723625 67.231296)
+ (xy 153.715146 67.225832)
+ (xy 153.585325 67.156223)
+ (xy 153.534742 67.106405)
+ (xy 153.519122 67.037148)
+ (xy 153.543426 66.970441)
+ (xy 153.569477 66.944221)
+ (xy 153.630675 66.898522)
+ (xy 153.67753 66.863534)
+ (xy 153.821877 66.707381)
+ (xy 153.824958 66.702498)
+ (xy 153.824961 66.702494)
+ (xy 153.932269 66.53242)
+ (xy 153.93535 66.527537)
+ (xy 154.014149 66.330026)
+ (xy 154.045203 66.173905)
+ (xy 154.054507 66.12713)
+ (xy 154.054507 66.127126)
+ (xy 154.055634 66.121462)
+ (xy 154.055819 66.107393)
+ (xy 154.055887 66.102121)
+ (xy 165.841834 66.102121)
+ (xy 165.842683 66.107391)
+ (xy 165.842683 66.107393)
+ (xy 165.8747 66.306171)
+ (xy 165.878457 66.329498)
+ (xy 165.880182 66.33455)
+ (xy 165.880182 66.334551)
+ (xy 165.897005 66.383828)
+ (xy 165.952868 66.547453)
+ (xy 166.062937 66.749755)
+ (xy 166.066235 66.753939)
+ (xy 166.066238 66.753943)
+ (xy 166.202216 66.92643)
+ (xy 166.20222 66.926434)
+ (xy 166.205519 66.930619)
+ (xy 166.376536 67.084874)
+ (xy 166.485188 67.153694)
+ (xy 166.566286 67.205061)
+ (xy 166.571098 67.208109)
+ (xy 166.576025 67.210165)
+ (xy 166.576027 67.210166)
+ (xy 166.778066 67.294473)
+ (xy 166.783643 67.2968)
+ (xy 166.788847 67.297997)
+ (xy 166.788848 67.297997)
+ (xy 167.00289 67.347216)
+ (xy 167.002895 67.347217)
+ (xy 167.008093 67.348412)
+ (xy 167.013421 67.348715)
+ (xy 167.013424 67.348715)
+ (xy 167.171689 67.357702)
+ (xy 167.238029 67.361469)
+ (xy 167.243336 67.360869)
+ (xy 167.243338 67.360869)
+ (xy 167.389428 67.344353)
+ (xy 167.466879 67.335597)
+ (xy 167.471994 67.334116)
+ (xy 167.471998 67.334115)
+ (xy 167.558159 67.309164)
+ (xy 167.688097 67.271537)
+ (xy 167.895359 67.171119)
+ (xy 168.010202 67.089051)
+ (xy 168.078393 67.040321)
+ (xy 168.078395 67.040319)
+ (xy 168.082739 67.037215)
+ (xy 168.228639 66.890036)
+ (xy 168.241126 66.87744)
+ (xy 168.241127 66.877439)
+ (xy 168.244879 66.873654)
+ (xy 168.290244 66.808986)
+ (xy 168.374078 66.689481)
+ (xy 168.374079 66.689479)
+ (xy 168.377142 66.685113)
+ (xy 168.475748 66.476982)
+ (xy 168.479557 66.463385)
+ (xy 168.536437 66.260348)
+ (xy 168.536438 66.260342)
+ (xy 168.537875 66.255213)
+ (xy 168.550196 66.137)
+ (xy 168.553831 66.102121)
+ (xy 191.841834 66.102121)
+ (xy 191.842683 66.107391)
+ (xy 191.842683 66.107393)
+ (xy 191.8747 66.306171)
+ (xy 191.878457 66.329498)
+ (xy 191.880182 66.33455)
+ (xy 191.880182 66.334551)
+ (xy 191.897005 66.383828)
+ (xy 191.952868 66.547453)
+ (xy 192.062937 66.749755)
+ (xy 192.066235 66.753939)
+ (xy 192.066238 66.753943)
+ (xy 192.202216 66.92643)
+ (xy 192.20222 66.926434)
+ (xy 192.205519 66.930619)
+ (xy 192.376536 67.084874)
+ (xy 192.485188 67.153694)
+ (xy 192.566286 67.205061)
+ (xy 192.571098 67.208109)
+ (xy 192.576025 67.210165)
+ (xy 192.576027 67.210166)
+ (xy 192.778066 67.294473)
+ (xy 192.783643 67.2968)
+ (xy 192.788847 67.297997)
+ (xy 192.788848 67.297997)
+ (xy 193.00289 67.347216)
+ (xy 193.002895 67.347217)
+ (xy 193.008093 67.348412)
+ (xy 193.013421 67.348715)
+ (xy 193.013424 67.348715)
+ (xy 193.171689 67.357702)
+ (xy 193.238029 67.361469)
+ (xy 193.243336 67.360869)
+ (xy 193.243338 67.360869)
+ (xy 193.389428 67.344353)
+ (xy 193.466879 67.335597)
+ (xy 193.471994 67.334116)
+ (xy 193.471998 67.334115)
+ (xy 193.558159 67.309164)
+ (xy 193.688097 67.271537)
+ (xy 193.895359 67.171119)
+ (xy 194.010202 67.089051)
+ (xy 194.078393 67.040321)
+ (xy 194.078395 67.040319)
+ (xy 194.082739 67.037215)
+ (xy 194.228639 66.890036)
+ (xy 194.241126 66.87744)
+ (xy 194.241127 66.877439)
+ (xy 194.244879 66.873654)
+ (xy 194.290244 66.808986)
+ (xy 194.374078 66.689481)
+ (xy 194.374079 66.689479)
+ (xy 194.377142 66.685113)
+ (xy 194.475748 66.476982)
+ (xy 194.479557 66.463385)
+ (xy 194.536437 66.260348)
+ (xy 194.536438 66.260342)
+ (xy 194.537875 66.255213)
+ (xy 194.550196 66.137)
+ (xy 194.561442 66.029097)
+ (xy 194.561443 66.029087)
+ (xy 194.561749 66.026147)
+ (xy 194.562 66)
+ (xy 194.542528 65.770517)
+ (xy 194.533959 65.7375)
+ (xy 194.486009 65.55276)
+ (xy 194.484669 65.547597)
+ (xy 194.390078 65.337611)
+ (xy 194.261458 65.146566)
+ (xy 194.254287 65.139048)
+ (xy 194.106175 64.983786)
+ (xy 194.102489 64.979922)
+ (xy 194.078528 64.962094)
+ (xy 193.946381 64.863775)
+ (xy 193.917714 64.842446)
+ (xy 193.712417 64.738068)
+ (xy 193.49247 64.669772)
+ (xy 193.484125 64.668666)
+ (xy 193.269444 64.640212)
+ (xy 193.269439 64.640212)
+ (xy 193.264159 64.639512)
+ (xy 193.25883 64.639712)
+ (xy 193.258828 64.639712)
+ (xy 193.149087 64.643832)
+ (xy 193.034014 64.648152)
+ (xy 192.808615 64.695446)
+ (xy 192.803659 64.697403)
+ (xy 192.803653 64.697405)
+ (xy 192.661274 64.753634)
+ (xy 192.594407 64.780041)
+ (xy 192.397515 64.899518)
+ (xy 192.393485 64.903015)
+ (xy 192.239643 65.036512)
+ (xy 192.223568 65.050461)
+ (xy 192.220181 65.054592)
+ (xy 192.080924 65.224427)
+ (xy 192.08092 65.224433)
+ (xy 192.07754 65.228555)
+ (xy 192.074901 65.233191)
+ (xy 192.074899 65.233194)
+ (xy 191.980758 65.398576)
+ (xy 191.963607 65.428706)
+ (xy 191.885026 65.645193)
+ (xy 191.884077 65.650442)
+ (xy 191.884076 65.650445)
+ (xy 191.855721 65.807254)
+ (xy 191.844045 65.871824)
+ (xy 191.84369 65.908831)
+ (xy 191.841995 66.085387)
+ (xy 191.841834 66.102121)
+ (xy 168.553831 66.102121)
+ (xy 168.561442 66.029097)
+ (xy 168.561443 66.029087)
+ (xy 168.561749 66.026147)
+ (xy 168.562 66)
+ (xy 168.542528 65.770517)
+ (xy 168.533959 65.7375)
+ (xy 168.486009 65.55276)
+ (xy 168.484669 65.547597)
+ (xy 168.390078 65.337611)
+ (xy 168.261458 65.146566)
+ (xy 168.254287 65.139048)
+ (xy 168.106175 64.983786)
+ (xy 168.102489 64.979922)
+ (xy 168.078528 64.962094)
+ (xy 167.946381 64.863775)
+ (xy 167.917714 64.842446)
+ (xy 167.712417 64.738068)
+ (xy 167.49247 64.669772)
+ (xy 167.484125 64.668666)
+ (xy 167.269444 64.640212)
+ (xy 167.269439 64.640212)
+ (xy 167.264159 64.639512)
+ (xy 167.25883 64.639712)
+ (xy 167.258828 64.639712)
+ (xy 167.149087 64.643832)
+ (xy 167.034014 64.648152)
+ (xy 166.808615 64.695446)
+ (xy 166.803659 64.697403)
+ (xy 166.803653 64.697405)
+ (xy 166.661274 64.753634)
+ (xy 166.594407 64.780041)
+ (xy 166.397515 64.899518)
+ (xy 166.393485 64.903015)
+ (xy 166.239643 65.036512)
+ (xy 166.223568 65.050461)
+ (xy 166.220181 65.054592)
+ (xy 166.080924 65.224427)
+ (xy 166.08092 65.224433)
+ (xy 166.07754 65.228555)
+ (xy 166.074901 65.233191)
+ (xy 166.074899 65.233194)
+ (xy 165.980758 65.398576)
+ (xy 165.963607 65.428706)
+ (xy 165.885026 65.645193)
+ (xy 165.884077 65.650442)
+ (xy 165.884076 65.650445)
+ (xy 165.855721 65.807254)
+ (xy 165.844045 65.871824)
+ (xy 165.84369 65.908831)
+ (xy 165.841995 66.085387)
+ (xy 165.841834 66.102121)
+ (xy 154.055887 66.102121)
+ (xy 154.058342 65.914613)
+ (xy 154.058418 65.908831)
+ (xy 154.052977 65.877163)
+ (xy 154.023385 65.704949)
+ (xy 154.023385 65.704948)
+ (xy 154.022406 65.699252)
+ (xy 153.948804 65.499746)
+ (xy 153.908879 65.432638)
+ (xy 153.843034 65.321962)
+ (xy 153.843032 65.321959)
+ (xy 153.840078 65.316994)
+ (xy 153.699868 65.157115)
+ (xy 153.695332 65.153539)
+ (xy 153.53741 65.029043)
+ (xy 153.537408 65.029042)
+ (xy 153.532871 65.025465)
+ (xy 153.527755 65.022774)
+ (xy 153.527753 65.022772)
+ (xy 153.349797 64.929145)
+ (xy 153.349795 64.929144)
+ (xy 153.344678 64.926452)
+ (xy 153.269199 64.903015)
+ (xy 153.147108 64.865104)
+ (xy 153.147103 64.865103)
+ (xy 153.141593 64.863392)
+ (xy 153.112254 64.859919)
+ (xy 152.972987 64.843436)
+ (xy 152.972981 64.843436)
+ (xy 152.9693 64.843)
+ (xy 152.445586 64.843)
+ (xy 152.359503 64.85091)
+ (xy 152.293996 64.856929)
+ (xy 152.293993 64.85693)
+ (xy 152.288242 64.857458)
+ (xy 152.282685 64.859025)
+ (xy 152.282681 64.859026)
+ (xy 152.089135 64.913612)
+ (xy 152.089133 64.913613)
+ (xy 152.083576 64.91518)
+ (xy 152.0784 64.917732)
+ (xy 152.078396 64.917734)
+ (xy 151.956102 64.978043)
+ (xy 151.892857 65.009232)
+ (xy 151.72247 65.136466)
+ (xy 151.578123 65.292619)
+ (xy 151.520632 65.383737)
+ (xy 151.467366 65.430674)
+ (xy 151.414071 65.4425)
+ (xy 150.388 65.4425)
+ (xy 150.319879 65.422498)
+ (xy 150.273386 65.368842)
+ (xy 150.262 65.3165)
+ (xy 150.262 65.2625)
+ (xy 150.244742 65.153539)
+ (xy 150.243998 65.148839)
+ (xy 150.243998 65.148838)
+ (xy 150.242447 65.139048)
+ (xy 150.185702 65.02768)
+ (xy 150.09732 64.939298)
+ (xy 149.985952 64.882553)
+ (xy 149.976162 64.881002)
+ (xy 149.976161 64.881002)
+ (xy 149.939853 64.875252)
+ (xy 149.8625 64.863)
+ (xy 149.2875 64.863)
+ (xy 149.210147 64.875252)
+ (xy 149.173839 64.881002)
+ (xy 149.173838 64.881002)
+ (xy 149.164048 64.882553)
+ (xy 149.05268 64.939298)
+ (xy 148.964298 65.02768)
+ (xy 148.907553 65.139048)
+ (xy 148.906002 65.148838)
+ (xy 148.906002 65.148839)
+ (xy 148.905258 65.153539)
+ (xy 148.888 65.2625)
+ (xy 148.512 65.2625)
+ (xy 148.494742 65.153539)
+ (xy 148.493998 65.148839)
+ (xy 148.493998 65.148838)
+ (xy 148.492447 65.139048)
+ (xy 148.435702 65.02768)
+ (xy 148.34732 64.939298)
+ (xy 148.235952 64.882553)
+ (xy 148.226162 64.881002)
+ (xy 148.226161 64.881002)
+ (xy 148.189853 64.875252)
+ (xy 148.1125 64.863)
+ (xy 147.5375 64.863)
+ (xy 147.460147 64.875252)
+ (xy 147.423839 64.881002)
+ (xy 147.423838 64.881002)
+ (xy 147.414048 64.882553)
+ (xy 147.30268 64.939298)
+ (xy 147.214298 65.02768)
+ (xy 147.157553 65.139048)
+ (xy 147.156002 65.148838)
+ (xy 147.156002 65.148839)
+ (xy 147.155258 65.153539)
+ (xy 147.138 65.2625)
+ (xy 147.138 65.7375)
+ (xy 147.149156 65.807938)
+ (xy 147.155542 65.848253)
+ (xy 147.157553 65.860952)
+ (xy 147.214298 65.97232)
+ (xy 147.230595 65.988617)
+ (xy 147.2675 66.077712)
+ (xy 147.267501 66.481808)
+ (xy 147.267501 66.8165)
+ (xy 147.247499 66.884621)
+ (xy 147.193843 66.931114)
+ (xy 147.141501 66.9425)
+ (xy 146.433855 66.9425)
+ (xy 146.376652 66.928767)
+ (xy 146.358887 66.919715)
+ (xy 146.285952 66.882553)
+ (xy 146.276162 66.881002)
+ (xy 146.276161 66.881002)
+ (xy 146.229766 66.873654)
+ (xy 146.1625 66.863)
+ (xy 145.5875 66.863)
+ (xy 145.520234 66.873654)
+ (xy 145.473839 66.881002)
+ (xy 145.473838 66.881002)
+ (xy 145.464048 66.882553)
+ (xy 145.35268 66.939298)
+ (xy 145.264298 67.02768)
+ (xy 145.207553 67.139048)
+ (xy 145.206002 67.148839)
+ (xy 145.206002 67.14884)
+ (xy 145.18844 67.259721)
+ (xy 145.161551 67.312493)
+ (xy 145.164383 67.314385)
+ (xy 145.157037 67.325379)
+ (xy 145.141367 67.344472)
+ (xy 145.027095 67.458744)
+ (xy 144.964783 67.49277)
+ (xy 144.893968 67.487705)
+ (xy 144.837132 67.445158)
+ (xy 144.812 67.369649)
+ (xy 144.812 67.2625)
+ (xy 144.795332 67.157265)
+ (xy 144.793998 67.148839)
+ (xy 144.793998 67.148838)
+ (xy 144.792447 67.139048)
+ (xy 144.735702 67.02768)
+ (xy 144.64732 66.939298)
+ (xy 144.535952 66.882553)
+ (xy 144.526162 66.881002)
+ (xy 144.526161 66.881002)
+ (xy 144.479766 66.873654)
+ (xy 144.4125 66.863)
+ (xy 143.8375 66.863)
+ (xy 143.770234 66.873654)
+ (xy 143.723839 66.881002)
+ (xy 143.723838 66.881002)
+ (xy 143.714048 66.882553)
+ (xy 143.60268 66.939298)
+ (xy 143.514298 67.02768)
+ (xy 143.457553 67.139048)
+ (xy 143.456002 67.148838)
+ (xy 143.456002 67.148839)
+ (xy 143.454668 67.157265)
+ (xy 143.438 67.2625)
+ (xy 135.357 67.2625)
+ (xy 135.357 64.615167)
+ (xy 186.892114 64.615167)
+ (xy 186.894813 64.624191)
+ (xy 186.894813 64.624193)
+ (xy 186.9108 64.677649)
+ (xy 186.931517 64.746923)
+ (xy 186.941691 64.762149)
+ (xy 186.998073 64.846529)
+ (xy 187.007921 64.861268)
+ (xy 187.114568 64.948093)
+ (xy 187.242032 64.999721)
+ (xy 187.251417 65.000534)
+ (xy 187.251418 65.000534)
+ (xy 187.369661 65.010775)
+ (xy 187.369664 65.010775)
+ (xy 187.379041 65.011587)
+ (xy 187.388242 65.009606)
+ (xy 187.388244 65.009606)
+ (xy 187.504278 64.984625)
+ (xy 187.504281 64.984624)
+ (xy 187.513482 64.982643)
+ (xy 187.521694 64.978044)
+ (xy 187.521697 64.978043)
+ (xy 187.625254 64.920048)
+ (xy 187.63347 64.915447)
+ (xy 187.666856 64.880449)
+ (xy 187.699214 64.846529)
+ (xy 187.790384 64.8075)
+ (xy 187.841151 64.8075)
+ (xy 187.930245 64.844404)
+ (xy 188.87401 65.78817)
+ (xy 188.889674 65.807257)
+ (xy 188.890129 65.807938)
+ (xy 188.890131 65.80794)
+ (xy 188.897023 65.818255)
+ (xy 188.907338 65.825147)
+ (xy 188.952302 65.85519)
+ (xy 188.982185 65.875157)
+ (xy 188.994356 65.877578)
+ (xy 189.057503 65.890139)
+ (xy 189.057504 65.890139)
+ (xy 189.082639 65.895139)
+ (xy 189.094809 65.892718)
+ (xy 189.094811 65.892718)
+ (xy 189.095605 65.89256)
+ (xy 189.120187 65.890139)
+ (xy 190.479813 65.890139)
+ (xy 190.504395 65.89256)
+ (xy 190.505189 65.892718)
+ (xy 190.505191 65.892718)
+ (xy 190.517361 65.895139)
+ (xy 190.529531 65.892718)
+ (xy 190.530326 65.89256)
+ (xy 190.542496 65.890139)
+ (xy 190.542497 65.890139)
+ (xy 190.617815 65.875157)
+ (xy 190.647699 65.85519)
+ (xy 190.692662 65.825147)
+ (xy 190.702977 65.818255)
+ (xy 190.709869 65.80794)
+ (xy 190.709871 65.807938)
+ (xy 190.710328 65.807254)
+ (xy 190.725998 65.788161)
+ (xy 192.569755 63.944405)
+ (xy 192.65885 63.9075)
+ (xy 194.49115 63.9075)
+ (xy 194.580246 63.944405)
+ (xy 194.960546 64.324706)
+ (xy 195.334367 64.698527)
+ (xy 195.350036 64.71762)
+ (xy 195.350489 64.718298)
+ (xy 195.350491 64.7183)
+ (xy 195.357383 64.728615)
+ (xy 195.442545 64.785517)
+ (xy 195.454716 64.787938)
+ (xy 195.517862 64.800499)
+ (xy 195.530827 64.803078)
+ (xy 195.530828 64.803078)
+ (xy 195.542998 64.805499)
+ (xy 195.555168 64.803078)
+ (xy 195.55517 64.803078)
+ (xy 195.555964 64.80292)
+ (xy 195.580546 64.800499)
+ (xy 196.874149 64.800499)
+ (xy 196.963245 64.837404)
+ (xy 197.350267 65.224427)
+ (xy 197.721368 65.595528)
+ (xy 197.737037 65.614621)
+ (xy 197.73749 65.615299)
+ (xy 197.737492 65.615301)
+ (xy 197.744384 65.625616)
+ (xy 197.829546 65.682518)
+ (xy 197.841717 65.684939)
+ (xy 197.904864 65.6975)
+ (xy 197.904865 65.6975)
+ (xy 197.93 65.7025)
+ (xy 197.94217 65.700079)
+ (xy 197.942172 65.700079)
+ (xy 197.942966 65.699921)
+ (xy 197.967548 65.6975)
+ (xy 201.322452 65.6975)
+ (xy 201.347034 65.699921)
+ (xy 201.347828 65.700079)
+ (xy 201.34783 65.700079)
+ (xy 201.36 65.7025)
+ (xy 201.37217 65.700079)
+ (xy 201.372965 65.699921)
+ (xy 201.385135 65.6975)
+ (xy 201.385136 65.6975)
+ (xy 201.460454 65.682518)
+ (xy 201.545616 65.625616)
+ (xy 201.552968 65.614614)
+ (xy 201.568634 65.595526)
+ (xy 201.921154 65.243006)
+ (xy 201.983466 65.20898)
+ (xy 202.02112 65.206571)
+ (xy 202.06966 65.210775)
+ (xy 202.069663 65.210775)
+ (xy 202.079041 65.211587)
+ (xy 202.088242 65.209606)
+ (xy 202.088244 65.209606)
+ (xy 202.204278 65.184625)
+ (xy 202.204281 65.184624)
+ (xy 202.213482 65.182643)
+ (xy 202.221694 65.178044)
+ (xy 202.221697 65.178043)
+ (xy 202.325254 65.120048)
+ (xy 202.33347 65.115447)
+ (xy 202.428394 65.01594)
+ (xy 202.489864 64.89292)
+ (xy 202.495855 64.856929)
+ (xy 202.51163 64.762149)
+ (xy 202.512443 64.757265)
+ (xy 202.5125 64.75)
+ (xy 202.492054 64.614006)
+ (xy 202.432525 64.490036)
+ (xy 202.339175 64.389051)
+ (xy 202.331031 64.38432)
+ (xy 202.331029 64.384319)
+ (xy 202.228399 64.324706)
+ (xy 202.228395 64.324704)
+ (xy 202.220258 64.319978)
+ (xy 202.142862 64.302039)
+ (xy 202.095465 64.291053)
+ (xy 202.095463 64.291053)
+ (xy 202.086287 64.288926)
+ (xy 202.076891 64.289591)
+ (xy 202.076888 64.289591)
+ (xy 201.958511 64.297972)
+ (xy 201.958509 64.297973)
+ (xy 201.949109 64.298638)
+ (xy 201.82085 64.348258)
+ (xy 201.712852 64.433397)
+ (xy 201.634662 64.546528)
+ (xy 201.631823 64.555505)
+ (xy 201.631822 64.555507)
+ (xy 201.612954 64.615167)
+ (xy 201.593194 64.677649)
+ (xy 201.59312 64.68707)
+ (xy 201.592293 64.792344)
+ (xy 201.555392 64.880449)
+ (xy 201.290246 65.145595)
+ (xy 201.201151 65.1825)
+ (xy 198.08885 65.1825)
+ (xy 197.999755 65.145595)
+ (xy 197.241633 64.387473)
+ (xy 197.225967 64.368385)
+ (xy 197.218615 64.357383)
+ (xy 197.133453 64.300481)
+ (xy 197.058135 64.285499)
+ (xy 197.058134 64.285499)
+ (xy 197.032999 64.280499)
+ (xy 197.020829 64.28292)
+ (xy 197.020827 64.28292)
+ (xy 197.020033 64.283078)
+ (xy 196.995451 64.285499)
+ (xy 195.701849 64.285499)
+ (xy 195.612754 64.248594)
+ (xy 194.858634 63.494474)
+ (xy 194.842968 63.475386)
+ (xy 194.835616 63.464384)
+ (xy 194.787797 63.432433)
+ (xy 194.760772 63.414376)
+ (xy 194.750454 63.407482)
+ (xy 194.675136 63.3925)
+ (xy 194.675135 63.3925)
+ (xy 194.65 63.3875)
+ (xy 194.63783 63.389921)
+ (xy 194.637828 63.389921)
+ (xy 194.637034 63.390079)
+ (xy 194.612452 63.3925)
+ (xy 192.537548 63.3925)
+ (xy 192.512966 63.390079)
+ (xy 192.512172 63.389921)
+ (xy 192.51217 63.389921)
+ (xy 192.5 63.3875)
+ (xy 192.474865 63.3925)
+ (xy 192.474864 63.3925)
+ (xy 192.399546 63.407482)
+ (xy 192.389228 63.414376)
+ (xy 192.362203 63.432433)
+ (xy 192.314384 63.464384)
+ (xy 192.307492 63.474699)
+ (xy 192.30749 63.474701)
+ (xy 192.307035 63.475382)
+ (xy 192.291366 63.494474)
+ (xy 191.357798 64.428043)
+ (xy 190.447607 65.338234)
+ (xy 190.358512 65.375139)
+ (xy 189.241489 65.375139)
+ (xy 189.152396 65.338236)
+ (xy 188.208632 64.394473)
+ (xy 188.192967 64.375385)
+ (xy 188.19251 64.374701)
+ (xy 188.192508 64.374699)
+ (xy 188.185616 64.364384)
+ (xy 188.100454 64.307482)
+ (xy 188.025136 64.2925)
+ (xy 188.025135 64.2925)
+ (xy 188.017861 64.291053)
+ (xy 188 64.2875)
+ (xy 187.98783 64.289921)
+ (xy 187.987828 64.289921)
+ (xy 187.987034 64.290079)
+ (xy 187.962452 64.2925)
+ (xy 187.789916 64.2925)
+ (xy 187.697392 64.252029)
+ (xy 187.645572 64.195971)
+ (xy 187.645571 64.19597)
+ (xy 187.639175 64.189051)
+ (xy 187.631031 64.18432)
+ (xy 187.631029 64.184319)
+ (xy 187.528399 64.124706)
+ (xy 187.528395 64.124704)
+ (xy 187.520258 64.119978)
+ (xy 187.475023 64.109493)
+ (xy 187.395465 64.091053)
+ (xy 187.395463 64.091053)
+ (xy 187.386287 64.088926)
+ (xy 187.376891 64.089591)
+ (xy 187.376888 64.089591)
+ (xy 187.258511 64.097972)
+ (xy 187.258509 64.097973)
+ (xy 187.249109 64.098638)
+ (xy 187.12085 64.148258)
+ (xy 187.012852 64.233397)
+ (xy 186.934662 64.346528)
+ (xy 186.931823 64.355505)
+ (xy 186.931822 64.355507)
+ (xy 186.925752 64.374701)
+ (xy 186.893194 64.477649)
+ (xy 186.892114 64.615167)
+ (xy 135.357 64.615167)
+ (xy 135.357 62.389242)
+ (xy 146.40049 62.389242)
+ (xy 146.408872 62.444018)
+ (xy 146.432475 62.598258)
+ (xy 146.434429 62.611029)
+ (xy 146.45721 62.677567)
+ (xy 146.504786 62.816525)
+ (xy 146.507106 62.823302)
+ (xy 146.616224 63.019349)
+ (xy 146.619776 63.023689)
+ (xy 146.619779 63.023693)
+ (xy 146.724264 63.151348)
+ (xy 146.758335 63.192975)
+ (xy 146.762603 63.19662)
+ (xy 146.924682 63.335049)
+ (xy 146.924686 63.335052)
+ (xy 146.928947 63.338691)
+ (xy 147.122667 63.451892)
+ (xy 147.127933 63.453819)
+ (xy 147.127937 63.453821)
+ (xy 147.328106 63.527072)
+ (xy 147.328109 63.527073)
+ (xy 147.33337 63.528998)
+ (xy 147.338886 63.529961)
+ (xy 147.338891 63.529962)
+ (xy 147.466952 63.552312)
+ (xy 147.554399 63.567574)
+ (xy 147.560005 63.567545)
+ (xy 147.560009 63.567545)
+ (xy 147.663025 63.567005)
+ (xy 147.778765 63.566399)
+ (xy 147.781619 63.56587)
+ (xy 149.188099 63.56587)
+ (xy 149.188615 63.572014)
+ (xy 149.203437 63.748522)
+ (xy 149.204626 63.762685)
+ (xy 149.206325 63.768609)
+ (xy 149.256733 63.944405)
+ (xy 149.259066 63.952542)
+ (xy 149.261885 63.958027)
+ (xy 149.346529 64.122727)
+ (xy 149.346532 64.122732)
+ (xy 149.349347 64.128209)
+ (xy 149.472028 64.282994)
+ (xy 149.476722 64.286988)
+ (xy 149.476722 64.286989)
+ (xy 149.584479 64.378697)
+ (xy 149.622438 64.411003)
+ (xy 149.627816 64.414009)
+ (xy 149.627818 64.41401)
+ (xy 149.66938 64.437238)
+ (xy 149.794847 64.507359)
+ (xy 149.982688 64.568392)
+ (xy 150.178806 64.591778)
+ (xy 150.184941 64.591306)
+ (xy 150.184943 64.591306)
+ (xy 150.369589 64.577098)
+ (xy 150.369592 64.577097)
+ (xy 150.375732 64.576625)
+ (xy 150.565964 64.523512)
+ (xy 150.742257 64.43446)
+ (xy 150.750471 64.428043)
+ (xy 150.893039 64.316656)
+ (xy 150.897895 64.312862)
+ (xy 151.026951 64.16335)
+ (xy 151.03007 64.157861)
+ (xy 151.121465 63.996974)
+ (xy 151.121466 63.996973)
+ (xy 151.124508 63.991617)
+ (xy 151.126941 63.984305)
+ (xy 151.163861 63.873316)
+ (xy 151.186851 63.804207)
+ (xy 151.211605 63.608257)
+ (xy 151.212 63.58)
+ (xy 151.192727 63.383435)
+ (xy 151.135641 63.194357)
+ (xy 151.085547 63.100144)
+ (xy 151.04581 63.02541)
+ (xy 151.045808 63.025407)
+ (xy 151.042916 63.019968)
+ (xy 150.918086 62.86691)
+ (xy 150.765903 62.741014)
+ (xy 150.592166 62.647074)
+ (xy 150.403491 62.58867)
+ (xy 150.397366 62.588026)
+ (xy 150.397365 62.588026)
+ (xy 150.213193 62.568669)
+ (xy 150.213192 62.568669)
+ (xy 150.207065 62.568025)
+ (xy 150.086743 62.578975)
+ (xy 150.016511 62.585366)
+ (xy 150.01651 62.585366)
+ (xy 150.01037 62.585925)
+ (xy 149.968735 62.598179)
+ (xy 149.826809 62.63995)
+ (xy 149.826806 62.639951)
+ (xy 149.820898 62.64169)
+ (xy 149.645866 62.733195)
+ (xy 149.641068 62.737053)
+ (xy 149.641066 62.737054)
+ (xy 149.584909 62.782206)
+ (xy 149.491941 62.856954)
+ (xy 149.487982 62.861672)
+ (xy 149.487981 62.861673)
+ (xy 149.413417 62.950534)
+ (xy 149.364985 63.008253)
+ (xy 149.362022 63.013642)
+ (xy 149.362019 63.013647)
+ (xy 149.286318 63.151348)
+ (xy 149.269835 63.181331)
+ (xy 149.210115 63.369593)
+ (xy 149.209429 63.37571)
+ (xy 149.209428 63.375714)
+ (xy 149.192626 63.525511)
+ (xy 149.188099 63.56587)
+ (xy 147.781619 63.56587)
+ (xy 147.999377 63.525511)
+ (xy 148.004626 63.523528)
+ (xy 148.004628 63.523527)
+ (xy 148.119392 63.480161)
+ (xy 148.209262 63.446202)
+ (xy 148.231918 63.432643)
+ (xy 148.30389 63.389568)
+ (xy 148.401785 63.330979)
+ (xy 148.406005 63.327297)
+ (xy 148.40601 63.327294)
+ (xy 148.566631 63.187175)
+ (xy 148.570861 63.183485)
+ (xy 148.634653 63.10386)
+ (xy 148.707641 63.012757)
+ (xy 148.707644 63.012752)
+ (xy 148.711147 63.00838)
+ (xy 148.818206 62.811201)
+ (xy 148.888657 62.598179)
+ (xy 148.890011 62.58867)
+ (xy 148.91069 62.44336)
+ (xy 148.92027 62.376048)
+ (xy 148.922 62.31)
+ (xy 148.920889 62.297545)
+ (xy 148.910701 62.183397)
+ (xy 148.90953 62.170271)
+ (xy 157.656996 62.170271)
+ (xy 157.663275 62.242049)
+ (xy 157.664549 62.25028)
+ (xy 157.72816 62.53486)
+ (xy 157.730516 62.542864)
+ (xy 157.831205 62.816525)
+ (xy 157.834595 62.824141)
+ (xy 157.970589 63.082076)
+ (xy 157.974967 63.089192)
+ (xy 158.14388 63.326875)
+ (xy 158.149151 63.333338)
+ (xy 158.34802 63.5466)
+ (xy 158.354104 63.552312)
+ (xy 158.579425 63.737393)
+ (xy 158.586206 63.742248)
+ (xy 158.834038 63.89591)
+ (xy 158.841398 63.899823)
+ (xy 159.107364 64.019353)
+ (xy 159.115187 64.022263)
+ (xy 159.394637 64.105571)
+ (xy 159.402758 64.107416)
+ (xy 159.691433 64.153137)
+ (xy 159.698408 64.153845)
+ (xy 159.788477 64.157936)
+ (xy 159.79131 64.158)
+ (xy 160.127885 64.158)
+ (xy 160.143124 64.153525)
+ (xy 160.144329 64.152135)
+ (xy 160.146 64.144452)
+ (xy 160.146 64.139885)
+ (xy 160.653999 64.139885)
+ (xy 160.658474 64.155124)
+ (xy 160.659864 64.156329)
+ (xy 160.667547 64.158)
+ (xy 160.973181 64.158)
+ (xy 160.977373 64.157861)
+ (xy 161.195125 64.143398)
+ (xy 161.203394 64.142295)
+ (xy 161.489231 64.084659)
+ (xy 161.497292 64.082469)
+ (xy 161.772998 63.987536)
+ (xy 161.780685 63.984305)
+ (xy 162.04141 63.853743)
+ (xy 162.048619 63.849513)
+ (xy 162.289783 63.685619)
+ (xy 162.296358 63.680482)
+ (xy 162.513735 63.486125)
+ (xy 162.519575 63.480161)
+ (xy 162.709336 63.258762)
+ (xy 162.714329 63.252088)
+ (xy 162.873144 63.007536)
+ (xy 162.877218 63.000246)
+ (xy 163.002288 62.736848)
+ (xy 163.005364 62.72908)
+ (xy 163.094501 62.451449)
+ (xy 163.096518 62.44336)
+ (xy 163.106255 62.389242)
+ (xy 166.40049 62.389242)
+ (xy 166.408872 62.444018)
+ (xy 166.432475 62.598258)
+ (xy 166.434429 62.611029)
+ (xy 166.45721 62.677567)
+ (xy 166.504786 62.816525)
+ (xy 166.507106 62.823302)
+ (xy 166.616224 63.019349)
+ (xy 166.619776 63.023689)
+ (xy 166.619779 63.023693)
+ (xy 166.724264 63.151348)
+ (xy 166.758335 63.192975)
+ (xy 166.762603 63.19662)
+ (xy 166.924682 63.335049)
+ (xy 166.924686 63.335052)
+ (xy 166.928947 63.338691)
+ (xy 167.122667 63.451892)
+ (xy 167.127933 63.453819)
+ (xy 167.127937 63.453821)
+ (xy 167.328106 63.527072)
+ (xy 167.328109 63.527073)
+ (xy 167.33337 63.528998)
+ (xy 167.338886 63.529961)
+ (xy 167.338891 63.529962)
+ (xy 167.466952 63.552312)
+ (xy 167.554399 63.567574)
+ (xy 167.560005 63.567545)
+ (xy 167.560009 63.567545)
+ (xy 167.663025 63.567005)
+ (xy 167.778765 63.566399)
+ (xy 167.781619 63.56587)
+ (xy 169.188099 63.56587)
+ (xy 169.188615 63.572014)
+ (xy 169.203437 63.748522)
+ (xy 169.204626 63.762685)
+ (xy 169.206325 63.768609)
+ (xy 169.256733 63.944405)
+ (xy 169.259066 63.952542)
+ (xy 169.261885 63.958027)
+ (xy 169.346529 64.122727)
+ (xy 169.346532 64.122732)
+ (xy 169.349347 64.128209)
+ (xy 169.472028 64.282994)
+ (xy 169.476722 64.286988)
+ (xy 169.476722 64.286989)
+ (xy 169.584479 64.378697)
+ (xy 169.622438 64.411003)
+ (xy 169.627816 64.414009)
+ (xy 169.627818 64.41401)
+ (xy 169.66938 64.437238)
+ (xy 169.794847 64.507359)
+ (xy 169.982688 64.568392)
+ (xy 170.178806 64.591778)
+ (xy 170.184941 64.591306)
+ (xy 170.184943 64.591306)
+ (xy 170.369589 64.577098)
+ (xy 170.369592 64.577097)
+ (xy 170.375732 64.576625)
+ (xy 170.565964 64.523512)
+ (xy 170.742257 64.43446)
+ (xy 170.750471 64.428043)
+ (xy 170.893039 64.316656)
+ (xy 170.897895 64.312862)
+ (xy 171.026951 64.16335)
+ (xy 171.03007 64.157861)
+ (xy 171.121465 63.996974)
+ (xy 171.121466 63.996973)
+ (xy 171.124508 63.991617)
+ (xy 171.126941 63.984305)
+ (xy 171.163861 63.873316)
+ (xy 171.186851 63.804207)
+ (xy 171.211605 63.608257)
+ (xy 171.212 63.58)
+ (xy 171.192727 63.383435)
+ (xy 171.135641 63.194357)
+ (xy 171.085547 63.100144)
+ (xy 171.04581 63.02541)
+ (xy 171.045808 63.025407)
+ (xy 171.042916 63.019968)
+ (xy 170.918086 62.86691)
+ (xy 170.765903 62.741014)
+ (xy 170.592166 62.647074)
+ (xy 170.403491 62.58867)
+ (xy 170.397366 62.588026)
+ (xy 170.397365 62.588026)
+ (xy 170.213193 62.568669)
+ (xy 170.213192 62.568669)
+ (xy 170.207065 62.568025)
+ (xy 170.086743 62.578975)
+ (xy 170.016511 62.585366)
+ (xy 170.01651 62.585366)
+ (xy 170.01037 62.585925)
+ (xy 169.968735 62.598179)
+ (xy 169.826809 62.63995)
+ (xy 169.826806 62.639951)
+ (xy 169.820898 62.64169)
+ (xy 169.645866 62.733195)
+ (xy 169.641068 62.737053)
+ (xy 169.641066 62.737054)
+ (xy 169.584909 62.782206)
+ (xy 169.491941 62.856954)
+ (xy 169.487982 62.861672)
+ (xy 169.487981 62.861673)
+ (xy 169.413417 62.950534)
+ (xy 169.364985 63.008253)
+ (xy 169.362022 63.013642)
+ (xy 169.362019 63.013647)
+ (xy 169.286318 63.151348)
+ (xy 169.269835 63.181331)
+ (xy 169.210115 63.369593)
+ (xy 169.209429 63.37571)
+ (xy 169.209428 63.375714)
+ (xy 169.192626 63.525511)
+ (xy 169.188099 63.56587)
+ (xy 167.781619 63.56587)
+ (xy 167.999377 63.525511)
+ (xy 168.004626 63.523528)
+ (xy 168.004628 63.523527)
+ (xy 168.119392 63.480161)
+ (xy 168.209262 63.446202)
+ (xy 168.231918 63.432643)
+ (xy 168.30389 63.389568)
+ (xy 168.401785 63.330979)
+ (xy 168.406005 63.327297)
+ (xy 168.40601 63.327294)
+ (xy 168.566631 63.187175)
+ (xy 168.570861 63.183485)
+ (xy 168.634653 63.10386)
+ (xy 168.707641 63.012757)
+ (xy 168.707644 63.012752)
+ (xy 168.711147 63.00838)
+ (xy 168.818206 62.811201)
+ (xy 168.888657 62.598179)
+ (xy 168.890011 62.58867)
+ (xy 168.91069 62.44336)
+ (xy 168.92027 62.376048)
+ (xy 168.922 62.31)
+ (xy 168.920889 62.297545)
+ (xy 168.910701 62.183397)
+ (xy 168.902055 62.086519)
+ (xy 168.858312 61.92662)
+ (xy 168.844332 61.875518)
+ (xy 168.844331 61.875514)
+ (xy 168.84285 61.870102)
+ (xy 168.746256 61.66759)
+ (xy 168.730743 61.646001)
+ (xy 168.618604 61.489941)
+ (xy 168.618599 61.489936)
+ (xy 168.615328 61.485383)
+ (xy 168.454202 61.329242)
+ (xy 168.267973 61.204101)
+ (xy 168.125481 61.141551)
+ (xy 168.067669 61.116173)
+ (xy 168.067667 61.116172)
+ (xy 168.062527 61.113916)
+ (xy 167.902838 61.075578)
+ (xy 167.849814 61.062848)
+ (xy 167.849813 61.062848)
+ (xy 167.844357 61.061538)
+ (xy 167.759591 61.056651)
+ (xy 167.625967 61.048946)
+ (xy 167.625964 61.048946)
+ (xy 167.62036 61.048623)
+ (xy 167.397615 61.075578)
+ (xy 167.183165 61.141551)
+ (xy 167.178185 61.144121)
+ (xy 167.178181 61.144123)
+ (xy 166.98877 61.241886)
+ (xy 166.983787 61.244458)
+ (xy 166.805783 61.381045)
+ (xy 166.80201 61.385191)
+ (xy 166.802005 61.385196)
+ (xy 166.706695 61.489941)
+ (xy 166.654779 61.546996)
+ (xy 166.53555 61.737064)
+ (xy 166.451863 61.945242)
+ (xy 166.406364 62.164949)
+ (xy 166.406217 62.170559)
+ (xy 166.406217 62.17056)
+ (xy 166.406069 62.176202)
+ (xy 166.40049 62.389242)
+ (xy 163.106255 62.389242)
+ (xy 163.145375 62.17183)
+ (xy 163.143943 62.158571)
+ (xy 163.129333 62.154)
+ (xy 160.672115 62.153999)
+ (xy 160.656876 62.158474)
+ (xy 160.655671 62.159864)
+ (xy 160.654 62.167547)
+ (xy 160.653999 64.139885)
+ (xy 160.146 64.139885)
+ (xy 160.146001 62.172115)
+ (xy 160.141526 62.156876)
+ (xy 160.140136 62.155671)
+ (xy 160.132453 62.154)
+ (xy 157.673687 62.153999)
+ (xy 157.659059 62.158294)
+ (xy 157.656996 62.170271)
+ (xy 148.90953 62.170271)
+ (xy 148.902055 62.086519)
+ (xy 148.858312 61.92662)
+ (xy 148.844332 61.875518)
+ (xy 148.844331 61.875514)
+ (xy 148.84285 61.870102)
+ (xy 148.746256 61.66759)
+ (xy 148.730743 61.646001)
+ (xy 148.717931 61.62817)
+ (xy 157.654625 61.62817)
+ (xy 157.656057 61.641429)
+ (xy 157.670667 61.646)
+ (xy 160.127885 61.646001)
+ (xy 160.143124 61.641526)
+ (xy 160.144329 61.640136)
+ (xy 160.146 61.632453)
+ (xy 160.146 61.627885)
+ (xy 160.653999 61.627885)
+ (xy 160.658474 61.643124)
+ (xy 160.659864 61.644329)
+ (xy 160.667547 61.646)
+ (xy 163.126313 61.646001)
+ (xy 163.140941 61.641706)
+ (xy 163.143004 61.629729)
+ (xy 163.136725 61.557951)
+ (xy 163.135451 61.54972)
+ (xy 163.07184 61.26514)
+ (xy 163.069484 61.257136)
+ (xy 162.968795 60.983475)
+ (xy 162.965405 60.975859)
+ (xy 162.829411 60.717924)
+ (xy 162.825033 60.710808)
+ (xy 162.65612 60.473125)
+ (xy 162.650849 60.466662)
+ (xy 162.45198 60.2534)
+ (xy 162.445896 60.247688)
+ (xy 162.220575 60.062607)
+ (xy 162.213794 60.057752)
+ (xy 161.965962 59.90409)
+ (xy 161.958602 59.900177)
+ (xy 161.692636 59.780647)
+ (xy 161.684813 59.777737)
+ (xy 161.405363 59.694429)
+ (xy 161.397242 59.692584)
+ (xy 161.108567 59.646863)
+ (xy 161.101592 59.646155)
+ (xy 161.011523 59.642064)
+ (xy 161.00869 59.642)
+ (xy 160.672115 59.642)
+ (xy 160.656876 59.646475)
+ (xy 160.655671 59.647865)
+ (xy 160.654 59.655548)
+ (xy 160.653999 61.627885)
+ (xy 160.146 61.627885)
+ (xy 160.146001 59.660115)
+ (xy 160.141526 59.644876)
+ (xy 160.140136 59.643671)
+ (xy 160.132453 59.642)
+ (xy 159.826819 59.642)
+ (xy 159.822627 59.642139)
+ (xy 159.604875 59.656602)
+ (xy 159.596606 59.657705)
+ (xy 159.310769 59.715341)
+ (xy 159.302708 59.717531)
+ (xy 159.027002 59.812464)
+ (xy 159.019315 59.815695)
+ (xy 158.75859 59.946257)
+ (xy 158.751381 59.950487)
+ (xy 158.510217 60.114381)
+ (xy 158.503642 60.119518)
+ (xy 158.286265 60.313875)
+ (xy 158.280425 60.319839)
+ (xy 158.090664 60.541238)
+ (xy 158.085671 60.547912)
+ (xy 157.926856 60.792464)
+ (xy 157.922782 60.799754)
+ (xy 157.797712 61.063152)
+ (xy 157.794636 61.07092)
+ (xy 157.705499 61.348551)
+ (xy 157.703482 61.35664)
+ (xy 157.654625 61.62817)
+ (xy 148.717931 61.62817)
+ (xy 148.618604 61.489941)
+ (xy 148.618599 61.489936)
+ (xy 148.615328 61.485383)
+ (xy 148.454202 61.329242)
+ (xy 148.267973 61.204101)
+ (xy 148.125481 61.141551)
+ (xy 148.067669 61.116173)
+ (xy 148.067667 61.116172)
+ (xy 148.062527 61.113916)
+ (xy 147.902838 61.075578)
+ (xy 147.849814 61.062848)
+ (xy 147.849813 61.062848)
+ (xy 147.844357 61.061538)
+ (xy 147.759591 61.056651)
+ (xy 147.625967 61.048946)
+ (xy 147.625964 61.048946)
+ (xy 147.62036 61.048623)
+ (xy 147.397615 61.075578)
+ (xy 147.183165 61.141551)
+ (xy 147.178185 61.144121)
+ (xy 147.178181 61.144123)
+ (xy 146.98877 61.241886)
+ (xy 146.983787 61.244458)
+ (xy 146.805783 61.381045)
+ (xy 146.80201 61.385191)
+ (xy 146.802005 61.385196)
+ (xy 146.706695 61.489941)
+ (xy 146.654779 61.546996)
+ (xy 146.53555 61.737064)
+ (xy 146.451863 61.945242)
+ (xy 146.406364 62.164949)
+ (xy 146.406217 62.170559)
+ (xy 146.406217 62.17056)
+ (xy 146.406069 62.176202)
+ (xy 146.40049 62.389242)
+ (xy 135.357 62.389242)
+ (xy 135.357 58.47736)
+ (xy 148.038119 58.47736)
+ (xy 148.055048 58.77097)
+ (xy 148.055873 58.775175)
+ (xy 148.055874 58.775183)
+ (xy 148.069061 58.842395)
+ (xy 148.111668 59.059567)
+ (xy 148.113055 59.063617)
+ (xy 148.113056 59.063622)
+ (xy 148.192237 59.294889)
+ (xy 148.206932 59.337809)
+ (xy 148.339076 59.600548)
+ (xy 148.341502 59.604077)
+ (xy 148.341505 59.604083)
+ (xy 148.499098 59.833382)
+ (xy 148.505655 59.842922)
+ (xy 148.703586 60.060446)
+ (xy 148.791627 60.134059)
+ (xy 148.925916 60.246343)
+ (xy 148.925921 60.246347)
+ (xy 148.929208 60.249095)
+ (xy 149.032476 60.313875)
+ (xy 149.174705 60.403095)
+ (xy 149.174709 60.403097)
+ (xy 149.178345 60.405378)
+ (xy 149.304431 60.462308)
+ (xy 149.442475 60.524638)
+ (xy 149.442479 60.52464)
+ (xy 149.446387 60.526404)
+ (xy 149.450507 60.527624)
+ (xy 149.450506 60.527624)
+ (xy 149.724261 60.608714)
+ (xy 149.724265 60.608715)
+ (xy 149.728374 60.609932)
+ (xy 149.732608 60.61058)
+ (xy 149.732613 60.610581)
+ (xy 149.991302 60.650166)
+ (xy 150.019089 60.654418)
+ (xy 150.168859 60.656771)
+ (xy 150.308859 60.65897)
+ (xy 150.308865 60.65897)
+ (xy 150.31315 60.659037)
+ (xy 150.605118 60.623705)
+ (xy 150.747354 60.58639)
+ (xy 150.885447 60.550162)
+ (xy 150.885448 60.550162)
+ (xy 150.88959 60.549075)
+ (xy 151.161301 60.436529)
+ (xy 151.415224 60.288148)
+ (xy 151.64666 60.106679)
+ (xy 151.851327 59.89548)
+ (xy 151.85386 59.892032)
+ (xy 151.853864 59.892027)
+ (xy 152.022899 59.661913)
+ (xy 152.025437 59.658458)
+ (xy 152.027483 59.65469)
+ (xy 152.163718 59.403775)
+ (xy 152.163719 59.403773)
+ (xy 152.165768 59.399999)
+ (xy 152.241453 59.199707)
+ (xy 152.268207 59.128905)
+ (xy 152.268208 59.128901)
+ (xy 152.269725 59.124887)
+ (xy 152.317625 58.915744)
+ (xy 152.334424 58.842395)
+ (xy 152.334425 58.842391)
+ (xy 152.335382 58.838211)
+ (xy 152.339484 58.792256)
+ (xy 152.359024 58.573316)
+ (xy 152.361526 58.545278)
+ (xy 152.362 58.5)
+ (xy 152.361235 58.48878)
+ (xy 152.360457 58.47736)
+ (xy 168.038119 58.47736)
+ (xy 168.055048 58.77097)
+ (xy 168.055873 58.775175)
+ (xy 168.055874 58.775183)
+ (xy 168.069061 58.842395)
+ (xy 168.111668 59.059567)
+ (xy 168.113055 59.063617)
+ (xy 168.113056 59.063622)
+ (xy 168.192237 59.294889)
+ (xy 168.206932 59.337809)
+ (xy 168.339076 59.600548)
+ (xy 168.341502 59.604077)
+ (xy 168.341505 59.604083)
+ (xy 168.499098 59.833382)
+ (xy 168.505655 59.842922)
+ (xy 168.703586 60.060446)
+ (xy 168.791627 60.134059)
+ (xy 168.925916 60.246343)
+ (xy 168.925921 60.246347)
+ (xy 168.929208 60.249095)
+ (xy 169.032476 60.313875)
+ (xy 169.174705 60.403095)
+ (xy 169.174709 60.403097)
+ (xy 169.178345 60.405378)
+ (xy 169.304431 60.462308)
+ (xy 169.442475 60.524638)
+ (xy 169.442479 60.52464)
+ (xy 169.446387 60.526404)
+ (xy 169.450507 60.527624)
+ (xy 169.450506 60.527624)
+ (xy 169.724261 60.608714)
+ (xy 169.724265 60.608715)
+ (xy 169.728374 60.609932)
+ (xy 169.732608 60.61058)
+ (xy 169.732613 60.610581)
+ (xy 169.991302 60.650166)
+ (xy 170.019089 60.654418)
+ (xy 170.168859 60.656771)
+ (xy 170.308859 60.65897)
+ (xy 170.308865 60.65897)
+ (xy 170.31315 60.659037)
+ (xy 170.605118 60.623705)
+ (xy 170.747354 60.58639)
+ (xy 170.885447 60.550162)
+ (xy 170.885448 60.550162)
+ (xy 170.88959 60.549075)
+ (xy 171.161301 60.436529)
+ (xy 171.415224 60.288148)
+ (xy 171.64666 60.106679)
+ (xy 171.851327 59.89548)
+ (xy 171.85386 59.892032)
+ (xy 171.853864 59.892027)
+ (xy 172.022899 59.661913)
+ (xy 172.025437 59.658458)
+ (xy 172.027483 59.65469)
+ (xy 172.163718 59.403775)
+ (xy 172.163719 59.403773)
+ (xy 172.165768 59.399999)
+ (xy 172.241453 59.199707)
+ (xy 172.268207 59.128905)
+ (xy 172.268208 59.128901)
+ (xy 172.269725 59.124887)
+ (xy 172.317625 58.915744)
+ (xy 172.334424 58.842395)
+ (xy 172.334425 58.842391)
+ (xy 172.335382 58.838211)
+ (xy 172.339484 58.792256)
+ (xy 172.359024 58.573316)
+ (xy 172.361526 58.545278)
+ (xy 172.362 58.5)
+ (xy 172.361235 58.48878)
+ (xy 172.342289 58.21086)
+ (xy 172.342288 58.210854)
+ (xy 172.341997 58.206583)
+ (xy 172.312232 58.062852)
+ (xy 172.283228 57.922801)
+ (xy 172.282357 57.918595)
+ (xy 172.184186 57.641366)
+ (xy 172.049298 57.380026)
+ (xy 172.038405 57.364526)
+ (xy 171.935105 57.217545)
+ (xy 171.88019 57.139409)
+ (xy 171.740134 56.988691)
+ (xy 171.682912 56.927113)
+ (xy 171.682909 56.927111)
+ (xy 171.679991 56.92397)
+ (xy 171.452406 56.737694)
+ (xy 171.201646 56.584028)
+ (xy 171.197729 56.582309)
+ (xy 171.197726 56.582307)
+ (xy 170.960585 56.47821)
+ (xy 170.932351 56.465816)
+ (xy 170.928223 56.46464)
+ (xy 170.92822 56.464639)
+ (xy 170.822854 56.434625)
+ (xy 170.649505 56.385245)
+ (xy 170.645263 56.384641)
+ (xy 170.645257 56.38464)
+ (xy 170.362592 56.344411)
+ (xy 170.358341 56.343806)
+ (xy 170.203328 56.342994)
+ (xy 170.068533 56.342288)
+ (xy 170.068526 56.342288)
+ (xy 170.064247 56.342266)
+ (xy 170.060002 56.342825)
+ (xy 170.06 56.342825)
+ (xy 169.994726 56.351419)
+ (xy 169.772665 56.380654)
+ (xy 169.48899 56.458258)
+ (xy 169.485042 56.459942)
+ (xy 169.222425 56.571958)
+ (xy 169.222421 56.57196)
+ (xy 169.218473 56.573644)
+ (xy 169.197385 56.586265)
+ (xy 168.969799 56.722471)
+ (xy 168.969795 56.722474)
+ (xy 168.966117 56.724675)
+ (xy 168.962774 56.727353)
+ (xy 168.96277 56.727356)
+ (xy 168.884455 56.790099)
+ (xy 168.736594 56.908558)
+ (xy 168.73365 56.91166)
+ (xy 168.733646 56.911664)
+ (xy 168.56126 57.093321)
+ (xy 168.53415 57.121889)
+ (xy 168.531651 57.125367)
+ (xy 168.53165 57.125368)
+ (xy 168.495686 57.175418)
+ (xy 168.362532 57.360722)
+ (xy 168.224915 57.620635)
+ (xy 168.195041 57.702269)
+ (xy 168.147657 57.831753)
+ (xy 168.123845 57.896821)
+ (xy 168.061193 58.184168)
+ (xy 168.038119 58.47736)
+ (xy 152.360457 58.47736)
+ (xy 152.342289 58.21086)
+ (xy 152.342288 58.210854)
+ (xy 152.341997 58.206583)
+ (xy 152.312232 58.062852)
+ (xy 152.283228 57.922801)
+ (xy 152.282357 57.918595)
+ (xy 152.184186 57.641366)
+ (xy 152.049298 57.380026)
+ (xy 152.038405 57.364526)
+ (xy 151.935105 57.217545)
+ (xy 151.88019 57.139409)
+ (xy 151.740134 56.988691)
+ (xy 151.682912 56.927113)
+ (xy 151.682909 56.927111)
+ (xy 151.679991 56.92397)
+ (xy 151.452406 56.737694)
+ (xy 151.201646 56.584028)
+ (xy 151.197729 56.582309)
+ (xy 151.197726 56.582307)
+ (xy 150.960585 56.47821)
+ (xy 150.932351 56.465816)
+ (xy 150.928223 56.46464)
+ (xy 150.92822 56.464639)
+ (xy 150.822854 56.434625)
+ (xy 150.649505 56.385245)
+ (xy 150.645263 56.384641)
+ (xy 150.645257 56.38464)
+ (xy 150.362592 56.344411)
+ (xy 150.358341 56.343806)
+ (xy 150.203328 56.342994)
+ (xy 150.068533 56.342288)
+ (xy 150.068526 56.342288)
+ (xy 150.064247 56.342266)
+ (xy 150.060002 56.342825)
+ (xy 150.06 56.342825)
+ (xy 149.994726 56.351419)
+ (xy 149.772665 56.380654)
+ (xy 149.48899 56.458258)
+ (xy 149.485042 56.459942)
+ (xy 149.222425 56.571958)
+ (xy 149.222421 56.57196)
+ (xy 149.218473 56.573644)
+ (xy 149.197385 56.586265)
+ (xy 148.969799 56.722471)
+ (xy 148.969795 56.722474)
+ (xy 148.966117 56.724675)
+ (xy 148.962774 56.727353)
+ (xy 148.96277 56.727356)
+ (xy 148.884455 56.790099)
+ (xy 148.736594 56.908558)
+ (xy 148.73365 56.91166)
+ (xy 148.733646 56.911664)
+ (xy 148.56126 57.093321)
+ (xy 148.53415 57.121889)
+ (xy 148.531651 57.125367)
+ (xy 148.53165 57.125368)
+ (xy 148.495686 57.175418)
+ (xy 148.362532 57.360722)
+ (xy 148.224915 57.620635)
+ (xy 148.195041 57.702269)
+ (xy 148.147657 57.831753)
+ (xy 148.123845 57.896821)
+ (xy 148.061193 58.184168)
+ (xy 148.038119 58.47736)
+ (xy 135.357 58.47736)
+ (xy 135.357 56.039242)
+ (xy 143.86049 56.039242)
+ (xy 143.876355 56.14292)
+ (xy 143.892463 56.248179)
+ (xy 143.894429 56.261029)
+ (xy 143.91163 56.311268)
+ (xy 143.965134 56.467541)
+ (xy 143.967106 56.473302)
+ (xy 144.076224 56.669349)
+ (xy 144.079776 56.673689)
+ (xy 144.079779 56.673693)
+ (xy 144.185017 56.802268)
+ (xy 144.218335 56.842975)
+ (xy 144.253437 56.872955)
+ (xy 144.384682 56.985049)
+ (xy 144.384686 56.985052)
+ (xy 144.388947 56.988691)
+ (xy 144.582667 57.101892)
+ (xy 144.587933 57.103819)
+ (xy 144.587937 57.103821)
+ (xy 144.788106 57.177072)
+ (xy 144.788109 57.177073)
+ (xy 144.79337 57.178998)
+ (xy 144.798886 57.179961)
+ (xy 144.798891 57.179962)
+ (xy 144.977145 57.211072)
+ (xy 145.014399 57.217574)
+ (xy 145.020005 57.217545)
+ (xy 145.020009 57.217545)
+ (xy 145.123025 57.217005)
+ (xy 145.238765 57.216399)
+ (xy 145.459377 57.175511)
+ (xy 145.464626 57.173528)
+ (xy 145.464628 57.173527)
+ (xy 145.609511 57.11878)
+ (xy 145.669262 57.096202)
+ (xy 145.861785 56.980979)
+ (xy 145.866005 56.977297)
+ (xy 145.86601 56.977294)
+ (xy 146.026631 56.837175)
+ (xy 146.030861 56.833485)
+ (xy 146.045479 56.815239)
+ (xy 146.167641 56.662757)
+ (xy 146.167644 56.662752)
+ (xy 146.171147 56.65838)
+ (xy 146.278206 56.461201)
+ (xy 146.348657 56.248179)
+ (xy 146.357458 56.186343)
+ (xy 146.373418 56.074193)
+ (xy 146.378392 56.039242)
+ (xy 163.86049 56.039242)
+ (xy 163.876355 56.14292)
+ (xy 163.892463 56.248179)
+ (xy 163.894429 56.261029)
+ (xy 163.91163 56.311268)
+ (xy 163.965134 56.467541)
+ (xy 163.967106 56.473302)
+ (xy 164.076224 56.669349)
+ (xy 164.079776 56.673689)
+ (xy 164.079779 56.673693)
+ (xy 164.185017 56.802268)
+ (xy 164.218335 56.842975)
+ (xy 164.253437 56.872955)
+ (xy 164.384682 56.985049)
+ (xy 164.384686 56.985052)
+ (xy 164.388947 56.988691)
+ (xy 164.582667 57.101892)
+ (xy 164.587933 57.103819)
+ (xy 164.587937 57.103821)
+ (xy 164.788106 57.177072)
+ (xy 164.788109 57.177073)
+ (xy 164.79337 57.178998)
+ (xy 164.798886 57.179961)
+ (xy 164.798891 57.179962)
+ (xy 164.977145 57.211072)
+ (xy 165.014399 57.217574)
+ (xy 165.020005 57.217545)
+ (xy 165.020009 57.217545)
+ (xy 165.123025 57.217005)
+ (xy 165.238765 57.216399)
+ (xy 165.459377 57.175511)
+ (xy 165.464626 57.173528)
+ (xy 165.464628 57.173527)
+ (xy 165.609511 57.11878)
+ (xy 165.669262 57.096202)
+ (xy 165.861785 56.980979)
+ (xy 165.866005 56.977297)
+ (xy 165.86601 56.977294)
+ (xy 166.026631 56.837175)
+ (xy 166.030861 56.833485)
+ (xy 166.045479 56.815239)
+ (xy 166.167641 56.662757)
+ (xy 166.167644 56.662752)
+ (xy 166.171147 56.65838)
+ (xy 166.278206 56.461201)
+ (xy 166.348657 56.248179)
+ (xy 166.357458 56.186343)
+ (xy 166.373418 56.074193)
+ (xy 166.38027 56.026048)
+ (xy 166.382 55.96)
+ (xy 166.381253 55.951623)
+ (xy 166.369555 55.82056)
+ (xy 166.362055 55.736519)
+ (xy 166.318312 55.57662)
+ (xy 166.304332 55.525518)
+ (xy 166.304331 55.525514)
+ (xy 166.30285 55.520102)
+ (xy 166.206256 55.31759)
+ (xy 166.169903 55.266999)
+ (xy 166.078604 55.139941)
+ (xy 166.078599 55.139936)
+ (xy 166.075328 55.135383)
+ (xy 165.914202 54.979242)
+ (xy 165.727973 54.854101)
+ (xy 165.585481 54.791551)
+ (xy 165.527669 54.766173)
+ (xy 165.527667 54.766172)
+ (xy 165.522527 54.763916)
+ (xy 165.362838 54.725578)
+ (xy 165.309814 54.712848)
+ (xy 165.309813 54.712848)
+ (xy 165.304357 54.711538)
+ (xy 165.219591 54.706651)
+ (xy 165.085967 54.698946)
+ (xy 165.085964 54.698946)
+ (xy 165.08036 54.698623)
+ (xy 164.857615 54.725578)
+ (xy 164.643165 54.791551)
+ (xy 164.638185 54.794121)
+ (xy 164.638181 54.794123)
+ (xy 164.476747 54.877446)
+ (xy 164.443787 54.894458)
+ (xy 164.265783 55.031045)
+ (xy 164.26201 55.035191)
+ (xy 164.262005 55.035196)
+ (xy 164.166695 55.139941)
+ (xy 164.114779 55.196996)
+ (xy 164.043131 55.311213)
+ (xy 164.014035 55.357597)
+ (xy 163.99555 55.387064)
+ (xy 163.911863 55.595242)
+ (xy 163.866364 55.814949)
+ (xy 163.86049 56.039242)
+ (xy 146.378392 56.039242)
+ (xy 146.38027 56.026048)
+ (xy 146.382 55.96)
+ (xy 146.381253 55.951623)
+ (xy 146.369555 55.82056)
+ (xy 146.362055 55.736519)
+ (xy 146.318312 55.57662)
+ (xy 146.304332 55.525518)
+ (xy 146.304331 55.525514)
+ (xy 146.30285 55.520102)
+ (xy 146.206256 55.31759)
+ (xy 146.169903 55.266999)
+ (xy 146.078604 55.139941)
+ (xy 146.078599 55.139936)
+ (xy 146.075328 55.135383)
+ (xy 145.914202 54.979242)
+ (xy 145.727973 54.854101)
+ (xy 145.585481 54.791551)
+ (xy 145.527669 54.766173)
+ (xy 145.527667 54.766172)
+ (xy 145.522527 54.763916)
+ (xy 145.362838 54.725578)
+ (xy 145.309814 54.712848)
+ (xy 145.309813 54.712848)
+ (xy 145.304357 54.711538)
+ (xy 145.219591 54.706651)
+ (xy 145.085967 54.698946)
+ (xy 145.085964 54.698946)
+ (xy 145.08036 54.698623)
+ (xy 144.857615 54.725578)
+ (xy 144.643165 54.791551)
+ (xy 144.638185 54.794121)
+ (xy 144.638181 54.794123)
+ (xy 144.476747 54.877446)
+ (xy 144.443787 54.894458)
+ (xy 144.265783 55.031045)
+ (xy 144.26201 55.035191)
+ (xy 144.262005 55.035196)
+ (xy 144.166695 55.139941)
+ (xy 144.114779 55.196996)
+ (xy 144.043131 55.311213)
+ (xy 144.014035 55.357597)
+ (xy 143.99555 55.387064)
+ (xy 143.911863 55.595242)
+ (xy 143.866364 55.814949)
+ (xy 143.86049 56.039242)
+ (xy 135.357 56.039242)
+ (xy 135.357 53.40587)
+ (xy 149.188099 53.40587)
+ (xy 149.188615 53.412014)
+ (xy 149.200297 53.551128)
+ (xy 149.204626 53.602685)
+ (xy 149.216532 53.644207)
+ (xy 149.251225 53.765196)
+ (xy 149.259066 53.792542)
+ (xy 149.261885 53.798027)
+ (xy 149.346529 53.962727)
+ (xy 149.346532 53.962732)
+ (xy 149.349347 53.968209)
+ (xy 149.472028 54.122994)
+ (xy 149.476722 54.126988)
+ (xy 149.476722 54.126989)
+ (xy 149.545529 54.185548)
+ (xy 149.622438 54.251003)
+ (xy 149.627816 54.254009)
+ (xy 149.627818 54.25401)
+ (xy 149.657617 54.270664)
+ (xy 149.794847 54.347359)
+ (xy 149.982688 54.408392)
+ (xy 150.178806 54.431778)
+ (xy 150.184941 54.431306)
+ (xy 150.184943 54.431306)
+ (xy 150.369589 54.417098)
+ (xy 150.369592 54.417097)
+ (xy 150.375732 54.416625)
+ (xy 150.565964 54.363512)
+ (xy 150.742257 54.27446)
+ (xy 150.777392 54.24701)
+ (xy 150.893039 54.156656)
+ (xy 150.897895 54.152862)
+ (xy 150.923368 54.123352)
+ (xy 151.022924 54.008015)
+ (xy 151.026951 54.00335)
+ (xy 151.04417 53.97304)
+ (xy 151.121465 53.836974)
+ (xy 151.121466 53.836973)
+ (xy 151.124508 53.831617)
+ (xy 151.139024 53.787982)
+ (xy 151.166259 53.706108)
+ (xy 151.186851 53.644207)
+ (xy 151.211605 53.448257)
+ (xy 151.211875 53.428946)
+ (xy 151.211951 53.423522)
+ (xy 151.211951 53.423518)
+ (xy 151.212 53.42)
+ (xy 151.210615 53.40587)
+ (xy 169.188099 53.40587)
+ (xy 169.188615 53.412014)
+ (xy 169.200297 53.551128)
+ (xy 169.204626 53.602685)
+ (xy 169.216532 53.644207)
+ (xy 169.251225 53.765196)
+ (xy 169.259066 53.792542)
+ (xy 169.261885 53.798027)
+ (xy 169.346529 53.962727)
+ (xy 169.346532 53.962732)
+ (xy 169.349347 53.968209)
+ (xy 169.472028 54.122994)
+ (xy 169.476722 54.126988)
+ (xy 169.476722 54.126989)
+ (xy 169.545529 54.185548)
+ (xy 169.622438 54.251003)
+ (xy 169.627816 54.254009)
+ (xy 169.627818 54.25401)
+ (xy 169.657617 54.270664)
+ (xy 169.794847 54.347359)
+ (xy 169.982688 54.408392)
+ (xy 170.178806 54.431778)
+ (xy 170.184941 54.431306)
+ (xy 170.184943 54.431306)
+ (xy 170.369589 54.417098)
+ (xy 170.369592 54.417097)
+ (xy 170.375732 54.416625)
+ (xy 170.560036 54.365167)
+ (xy 177.242114 54.365167)
+ (xy 177.244813 54.374191)
+ (xy 177.244813 54.374193)
+ (xy 177.262035 54.431778)
+ (xy 177.281517 54.496923)
+ (xy 177.357921 54.611268)
+ (xy 177.464568 54.698093)
+ (xy 177.473299 54.701629)
+ (xy 177.4733 54.70163)
+ (xy 177.503774 54.713973)
+ (xy 177.592032 54.749721)
+ (xy 177.601417 54.750534)
+ (xy 177.601418 54.750534)
+ (xy 177.617374 54.751916)
+ (xy 177.683514 54.777722)
+ (xy 177.725203 54.83519)
+ (xy 177.7325 54.877446)
+ (xy 177.732501 55.92704)
+ (xy 177.73008 55.951623)
+ (xy 177.723488 55.984761)
+ (xy 177.725909 55.996931)
+ (xy 177.725909 55.996933)
+ (xy 177.726067 55.997727)
+ (xy 177.728488 56.022309)
+ (xy 177.728489 56.777686)
+ (xy 177.726068 56.802268)
+ (xy 177.723488 56.815239)
+ (xy 177.725909 56.827409)
+ (xy 177.730079 56.848372)
+ (xy 177.7325 56.872955)
+ (xy 177.732501 59.257336)
+ (xy 177.73008 59.281918)
+ (xy 177.7275 59.294889)
+ (xy 177.746326 59.389529)
+ (xy 177.747483 59.395343)
+ (xy 177.804385 59.480505)
+ (xy 177.8147 59.487397)
+ (xy 177.814702 59.487399)
+ (xy 177.815383 59.487854)
+ (xy 177.834476 59.503524)
+ (xy 180.159156 61.828205)
+ (xy 180.193182 61.890517)
+ (xy 180.188117 61.961333)
+ (xy 180.14557 62.018168)
+ (xy 180.07896 62.042985)
+ (xy 180.048899 62.045113)
+ (xy 180.008511 62.047972)
+ (xy 180.008509 62.047973)
+ (xy 179.999109 62.048638)
+ (xy 179.87085 62.098258)
+ (xy 179.762852 62.183397)
+ (xy 179.684662 62.296528)
+ (xy 179.681823 62.305505)
+ (xy 179.681822 62.305507)
+ (xy 179.653587 62.394788)
+ (xy 179.643194 62.427649)
+ (xy 179.642114 62.565167)
+ (xy 179.644813 62.574191)
+ (xy 179.644813 62.574193)
+ (xy 179.66421 62.639051)
+ (xy 179.681517 62.696923)
+ (xy 179.708332 62.737054)
+ (xy 179.734317 62.775942)
+ (xy 179.757921 62.811268)
+ (xy 179.765222 62.817212)
+ (xy 179.765223 62.817213)
+ (xy 179.772702 62.823302)
+ (xy 179.864568 62.898093)
+ (xy 179.873299 62.901629)
+ (xy 179.8733 62.90163)
+ (xy 179.9283 62.923907)
+ (xy 179.992032 62.949721)
+ (xy 180.001417 62.950534)
+ (xy 180.001418 62.950534)
+ (xy 180.119661 62.960775)
+ (xy 180.119664 62.960775)
+ (xy 180.129041 62.961587)
+ (xy 180.138242 62.959606)
+ (xy 180.138244 62.959606)
+ (xy 180.254278 62.934625)
+ (xy 180.254281 62.934624)
+ (xy 180.263482 62.932643)
+ (xy 180.271694 62.928044)
+ (xy 180.271697 62.928043)
+ (xy 180.375254 62.870048)
+ (xy 180.38347 62.865447)
+ (xy 180.478394 62.76594)
+ (xy 180.539864 62.64292)
+ (xy 180.548236 62.592624)
+ (xy 180.561584 62.512426)
+ (xy 180.592499 62.448513)
+ (xy 180.65306 62.411461)
+ (xy 180.724039 62.413032)
+ (xy 180.774969 62.444018)
+ (xy 182.786475 64.455524)
+ (xy 182.802145 64.474617)
+ (xy 182.8026 64.475298)
+ (xy 182.802602 64.4753)
+ (xy 182.809494 64.485615)
+ (xy 182.894656 64.542517)
+ (xy 182.906827 64.544938)
+ (xy 182.969973 64.557499)
+ (xy 182.982938 64.560078)
+ (xy 182.982939 64.560078)
+ (xy 182.995109 64.562499)
+ (xy 183.007279 64.560078)
+ (xy 183.007281 64.560078)
+ (xy 183.008075 64.55992)
+ (xy 183.032657 64.557499)
+ (xy 183.762453 64.557499)
+ (xy 183.787035 64.55992)
+ (xy 183.787829 64.560078)
+ (xy 183.787831 64.560078)
+ (xy 183.800001 64.562499)
+ (xy 183.812171 64.560078)
+ (xy 183.812966 64.55992)
+ (xy 183.825136 64.557499)
+ (xy 183.825137 64.557499)
+ (xy 183.900455 64.542517)
+ (xy 183.985617 64.485615)
+ (xy 183.986409 64.4868)
+ (xy 184.036824 64.459271)
+ (xy 184.074478 64.456862)
+ (xy 184.11966 64.460775)
+ (xy 184.119663 64.460775)
+ (xy 184.129041 64.461587)
+ (xy 184.138242 64.459606)
+ (xy 184.138244 64.459606)
+ (xy 184.254278 64.434625)
+ (xy 184.254281 64.434624)
+ (xy 184.263482 64.432643)
+ (xy 184.271694 64.428044)
+ (xy 184.271697 64.428043)
+ (xy 184.375254 64.370048)
+ (xy 184.38347 64.365447)
+ (xy 184.478394 64.26594)
+ (xy 184.513356 64.195971)
+ (xy 184.535653 64.151348)
+ (xy 184.535653 64.151347)
+ (xy 184.539864 64.14292)
+ (xy 184.541509 64.13304)
+ (xy 184.550243 64.080561)
+ (xy 184.562443 64.007265)
+ (xy 184.5625 64)
+ (xy 184.542054 63.864006)
+ (xy 184.510401 63.798088)
+ (xy 184.4866 63.748522)
+ (xy 184.4866 63.748521)
+ (xy 184.482525 63.740036)
+ (xy 184.389175 63.639051)
+ (xy 184.381029 63.634319)
+ (xy 184.373678 63.62843)
+ (xy 184.375642 63.625979)
+ (xy 184.337791 63.586058)
+ (xy 184.333959 63.56587)
+ (xy 189.188099 63.56587)
+ (xy 189.188615 63.572014)
+ (xy 189.203437 63.748522)
+ (xy 189.204626 63.762685)
+ (xy 189.206325 63.768609)
+ (xy 189.256733 63.944405)
+ (xy 189.259066 63.952542)
+ (xy 189.261885 63.958027)
+ (xy 189.346529 64.122727)
+ (xy 189.346532 64.122732)
+ (xy 189.349347 64.128209)
+ (xy 189.472028 64.282994)
+ (xy 189.476722 64.286988)
+ (xy 189.476722 64.286989)
+ (xy 189.584479 64.378697)
+ (xy 189.622438 64.411003)
+ (xy 189.627816 64.414009)
+ (xy 189.627818 64.41401)
+ (xy 189.66938 64.437238)
+ (xy 189.794847 64.507359)
+ (xy 189.982688 64.568392)
+ (xy 190.178806 64.591778)
+ (xy 190.184941 64.591306)
+ (xy 190.184943 64.591306)
+ (xy 190.369589 64.577098)
+ (xy 190.369592 64.577097)
+ (xy 190.375732 64.576625)
+ (xy 190.565964 64.523512)
+ (xy 190.742257 64.43446)
+ (xy 190.750471 64.428043)
+ (xy 190.893039 64.316656)
+ (xy 190.897895 64.312862)
+ (xy 191.026951 64.16335)
+ (xy 191.03007 64.157861)
+ (xy 191.121465 63.996974)
+ (xy 191.121466 63.996973)
+ (xy 191.124508 63.991617)
+ (xy 191.126941 63.984305)
+ (xy 191.163861 63.873316)
+ (xy 191.186851 63.804207)
+ (xy 191.211605 63.608257)
+ (xy 191.212 63.58)
+ (xy 191.192727 63.383435)
+ (xy 191.135641 63.194357)
+ (xy 191.085547 63.100144)
+ (xy 191.04581 63.02541)
+ (xy 191.045808 63.025407)
+ (xy 191.042916 63.019968)
+ (xy 190.918086 62.86691)
+ (xy 190.765903 62.741014)
+ (xy 190.592166 62.647074)
+ (xy 190.403491 62.58867)
+ (xy 190.397366 62.588026)
+ (xy 190.397365 62.588026)
+ (xy 190.213193 62.568669)
+ (xy 190.213192 62.568669)
+ (xy 190.207065 62.568025)
+ (xy 190.086743 62.578975)
+ (xy 190.016511 62.585366)
+ (xy 190.01651 62.585366)
+ (xy 190.01037 62.585925)
+ (xy 189.968735 62.598179)
+ (xy 189.826809 62.63995)
+ (xy 189.826806 62.639951)
+ (xy 189.820898 62.64169)
+ (xy 189.645866 62.733195)
+ (xy 189.641068 62.737053)
+ (xy 189.641066 62.737054)
+ (xy 189.584909 62.782206)
+ (xy 189.491941 62.856954)
+ (xy 189.487982 62.861672)
+ (xy 189.487981 62.861673)
+ (xy 189.413417 62.950534)
+ (xy 189.364985 63.008253)
+ (xy 189.362022 63.013642)
+ (xy 189.362019 63.013647)
+ (xy 189.286318 63.151348)
+ (xy 189.269835 63.181331)
+ (xy 189.210115 63.369593)
+ (xy 189.209429 63.37571)
+ (xy 189.209428 63.375714)
+ (xy 189.192626 63.525511)
+ (xy 189.188099 63.56587)
+ (xy 184.333959 63.56587)
+ (xy 184.324549 63.516308)
+ (xy 184.35112 63.450471)
+ (xy 184.388379 63.4187)
+ (xy 184.3961 63.414376)
+ (xy 184.48347 63.365447)
+ (xy 184.578394 63.26594)
+ (xy 184.639864 63.14292)
+ (xy 184.646984 63.100146)
+ (xy 184.66163 63.012149)
+ (xy 184.662443 63.007265)
+ (xy 184.6625 63)
+ (xy 184.642054 62.864006)
+ (xy 184.582525 62.740036)
+ (xy 184.4993 62.650004)
+ (xy 184.495572 62.645971)
+ (xy 184.495571 62.64597)
+ (xy 184.489175 62.639051)
+ (xy 184.481031 62.63432)
+ (xy 184.481029 62.634319)
+ (xy 184.378399 62.574706)
+ (xy 184.378395 62.574704)
+ (xy 184.370258 62.569978)
+ (xy 184.285696 62.550378)
+ (xy 184.245465 62.541053)
+ (xy 184.245463 62.541053)
+ (xy 184.236287 62.538926)
+ (xy 184.226891 62.539591)
+ (xy 184.226888 62.539591)
+ (xy 184.108511 62.547972)
+ (xy 184.108509 62.547973)
+ (xy 184.099109 62.548638)
+ (xy 183.97085 62.598258)
+ (xy 183.862852 62.683397)
+ (xy 183.784662 62.796528)
+ (xy 183.781823 62.805505)
+ (xy 183.781822 62.805507)
+ (xy 183.762866 62.865447)
+ (xy 183.743194 62.927649)
+ (xy 183.74312 62.93707)
+ (xy 183.742293 63.042342)
+ (xy 183.705393 63.130447)
+ (xy 183.522533 63.313308)
+ (xy 183.344258 63.491583)
+ (xy 183.255162 63.528488)
+ (xy 183.144838 63.528488)
+ (xy 183.055743 63.491583)
+ (xy 181.327873 61.763713)
+ (xy 188.138926 61.763713)
+ (xy 188.139591 61.773107)
+ (xy 188.139591 61.773109)
+ (xy 188.142163 61.809435)
+ (xy 188.142474 61.819322)
+ (xy 188.142114 61.865167)
+ (xy 188.144813 61.874192)
+ (xy 188.146052 61.882897)
+ (xy 188.147972 61.891489)
+ (xy 188.148638 61.900891)
+ (xy 188.152038 61.909679)
+ (xy 188.165179 61.943646)
+ (xy 188.168383 61.953006)
+ (xy 188.181517 61.996923)
+ (xy 188.18675 62.004754)
+ (xy 188.190497 62.012718)
+ (xy 188.194861 62.020368)
+ (xy 188.198258 62.02915)
+ (xy 188.204088 62.036545)
+ (xy 188.204089 62.036547)
+ (xy 188.226636 62.065147)
+ (xy 188.232452 62.073152)
+ (xy 188.252688 62.103438)
+ (xy 188.252692 62.103442)
+ (xy 188.257921 62.111268)
+ (xy 188.265223 62.117213)
+ (xy 188.271153 62.123729)
+ (xy 188.277566 62.129751)
+ (xy 188.283397 62.137148)
+ (xy 188.291145 62.142503)
+ (xy 188.291146 62.142504)
+ (xy 188.321111 62.163215)
+ (xy 188.329019 62.169153)
+ (xy 188.357259 62.192143)
+ (xy 188.357261 62.192144)
+ (xy 188.364568 62.198093)
+ (xy 188.3733 62.20163)
+ (xy 188.380877 62.206111)
+ (xy 188.388777 62.209981)
+ (xy 188.396528 62.215338)
+ (xy 188.405511 62.218179)
+ (xy 188.440239 62.229162)
+ (xy 188.449547 62.232513)
+ (xy 188.492032 62.249721)
+ (xy 188.501419 62.250534)
+ (xy 188.510574 62.252732)
+ (xy 188.510531 62.252913)
+ (xy 188.5137 62.253543)
+ (xy 188.513754 62.253267)
+ (xy 188.520801 62.25464)
+ (xy 188.527649 62.256806)
+ (xy 188.536467 62.2575)
+ (xy 188.576406 62.2575)
+ (xy 188.587278 62.25797)
+ (xy 188.619661 62.260775)
+ (xy 188.619664 62.260775)
+ (xy 188.629041 62.261587)
+ (xy 188.638243 62.259606)
+ (xy 188.646572 62.259082)
+ (xy 188.661436 62.2575)
+ (xy 191.946782 62.2575)
+ (xy 191.961592 62.258373)
+ (xy 191.994527 62.262271)
+ (xy 192.003791 62.260579)
+ (xy 192.003794 62.260579)
+ (xy 192.05313 62.251569)
+ (xy 192.057032 62.250919)
+ (xy 192.106684 62.243454)
+ (xy 192.106686 62.243453)
+ (xy 192.115994 62.242054)
+ (xy 192.122603 62.23888)
+ (xy 192.129811 62.237564)
+ (xy 192.182707 62.210087)
+ (xy 192.186209 62.208338)
+ (xy 192.210136 62.196848)
+ (xy 192.239964 62.182525)
+ (xy 192.245274 62.177617)
+ (xy 192.245471 62.177484)
+ (xy 192.25185 62.17417)
+ (xy 192.258576 62.168425)
+ (xy 192.297889 62.129112)
+ (xy 192.301455 62.125683)
+ (xy 192.334032 62.095569)
+ (xy 192.340949 62.089175)
+ (xy 192.344481 62.083094)
+ (xy 192.349415 62.077586)
+ (xy 193.857553 60.569449)
+ (xy 193.919865 60.535423)
+ (xy 193.990681 60.540488)
+ (xy 194.047516 60.583035)
+ (xy 194.07003 60.684094)
+ (xy 194.026364 60.894949)
+ (xy 194.02049 61.119242)
+ (xy 194.03313 61.201845)
+ (xy 194.052463 61.328179)
+ (xy 194.054429 61.341029)
+ (xy 194.068361 61.38172)
+ (xy 194.102515 61.481476)
+ (xy 194.127106 61.553302)
+ (xy 194.236224 61.749349)
+ (xy 194.239776 61.753689)
+ (xy 194.239779 61.753693)
+ (xy 194.289448 61.814376)
+ (xy 194.378335 61.922975)
+ (xy 194.382603 61.92662)
+ (xy 194.544682 62.065049)
+ (xy 194.544686 62.065052)
+ (xy 194.548947 62.068691)
+ (xy 194.742667 62.181892)
+ (xy 194.747933 62.183819)
+ (xy 194.747937 62.183821)
+ (xy 194.948106 62.257072)
+ (xy 194.948109 62.257073)
+ (xy 194.95337 62.258998)
+ (xy 194.958886 62.259961)
+ (xy 194.958891 62.259962)
+ (xy 195.124023 62.288782)
+ (xy 195.174399 62.297574)
+ (xy 195.180005 62.297545)
+ (xy 195.180009 62.297545)
+ (xy 195.283025 62.297005)
+ (xy 195.398765 62.296399)
+ (xy 195.619377 62.255511)
+ (xy 195.624626 62.253528)
+ (xy 195.624628 62.253527)
+ (xy 195.725692 62.215338)
+ (xy 195.829262 62.176202)
+ (xy 195.839172 62.170271)
+ (xy 197.256996 62.170271)
+ (xy 197.263275 62.242049)
+ (xy 197.264549 62.25028)
+ (xy 197.32816 62.53486)
+ (xy 197.330516 62.542864)
+ (xy 197.431205 62.816525)
+ (xy 197.434595 62.824141)
+ (xy 197.570589 63.082076)
+ (xy 197.574967 63.089192)
+ (xy 197.74388 63.326875)
+ (xy 197.749151 63.333338)
+ (xy 197.94802 63.5466)
+ (xy 197.954104 63.552312)
+ (xy 198.179425 63.737393)
+ (xy 198.186206 63.742248)
+ (xy 198.434038 63.89591)
+ (xy 198.441398 63.899823)
+ (xy 198.707364 64.019353)
+ (xy 198.715187 64.022263)
+ (xy 198.994637 64.105571)
+ (xy 199.002758 64.107416)
+ (xy 199.291433 64.153137)
+ (xy 199.298408 64.153845)
+ (xy 199.388477 64.157936)
+ (xy 199.39131 64.158)
+ (xy 199.727885 64.158)
+ (xy 199.743124 64.153525)
+ (xy 199.744329 64.152135)
+ (xy 199.746 64.144452)
+ (xy 199.746 64.139885)
+ (xy 200.253999 64.139885)
+ (xy 200.258474 64.155124)
+ (xy 200.259864 64.156329)
+ (xy 200.267547 64.158)
+ (xy 200.573181 64.158)
+ (xy 200.577373 64.157861)
+ (xy 200.795125 64.143398)
+ (xy 200.803394 64.142295)
+ (xy 201.089231 64.084659)
+ (xy 201.097292 64.082469)
+ (xy 201.372998 63.987536)
+ (xy 201.380685 63.984305)
+ (xy 201.64141 63.853743)
+ (xy 201.648619 63.849513)
+ (xy 201.889783 63.685619)
+ (xy 201.896358 63.680482)
+ (xy 202.024544 63.56587)
+ (xy 209.188099 63.56587)
+ (xy 209.188615 63.572014)
+ (xy 209.203437 63.748522)
+ (xy 209.204626 63.762685)
+ (xy 209.206325 63.768609)
+ (xy 209.256733 63.944405)
+ (xy 209.259066 63.952542)
+ (xy 209.261885 63.958027)
+ (xy 209.346529 64.122727)
+ (xy 209.346532 64.122732)
+ (xy 209.349347 64.128209)
+ (xy 209.472028 64.282994)
+ (xy 209.476722 64.286988)
+ (xy 209.476722 64.286989)
+ (xy 209.584479 64.378697)
+ (xy 209.622438 64.411003)
+ (xy 209.627816 64.414009)
+ (xy 209.627818 64.41401)
+ (xy 209.66938 64.437238)
+ (xy 209.794847 64.507359)
+ (xy 209.982688 64.568392)
+ (xy 210.178806 64.591778)
+ (xy 210.184941 64.591306)
+ (xy 210.184943 64.591306)
+ (xy 210.369589 64.577098)
+ (xy 210.369592 64.577097)
+ (xy 210.375732 64.576625)
+ (xy 210.565964 64.523512)
+ (xy 210.742257 64.43446)
+ (xy 210.750471 64.428043)
+ (xy 210.893039 64.316656)
+ (xy 210.897895 64.312862)
+ (xy 211.026951 64.16335)
+ (xy 211.03007 64.157861)
+ (xy 211.121465 63.996974)
+ (xy 211.121466 63.996973)
+ (xy 211.124508 63.991617)
+ (xy 211.126941 63.984305)
+ (xy 211.163861 63.873316)
+ (xy 211.186851 63.804207)
+ (xy 211.211605 63.608257)
+ (xy 211.211606 63.608174)
+ (xy 212.869008 63.608174)
+ (xy 212.870427 63.621414)
+ (xy 212.885062 63.626)
+ (xy 213.927885 63.626)
+ (xy 213.943124 63.621525)
+ (xy 213.944329 63.620135)
+ (xy 213.946 63.612452)
+ (xy 213.946 62.563717)
+ (xy 213.945329 62.56143)
+ (xy 214.454 62.56143)
+ (xy 214.454 63.607885)
+ (xy 214.458475 63.623124)
+ (xy 214.459865 63.624329)
+ (xy 214.467548 63.626)
+ (xy 215.519079 63.626)
+ (xy 215.53019 63.622738)
+ (xy 215.531741 63.604992)
+ (xy 215.486954 63.432433)
+ (xy 215.483419 63.422395)
+ (xy 215.393147 63.221998)
+ (xy 215.387967 63.212692)
+ (xy 215.265218 63.030366)
+ (xy 215.258557 63.02208)
+ (xy 215.10683 62.86303)
+ (xy 215.098873 62.85599)
+ (xy 214.922523 62.724782)
+ (xy 214.913486 62.719178)
+ (xy 214.71755 62.619559)
+ (xy 214.707699 62.615559)
+ (xy 214.497778 62.550378)
+ (xy 214.487396 62.548095)
+ (xy 214.471959 62.546049)
+ (xy 214.457792 62.548246)
+ (xy 214.454 62.56143)
+ (xy 213.945329 62.56143)
+ (xy 213.942426 62.551545)
+ (xy 213.92625 62.549746)
+ (xy 213.813554 62.573391)
+ (xy 213.803358 62.576451)
+ (xy 213.598932 62.657182)
+ (xy 213.589396 62.661916)
+ (xy 213.401486 62.775942)
+ (xy 213.392896 62.782206)
+ (xy 213.226884 62.926264)
+ (xy 213.219464 62.933895)
+ (xy 213.0801 63.10386)
+ (xy 213.074075 63.112627)
+ (xy 212.965342 63.303644)
+ (xy 212.960877 63.313308)
+ (xy 212.885882 63.519916)
+ (xy 212.883111 63.530184)
+ (xy 212.869008 63.608174)
+ (xy 211.211606 63.608174)
+ (xy 211.212 63.58)
+ (xy 211.192727 63.383435)
+ (xy 211.135641 63.194357)
+ (xy 211.085547 63.100144)
+ (xy 211.04581 63.02541)
+ (xy 211.045808 63.025407)
+ (xy 211.042916 63.019968)
+ (xy 210.918086 62.86691)
+ (xy 210.765903 62.741014)
+ (xy 210.592166 62.647074)
+ (xy 210.403491 62.58867)
+ (xy 210.397366 62.588026)
+ (xy 210.397365 62.588026)
+ (xy 210.213193 62.568669)
+ (xy 210.213192 62.568669)
+ (xy 210.207065 62.568025)
+ (xy 210.086743 62.578975)
+ (xy 210.016511 62.585366)
+ (xy 210.01651 62.585366)
+ (xy 210.01037 62.585925)
+ (xy 209.968735 62.598179)
+ (xy 209.826809 62.63995)
+ (xy 209.826806 62.639951)
+ (xy 209.820898 62.64169)
+ (xy 209.645866 62.733195)
+ (xy 209.641068 62.737053)
+ (xy 209.641066 62.737054)
+ (xy 209.584909 62.782206)
+ (xy 209.491941 62.856954)
+ (xy 209.487982 62.861672)
+ (xy 209.487981 62.861673)
+ (xy 209.413417 62.950534)
+ (xy 209.364985 63.008253)
+ (xy 209.362022 63.013642)
+ (xy 209.362019 63.013647)
+ (xy 209.286318 63.151348)
+ (xy 209.269835 63.181331)
+ (xy 209.210115 63.369593)
+ (xy 209.209429 63.37571)
+ (xy 209.209428 63.375714)
+ (xy 209.192626 63.525511)
+ (xy 209.188099 63.56587)
+ (xy 202.024544 63.56587)
+ (xy 202.113735 63.486125)
+ (xy 202.119575 63.480161)
+ (xy 202.309336 63.258762)
+ (xy 202.314329 63.252088)
+ (xy 202.473144 63.007536)
+ (xy 202.477218 63.000246)
+ (xy 202.602288 62.736848)
+ (xy 202.605364 62.72908)
+ (xy 202.694501 62.451449)
+ (xy 202.696518 62.44336)
+ (xy 202.745375 62.17183)
+ (xy 202.743943 62.158571)
+ (xy 202.729333 62.154)
+ (xy 200.272115 62.153999)
+ (xy 200.256876 62.158474)
+ (xy 200.255671 62.159864)
+ (xy 200.254 62.167547)
+ (xy 200.253999 64.139885)
+ (xy 199.746 64.139885)
+ (xy 199.746001 62.172115)
+ (xy 199.741526 62.156876)
+ (xy 199.740136 62.155671)
+ (xy 199.732453 62.154)
+ (xy 197.273687 62.153999)
+ (xy 197.259059 62.158294)
+ (xy 197.256996 62.170271)
+ (xy 195.839172 62.170271)
+ (xy 195.842257 62.168425)
+ (xy 195.950841 62.103438)
+ (xy 196.021785 62.060979)
+ (xy 196.026005 62.057297)
+ (xy 196.02601 62.057294)
+ (xy 196.186631 61.917175)
+ (xy 196.190861 61.913485)
+ (xy 196.221278 61.875518)
+ (xy 196.327641 61.742757)
+ (xy 196.327644 61.742752)
+ (xy 196.331147 61.73838)
+ (xy 196.390985 61.62817)
+ (xy 197.254625 61.62817)
+ (xy 197.256057 61.641429)
+ (xy 197.270667 61.646)
+ (xy 199.727885 61.646001)
+ (xy 199.743124 61.641526)
+ (xy 199.744329 61.640136)
+ (xy 199.746 61.632453)
+ (xy 199.746 61.627885)
+ (xy 200.253999 61.627885)
+ (xy 200.258474 61.643124)
+ (xy 200.259864 61.644329)
+ (xy 200.267547 61.646)
+ (xy 202.726313 61.646001)
+ (xy 202.740941 61.641706)
+ (xy 202.743004 61.629729)
+ (xy 202.736725 61.557951)
+ (xy 202.735451 61.54972)
+ (xy 202.67184 61.26514)
+ (xy 202.669484 61.257136)
+ (xy 202.618749 61.119242)
+ (xy 214.02049 61.119242)
+ (xy 214.03313 61.201845)
+ (xy 214.052463 61.328179)
+ (xy 214.054429 61.341029)
+ (xy 214.068361 61.38172)
+ (xy 214.102515 61.481476)
+ (xy 214.127106 61.553302)
+ (xy 214.236224 61.749349)
+ (xy 214.239776 61.753689)
+ (xy 214.239779 61.753693)
+ (xy 214.289448 61.814376)
+ (xy 214.378335 61.922975)
+ (xy 214.382603 61.92662)
+ (xy 214.544682 62.065049)
+ (xy 214.544686 62.065052)
+ (xy 214.548947 62.068691)
+ (xy 214.742667 62.181892)
+ (xy 214.747933 62.183819)
+ (xy 214.747937 62.183821)
+ (xy 214.948106 62.257072)
+ (xy 214.948109 62.257073)
+ (xy 214.95337 62.258998)
+ (xy 214.958886 62.259961)
+ (xy 214.958891 62.259962)
+ (xy 215.124023 62.288782)
+ (xy 215.174399 62.297574)
+ (xy 215.180005 62.297545)
+ (xy 215.180009 62.297545)
+ (xy 215.283025 62.297005)
+ (xy 215.398765 62.296399)
+ (xy 215.619377 62.255511)
+ (xy 215.624626 62.253528)
+ (xy 215.624628 62.253527)
+ (xy 215.725692 62.215338)
+ (xy 215.829262 62.176202)
+ (xy 215.842257 62.168425)
+ (xy 215.950841 62.103438)
+ (xy 216.021785 62.060979)
+ (xy 216.026005 62.057297)
+ (xy 216.02601 62.057294)
+ (xy 216.186631 61.917175)
+ (xy 216.190861 61.913485)
+ (xy 216.221278 61.875518)
+ (xy 216.327641 61.742757)
+ (xy 216.327644 61.742752)
+ (xy 216.331147 61.73838)
+ (xy 216.438206 61.541201)
+ (xy 216.508657 61.328179)
+ (xy 216.54027 61.106048)
+ (xy 216.541444 61.061215)
+ (xy 216.541905 61.043631)
+ (xy 216.541905 61.043629)
+ (xy 216.542 61.04)
+ (xy 216.522055 60.816519)
+ (xy 216.478973 60.659037)
+ (xy 216.464332 60.605518)
+ (xy 216.464331 60.605514)
+ (xy 216.46285 60.600102)
+ (xy 216.366256 60.39759)
+ (xy 216.310387 60.319839)
+ (xy 216.238604 60.219941)
+ (xy 216.238599 60.219936)
+ (xy 216.235328 60.215383)
+ (xy 216.074202 60.059242)
+ (xy 215.887973 59.934101)
+ (xy 215.745481 59.871551)
+ (xy 215.687669 59.846173)
+ (xy 215.687667 59.846172)
+ (xy 215.682527 59.843916)
+ (xy 215.522838 59.805578)
+ (xy 215.469814 59.792848)
+ (xy 215.469813 59.792848)
+ (xy 215.464357 59.791538)
+ (xy 215.379591 59.786651)
+ (xy 215.245967 59.778946)
+ (xy 215.245964 59.778946)
+ (xy 215.24036 59.778623)
+ (xy 215.017615 59.805578)
+ (xy 214.803165 59.871551)
+ (xy 214.798185 59.874121)
+ (xy 214.798181 59.874123)
+ (xy 214.658425 59.946257)
+ (xy 214.603787 59.974458)
+ (xy 214.425783 60.111045)
+ (xy 214.42201 60.115191)
+ (xy 214.422005 60.115196)
+ (xy 214.326695 60.219941)
+ (xy 214.274779 60.276996)
+ (xy 214.251645 60.313875)
+ (xy 214.174705 60.436529)
+ (xy 214.15555 60.467064)
+ (xy 214.071863 60.675242)
+ (xy 214.026364 60.894949)
+ (xy 214.02049 61.119242)
+ (xy 202.618749 61.119242)
+ (xy 202.568795 60.983475)
+ (xy 202.565405 60.975859)
+ (xy 202.429411 60.717924)
+ (xy 202.425033 60.710808)
+ (xy 202.25612 60.473125)
+ (xy 202.250849 60.466662)
+ (xy 202.05198 60.2534)
+ (xy 202.045896 60.247688)
+ (xy 201.820575 60.062607)
+ (xy 201.813794 60.057752)
+ (xy 201.565962 59.90409)
+ (xy 201.558602 59.900177)
+ (xy 201.292636 59.780647)
+ (xy 201.284813 59.777737)
+ (xy 201.005363 59.694429)
+ (xy 200.997242 59.692584)
+ (xy 200.708567 59.646863)
+ (xy 200.701592 59.646155)
+ (xy 200.611523 59.642064)
+ (xy 200.60869 59.642)
+ (xy 200.272115 59.642)
+ (xy 200.256876 59.646475)
+ (xy 200.255671 59.647865)
+ (xy 200.254 59.655548)
+ (xy 200.253999 61.627885)
+ (xy 199.746 61.627885)
+ (xy 199.746001 59.660115)
+ (xy 199.741526 59.644876)
+ (xy 199.740136 59.643671)
+ (xy 199.732453 59.642)
+ (xy 199.426819 59.642)
+ (xy 199.422627 59.642139)
+ (xy 199.204875 59.656602)
+ (xy 199.196606 59.657705)
+ (xy 198.910769 59.715341)
+ (xy 198.902708 59.717531)
+ (xy 198.627002 59.812464)
+ (xy 198.619315 59.815695)
+ (xy 198.35859 59.946257)
+ (xy 198.351381 59.950487)
+ (xy 198.110217 60.114381)
+ (xy 198.103642 60.119518)
+ (xy 197.886265 60.313875)
+ (xy 197.880425 60.319839)
+ (xy 197.690664 60.541238)
+ (xy 197.685671 60.547912)
+ (xy 197.526856 60.792464)
+ (xy 197.522782 60.799754)
+ (xy 197.397712 61.063152)
+ (xy 197.394636 61.07092)
+ (xy 197.305499 61.348551)
+ (xy 197.303482 61.35664)
+ (xy 197.254625 61.62817)
+ (xy 196.390985 61.62817)
+ (xy 196.438206 61.541201)
+ (xy 196.508657 61.328179)
+ (xy 196.54027 61.106048)
+ (xy 196.541444 61.061215)
+ (xy 196.541905 61.043631)
+ (xy 196.541905 61.043629)
+ (xy 196.542 61.04)
+ (xy 196.522055 60.816519)
+ (xy 196.478973 60.659037)
+ (xy 196.464332 60.605518)
+ (xy 196.464331 60.605514)
+ (xy 196.46285 60.600102)
+ (xy 196.366256 60.39759)
+ (xy 196.310387 60.319839)
+ (xy 196.238604 60.219941)
+ (xy 196.238599 60.219936)
+ (xy 196.235328 60.215383)
+ (xy 196.074202 60.059242)
+ (xy 195.887973 59.934101)
+ (xy 195.745481 59.871551)
+ (xy 195.687669 59.846173)
+ (xy 195.687667 59.846172)
+ (xy 195.682527 59.843916)
+ (xy 195.522838 59.805578)
+ (xy 195.469814 59.792848)
+ (xy 195.469813 59.792848)
+ (xy 195.464357 59.791538)
+ (xy 195.379591 59.786651)
+ (xy 195.245967 59.778946)
+ (xy 195.245964 59.778946)
+ (xy 195.24036 59.778623)
+ (xy 195.017615 59.805578)
+ (xy 194.930201 59.83247)
+ (xy 194.859212 59.833382)
+ (xy 194.798997 59.79577)
+ (xy 194.768676 59.731573)
+ (xy 194.777876 59.661175)
+ (xy 194.804058 59.622945)
+ (xy 195.532598 58.894405)
+ (xy 195.621693 58.8575)
+ (xy 196.558308 58.8575)
+ (xy 196.647404 58.894405)
+ (xy 196.725756 58.972758)
+ (xy 196.793006 59.040008)
+ (xy 196.80286 59.051097)
+ (xy 196.823397 59.077148)
+ (xy 196.831144 59.082503)
+ (xy 196.831146 59.082504)
+ (xy 196.845096 59.092145)
+ (xy 196.868652 59.108425)
+ (xy 196.872434 59.111039)
+ (xy 196.875656 59.113341)
+ (xy 196.904472 59.134625)
+ (xy 196.923583 59.148741)
+ (xy 196.930495 59.151168)
+ (xy 196.936528 59.155338)
+ (xy 196.945508 59.158178)
+ (xy 196.94551 59.158179)
+ (xy 196.981677 59.169617)
+ (xy 196.993074 59.173221)
+ (xy 196.993371 59.173315)
+ (xy 196.99712 59.174566)
+ (xy 197.053336 59.194308)
+ (xy 197.060547 59.194592)
+ (xy 197.060805 59.194642)
+ (xy 197.067649 59.196806)
+ (xy 197.076467 59.1975)
+ (xy 197.132101 59.1975)
+ (xy 197.137048 59.197597)
+ (xy 197.190752 59.199707)
+ (xy 197.197546 59.197906)
+ (xy 197.204918 59.1975)
+ (xy 202.560784 59.1975)
+ (xy 202.575593 59.198373)
+ (xy 202.585024 59.199489)
+ (xy 202.608529 59.202271)
+ (xy 202.617793 59.200579)
+ (xy 202.617796 59.200579)
+ (xy 202.667132 59.191569)
+ (xy 202.671034 59.190919)
+ (xy 202.720686 59.183454)
+ (xy 202.720688 59.183453)
+ (xy 202.729996 59.182054)
+ (xy 202.736605 59.17888)
+ (xy 202.743813 59.177564)
+ (xy 202.796709 59.150087)
+ (xy 202.800211 59.148338)
+ (xy 202.832895 59.132643)
+ (xy 202.853966 59.122525)
+ (xy 202.859276 59.117617)
+ (xy 202.859473 59.117484)
+ (xy 202.865852 59.11417)
+ (xy 202.872578 59.108425)
+ (xy 202.91189 59.069113)
+ (xy 202.915456 59.065684)
+ (xy 202.948034 59.035569)
+ (xy 202.954951 59.029175)
+ (xy 202.958483 59.023094)
+ (xy 202.963417 59.017586)
+ (xy 203.060004 58.920999)
+ (xy 203.071083 58.911153)
+ (xy 203.097148 58.890605)
+ (xy 203.131052 58.84155)
+ (xy 203.133343 58.838345)
+ (xy 203.163145 58.797995)
+ (xy 203.163146 58.797993)
+ (xy 203.168741 58.790418)
+ (xy 203.171168 58.783508)
+ (xy 203.175338 58.777474)
+ (xy 203.193312 58.720639)
+ (xy 203.194563 58.71689)
+ (xy 203.211188 58.669549)
+ (xy 203.214308 58.660666)
+ (xy 203.214592 58.653452)
+ (xy 203.214641 58.653201)
+ (xy 203.216806 58.646353)
+ (xy 203.2175 58.637535)
+ (xy 203.2175 58.581901)
+ (xy 203.217597 58.576954)
+ (xy 203.218745 58.547742)
+ (xy 203.219707 58.52325)
+ (xy 203.217906 58.516456)
+ (xy 203.2175 58.509084)
+ (xy 203.2175 58.47736)
+ (xy 208.038119 58.47736)
+ (xy 208.055048 58.77097)
+ (xy 208.055873 58.775175)
+ (xy 208.055874 58.775183)
+ (xy 208.069061 58.842395)
+ (xy 208.111668 59.059567)
+ (xy 208.113055 59.063617)
+ (xy 208.113056 59.063622)
+ (xy 208.192237 59.294889)
+ (xy 208.206932 59.337809)
+ (xy 208.339076 59.600548)
+ (xy 208.341502 59.604077)
+ (xy 208.341505 59.604083)
+ (xy 208.499098 59.833382)
+ (xy 208.505655 59.842922)
+ (xy 208.703586 60.060446)
+ (xy 208.791627 60.134059)
+ (xy 208.925916 60.246343)
+ (xy 208.925921 60.246347)
+ (xy 208.929208 60.249095)
+ (xy 209.032476 60.313875)
+ (xy 209.174705 60.403095)
+ (xy 209.174709 60.403097)
+ (xy 209.178345 60.405378)
+ (xy 209.304431 60.462308)
+ (xy 209.442475 60.524638)
+ (xy 209.442479 60.52464)
+ (xy 209.446387 60.526404)
+ (xy 209.450507 60.527624)
+ (xy 209.450506 60.527624)
+ (xy 209.724261 60.608714)
+ (xy 209.724265 60.608715)
+ (xy 209.728374 60.609932)
+ (xy 209.732608 60.61058)
+ (xy 209.732613 60.610581)
+ (xy 209.991302 60.650166)
+ (xy 210.019089 60.654418)
+ (xy 210.168859 60.656771)
+ (xy 210.308859 60.65897)
+ (xy 210.308865 60.65897)
+ (xy 210.31315 60.659037)
+ (xy 210.605118 60.623705)
+ (xy 210.747354 60.58639)
+ (xy 210.885447 60.550162)
+ (xy 210.885448 60.550162)
+ (xy 210.88959 60.549075)
+ (xy 211.161301 60.436529)
+ (xy 211.415224 60.288148)
+ (xy 211.64666 60.106679)
+ (xy 211.851327 59.89548)
+ (xy 211.85386 59.892032)
+ (xy 211.853864 59.892027)
+ (xy 212.022899 59.661913)
+ (xy 212.025437 59.658458)
+ (xy 212.027483 59.65469)
+ (xy 212.163718 59.403775)
+ (xy 212.163719 59.403773)
+ (xy 212.165768 59.399999)
+ (xy 212.241453 59.199707)
+ (xy 212.268207 59.128905)
+ (xy 212.268208 59.128901)
+ (xy 212.269725 59.124887)
+ (xy 212.317625 58.915744)
+ (xy 212.334424 58.842395)
+ (xy 212.334425 58.842391)
+ (xy 212.335382 58.838211)
+ (xy 212.339484 58.792256)
+ (xy 212.359024 58.573316)
+ (xy 212.361526 58.545278)
+ (xy 212.362 58.5)
+ (xy 212.361235 58.48878)
+ (xy 212.342289 58.21086)
+ (xy 212.342288 58.210854)
+ (xy 212.341997 58.206583)
+ (xy 212.312232 58.062852)
+ (xy 212.283228 57.922801)
+ (xy 212.282357 57.918595)
+ (xy 212.184186 57.641366)
+ (xy 212.049298 57.380026)
+ (xy 212.038405 57.364526)
+ (xy 211.935105 57.217545)
+ (xy 211.88019 57.139409)
+ (xy 211.740134 56.988691)
+ (xy 211.682912 56.927113)
+ (xy 211.682909 56.927111)
+ (xy 211.679991 56.92397)
+ (xy 211.452406 56.737694)
+ (xy 211.201646 56.584028)
+ (xy 211.197729 56.582309)
+ (xy 211.197726 56.582307)
+ (xy 210.960585 56.47821)
+ (xy 210.932351 56.465816)
+ (xy 210.928223 56.46464)
+ (xy 210.92822 56.464639)
+ (xy 210.822854 56.434625)
+ (xy 210.649505 56.385245)
+ (xy 210.645263 56.384641)
+ (xy 210.645257 56.38464)
+ (xy 210.362592 56.344411)
+ (xy 210.358341 56.343806)
+ (xy 210.203328 56.342994)
+ (xy 210.068533 56.342288)
+ (xy 210.068526 56.342288)
+ (xy 210.064247 56.342266)
+ (xy 210.060002 56.342825)
+ (xy 210.06 56.342825)
+ (xy 209.994726 56.351419)
+ (xy 209.772665 56.380654)
+ (xy 209.48899 56.458258)
+ (xy 209.485042 56.459942)
+ (xy 209.222425 56.571958)
+ (xy 209.222421 56.57196)
+ (xy 209.218473 56.573644)
+ (xy 209.197385 56.586265)
+ (xy 208.969799 56.722471)
+ (xy 208.969795 56.722474)
+ (xy 208.966117 56.724675)
+ (xy 208.962774 56.727353)
+ (xy 208.96277 56.727356)
+ (xy 208.884455 56.790099)
+ (xy 208.736594 56.908558)
+ (xy 208.73365 56.91166)
+ (xy 208.733646 56.911664)
+ (xy 208.56126 57.093321)
+ (xy 208.53415 57.121889)
+ (xy 208.531651 57.125367)
+ (xy 208.53165 57.125368)
+ (xy 208.495686 57.175418)
+ (xy 208.362532 57.360722)
+ (xy 208.224915 57.620635)
+ (xy 208.195041 57.702269)
+ (xy 208.147657 57.831753)
+ (xy 208.123845 57.896821)
+ (xy 208.061193 58.184168)
+ (xy 208.038119 58.47736)
+ (xy 203.2175 58.47736)
+ (xy 203.2175 57.852218)
+ (xy 203.218454 57.840794)
+ (xy 203.218514 57.840586)
+ (xy 203.218981 57.831753)
+ (xy 203.217542 57.775777)
+ (xy 203.2175 57.77254)
+ (xy 203.2175 57.746743)
+ (xy 203.21682 57.742224)
+ (xy 203.216531 57.736458)
+ (xy 203.214543 57.659122)
+ (xy 203.214501 57.655884)
+ (xy 203.214501 53.40587)
+ (xy 209.188099 53.40587)
+ (xy 209.188615 53.412014)
+ (xy 209.200297 53.551128)
+ (xy 209.204626 53.602685)
+ (xy 209.216532 53.644207)
+ (xy 209.251225 53.765196)
+ (xy 209.259066 53.792542)
+ (xy 209.261885 53.798027)
+ (xy 209.346529 53.962727)
+ (xy 209.346532 53.962732)
+ (xy 209.349347 53.968209)
+ (xy 209.472028 54.122994)
+ (xy 209.476722 54.126988)
+ (xy 209.476722 54.126989)
+ (xy 209.545529 54.185548)
+ (xy 209.622438 54.251003)
+ (xy 209.627816 54.254009)
+ (xy 209.627818 54.25401)
+ (xy 209.657617 54.270664)
+ (xy 209.794847 54.347359)
+ (xy 209.982688 54.408392)
+ (xy 210.178806 54.431778)
+ (xy 210.184941 54.431306)
+ (xy 210.184943 54.431306)
+ (xy 210.369589 54.417098)
+ (xy 210.369592 54.417097)
+ (xy 210.375732 54.416625)
+ (xy 210.565964 54.363512)
+ (xy 210.742257 54.27446)
+ (xy 210.777392 54.24701)
+ (xy 210.893039 54.156656)
+ (xy 210.897895 54.152862)
+ (xy 210.923368 54.123352)
+ (xy 211.022924 54.008015)
+ (xy 211.026951 54.00335)
+ (xy 211.04417 53.97304)
+ (xy 211.121465 53.836974)
+ (xy 211.121466 53.836973)
+ (xy 211.124508 53.831617)
+ (xy 211.139024 53.787982)
+ (xy 211.166259 53.706108)
+ (xy 211.186851 53.644207)
+ (xy 211.211605 53.448257)
+ (xy 211.211875 53.428946)
+ (xy 211.211951 53.423522)
+ (xy 211.211951 53.423518)
+ (xy 211.212 53.42)
+ (xy 211.192727 53.223435)
+ (xy 211.135641 53.034357)
+ (xy 211.114768 52.995101)
+ (xy 211.04581 52.86541)
+ (xy 211.045808 52.865407)
+ (xy 211.042916 52.859968)
+ (xy 210.918086 52.70691)
+ (xy 210.765903 52.581014)
+ (xy 210.592166 52.487074)
+ (xy 210.403491 52.42867)
+ (xy 210.397366 52.428026)
+ (xy 210.397365 52.428026)
+ (xy 210.213193 52.408669)
+ (xy 210.213192 52.408669)
+ (xy 210.207065 52.408025)
+ (xy 210.094674 52.418253)
+ (xy 210.016511 52.425366)
+ (xy 210.01651 52.425366)
+ (xy 210.01037 52.425925)
+ (xy 209.994853 52.430492)
+ (xy 209.826809 52.47995)
+ (xy 209.826806 52.479951)
+ (xy 209.820898 52.48169)
+ (xy 209.645866 52.573195)
+ (xy 209.641068 52.577053)
+ (xy 209.641066 52.577054)
+ (xy 209.614694 52.598258)
+ (xy 209.491941 52.696954)
+ (xy 209.487982 52.701672)
+ (xy 209.487981 52.701673)
+ (xy 209.435367 52.764376)
+ (xy 209.364985 52.848253)
+ (xy 209.362022 52.853642)
+ (xy 209.362019 52.853647)
+ (xy 209.284255 52.995101)
+ (xy 209.269835 53.021331)
+ (xy 209.210115 53.209593)
+ (xy 209.209429 53.21571)
+ (xy 209.209428 53.215714)
+ (xy 209.188971 53.398093)
+ (xy 209.188099 53.40587)
+ (xy 203.214501 53.40587)
+ (xy 203.214501 51.090218)
+ (xy 203.215374 51.075409)
+ (xy 203.218165 51.051826)
+ (xy 203.219272 51.042473)
+ (xy 203.208569 50.983867)
+ (xy 203.207919 50.979963)
+ (xy 203.200455 50.930318)
+ (xy 203.200455 50.930317)
+ (xy 203.199055 50.921007)
+ (xy 203.195882 50.914399)
+ (xy 203.194565 50.907189)
+ (xy 203.190224 50.898832)
+ (xy 203.190222 50.898826)
+ (xy 203.167089 50.854293)
+ (xy 203.16532 50.850753)
+ (xy 203.162802 50.845508)
+ (xy 203.155068 50.829403)
+ (xy 203.143604 50.805528)
+ (xy 203.143602 50.805525)
+ (xy 203.139526 50.797037)
+ (xy 203.134625 50.791736)
+ (xy 203.134479 50.791519)
+ (xy 203.131171 50.78515)
+ (xy 203.125427 50.778424)
+ (xy 203.086089 50.739086)
+ (xy 203.08266 50.73552)
+ (xy 203.052573 50.702972)
+ (xy 203.052572 50.702971)
+ (xy 203.046176 50.696052)
+ (xy 203.040097 50.692521)
+ (xy 203.034596 50.687593)
+ (xy 201.468431 49.121429)
+ (xy 201.468425 49.121422)
+ (xy 201.129098 48.782095)
+ (xy 201.12567 48.77853)
+ (xy 201.095569 48.745968)
+ (xy 201.089175 48.739051)
+ (xy 201.06104 48.722709)
+ (xy 201.057467 48.720633)
+ (xy 201.045896 48.713032)
+ (xy 201.023994 48.696855)
+ (xy 201.023992 48.696854)
+ (xy 201.016417 48.691259)
+ (xy 201.003573 48.686749)
+ (xy 200.982037 48.67682)
+ (xy 200.978401 48.674708)
+ (xy 200.970258 48.669978)
+ (xy 200.934543 48.6617)
+ (xy 200.921247 48.657837)
+ (xy 200.895551 48.648813)
+ (xy 200.89555 48.648813)
+ (xy 200.886663 48.645692)
+ (xy 200.877252 48.645322)
+ (xy 200.87725 48.645322)
+ (xy 200.87529 48.645245)
+ (xy 200.873058 48.645158)
+ (xy 200.849551 48.642001)
+ (xy 200.836287 48.638926)
+ (xy 200.82689 48.639591)
+ (xy 200.826888 48.639591)
+ (xy 200.799727 48.641514)
+ (xy 200.785885 48.641732)
+ (xy 200.778618 48.641447)
+ (xy 200.749249 48.640293)
+ (xy 200.740147 48.642706)
+ (xy 200.740141 48.642707)
+ (xy 200.736082 48.643783)
+ (xy 200.712695 48.647676)
+ (xy 200.711065 48.647792)
+ (xy 200.699109 48.648638)
+ (xy 200.69033 48.652034)
+ (xy 200.690327 48.652035)
+ (xy 200.680141 48.655976)
+ (xy 200.664915 48.661866)
+ (xy 200.651751 48.666144)
+ (xy 200.63729 48.669978)
+ (xy 200.625424 48.673124)
+ (xy 200.625423 48.673124)
+ (xy 200.61632 48.675538)
+ (xy 200.60833 48.680521)
+ (xy 200.608325 48.680523)
+ (xy 200.604766 48.682743)
+ (xy 200.58356 48.69334)
+ (xy 200.579632 48.69486)
+ (xy 200.57963 48.694861)
+ (xy 200.57085 48.698258)
+ (xy 200.563454 48.704088)
+ (xy 200.563453 48.704089)
+ (xy 200.542059 48.720954)
+ (xy 200.530732 48.728915)
+ (xy 200.507625 48.743326)
+ (xy 200.507619 48.743331)
+ (xy 200.499631 48.748313)
+ (xy 200.49346 48.755425)
+ (xy 200.493457 48.755427)
+ (xy 200.490712 48.758591)
+ (xy 200.473548 48.774965)
+ (xy 200.462852 48.783397)
+ (xy 200.454314 48.795751)
+ (xy 200.442009 48.813554)
+ (xy 200.433522 48.824496)
+ (xy 200.409499 48.85218)
+ (xy 200.405692 48.86079)
+ (xy 200.40569 48.860794)
+ (xy 200.403994 48.864631)
+ (xy 200.392409 48.885318)
+ (xy 200.390019 48.888776)
+ (xy 200.390017 48.88878)
+ (xy 200.384662 48.896528)
+ (xy 200.377772 48.918315)
+ (xy 200.373608 48.931481)
+ (xy 200.368713 48.944436)
+ (xy 200.353892 48.97796)
+ (xy 200.352785 48.987315)
+ (xy 200.352292 48.991479)
+ (xy 200.3473 49.014667)
+ (xy 200.343194 49.027649)
+ (xy 200.34312 49.03707)
+ (xy 200.34312 49.037071)
+ (xy 200.342906 49.064306)
+ (xy 200.342037 49.078125)
+ (xy 200.340477 49.091308)
+ (xy 200.337729 49.114527)
+ (xy 200.340176 49.127922)
+ (xy 200.342221 49.151543)
+ (xy 200.342114 49.165167)
+ (xy 200.344812 49.174189)
+ (xy 200.344813 49.174195)
+ (xy 200.352618 49.200292)
+ (xy 200.355851 49.213755)
+ (xy 200.362436 49.249811)
+ (xy 200.368713 49.261895)
+ (xy 200.377615 49.283875)
+ (xy 200.381517 49.296923)
+ (xy 200.401833 49.327327)
+ (xy 200.401884 49.327404)
+ (xy 200.408933 49.339323)
+ (xy 200.42583 49.37185)
+ (xy 200.431575 49.378576)
+ (xy 200.436365 49.383366)
+ (xy 200.452035 49.402459)
+ (xy 200.457921 49.411268)
+ (xy 200.465227 49.417216)
+ (xy 200.465228 49.417217)
+ (xy 200.487069 49.434999)
+ (xy 200.496615 49.443616)
+ (xy 200.800013 49.747015)
+ (xy 200.800028 49.747029)
+ (xy 202.262597 51.209599)
+ (xy 202.299502 51.298694)
+ (xy 202.299501 54.451715)
+ (xy 202.299501 57.591144)
+ (xy 202.298547 57.602568)
+ (xy 202.298487 57.602776)
+ (xy 202.29802 57.611609)
+ (xy 202.299459 57.667584)
+ (xy 202.299501 57.670822)
+ (xy 202.299501 57.696617)
+ (xy 202.300181 57.701136)
+ (xy 202.30047 57.706902)
+ (xy 202.302459 57.784275)
+ (xy 202.302501 57.787513)
+ (xy 202.3025 58.1565)
+ (xy 202.282498 58.224621)
+ (xy 202.228842 58.271114)
+ (xy 202.1765 58.2825)
+ (xy 197.381694 58.2825)
+ (xy 197.292599 58.245596)
+ (xy 197.146994 58.099992)
+ (xy 197.137139 58.088901)
+ (xy 197.122436 58.07025)
+ (xy 197.122432 58.070247)
+ (xy 197.116603 58.062852)
+ (xy 197.108858 58.057499)
+ (xy 197.102438 58.05147)
+ (xy 197.095567 58.045966)
+ (xy 197.089175 58.039051)
+ (xy 197.066414 58.02583)
+ (xy 197.057467 58.020633)
+ (xy 197.045896 58.013032)
+ (xy 197.023991 57.996853)
+ (xy 197.02399 57.996853)
+ (xy 197.016417 57.991259)
+ (xy 197.009505 57.988832)
+ (xy 197.003472 57.984662)
+ (xy 196.994491 57.981822)
+ (xy 196.986579 57.977946)
+ (xy 196.978401 57.974708)
+ (xy 196.970258 57.969978)
+ (xy 196.934543 57.9617)
+ (xy 196.921247 57.957837)
+ (xy 196.896316 57.949082)
+ (xy 196.886663 57.945692)
+ (xy 196.879452 57.945408)
+ (xy 196.879196 57.945358)
+ (xy 196.872351 57.943194)
+ (xy 196.863533 57.9425)
+ (xy 196.861515 57.9425)
+ (xy 196.854867 57.941607)
+ (xy 196.854852 57.941792)
+ (xy 196.845464 57.941053)
+ (xy 196.836287 57.938926)
+ (xy 196.82689 57.939591)
+ (xy 196.826888 57.939591)
+ (xy 196.799727 57.941514)
+ (xy 196.785885 57.941732)
+ (xy 196.778618 57.941447)
+ (xy 196.749249 57.940293)
+ (xy 196.742456 57.942094)
+ (xy 196.735082 57.9425)
+ (xy 195.413216 57.9425)
+ (xy 195.398406 57.941627)
+ (xy 195.387135 57.940293)
+ (xy 195.365472 57.937729)
+ (xy 195.356208 57.939421)
+ (xy 195.356207 57.939421)
+ (xy 195.306866 57.948432)
+ (xy 195.302962 57.949082)
+ (xy 195.253317 57.956546)
+ (xy 195.253316 57.956546)
+ (xy 195.244006 57.957946)
+ (xy 195.237398 57.961119)
+ (xy 195.230188 57.962436)
+ (xy 195.221831 57.966777)
+ (xy 195.221825 57.966779)
+ (xy 195.177292 57.989912)
+ (xy 195.173765 57.991675)
+ (xy 195.162981 57.996853)
+ (xy 195.128527 58.013397)
+ (xy 195.128524 58.013399)
+ (xy 195.120036 58.017475)
+ (xy 195.11473 58.02238)
+ (xy 195.114525 58.022518)
+ (xy 195.108149 58.02583)
+ (xy 195.101423 58.031575)
+ (xy 195.062098 58.0709)
+ (xy 195.058532 58.074329)
+ (xy 195.019051 58.110825)
+ (xy 195.01552 58.116905)
+ (xy 195.01059 58.122408)
+ (xy 191.827403 61.305595)
+ (xy 191.738308 61.3425)
+ (xy 188.666118 61.3425)
+ (xy 188.654897 61.341217)
+ (xy 188.654852 61.341792)
+ (xy 188.645464 61.341053)
+ (xy 188.636287 61.338926)
+ (xy 188.626891 61.339591)
+ (xy 188.626888 61.339591)
+ (xy 188.590255 61.342185)
+ (xy 188.581357 61.3425)
+ (xy 188.566743 61.3425)
+ (xy 188.554658 61.344317)
+ (xy 188.544841 61.3454)
+ (xy 188.499109 61.348638)
+ (xy 188.490322 61.352037)
+ (xy 188.481737 61.353956)
+ (xy 188.47332 61.356546)
+ (xy 188.464006 61.357946)
+ (xy 188.423013 61.37763)
+ (xy 188.42268 61.37779)
+ (xy 188.413626 61.381709)
+ (xy 188.37085 61.398258)
+ (xy 188.363456 61.404087)
+ (xy 188.355807 61.40845)
+ (xy 188.348525 61.413399)
+ (xy 188.340036 61.417475)
+ (xy 188.306369 61.448597)
+ (xy 188.298867 61.455005)
+ (xy 188.262852 61.483397)
+ (xy 188.257497 61.491145)
+ (xy 188.251472 61.497561)
+ (xy 188.245969 61.50443)
+ (xy 188.239051 61.510825)
+ (xy 188.234319 61.518972)
+ (xy 188.216028 61.550462)
+ (xy 188.210726 61.558816)
+ (xy 188.190017 61.588779)
+ (xy 188.190015 61.588783)
+ (xy 188.184662 61.596528)
+ (xy 188.181824 61.605503)
+ (xy 188.177953 61.613404)
+ (xy 188.174709 61.621597)
+ (xy 188.169978 61.629742)
+ (xy 188.167851 61.638918)
+ (xy 188.16785 61.638921)
+ (xy 188.159628 61.674398)
+ (xy 188.157022 61.683927)
+ (xy 188.143194 61.727649)
+ (xy 188.14312 61.737071)
+ (xy 188.141744 61.745762)
+ (xy 188.141053 61.754536)
+ (xy 188.138926 61.763713)
+ (xy 181.327873 61.763713)
+ (xy 178.641416 59.077257)
+ (xy 178.604511 58.988162)
+ (xy 178.604511 56.708921)
+ (xy 178.606932 56.684339)
+ (xy 178.60709 56.683545)
+ (xy 178.60709 56.683543)
+ (xy 178.609511 56.671373)
+ (xy 178.60292 56.63824)
+ (xy 178.600499 56.613657)
+ (xy 178.600499 56.186343)
+ (xy 178.60292 56.16176)
+ (xy 178.604511 56.153762)
+ (xy 178.60709 56.140797)
+ (xy 178.609511 56.128627)
+ (xy 178.606932 56.115661)
+ (xy 178.604511 56.091079)
+ (xy 178.604511 54.660746)
+ (xy 178.624513 54.592625)
+ (xy 178.678169 54.546132)
+ (xy 178.774131 54.544041)
+ (xy 178.774144 54.543986)
+ (xy 178.774354 54.544036)
+ (xy 178.777811 54.543961)
+ (xy 178.792032 54.549721)
+ (xy 178.801419 54.550534)
+ (xy 178.803324 54.550699)
+ (xy 178.80462 54.551303)
+ (xy 178.810574 54.552732)
+ (xy 178.810284 54.553941)
+ (xy 178.881553 54.587134)
+ (xy 179.267042 54.972624)
+ (xy 179.510556 55.216138)
+ (xy 179.523835 55.23258)
+ (xy 179.526233 55.235216)
+ (xy 179.531884 55.243967)
+ (xy 179.540062 55.250414)
+ (xy 179.540063 55.250415)
+ (xy 179.556768 55.263584)
+ (xy 179.560726 55.267102)
+ (xy 179.560813 55.266999)
+ (xy 179.564772 55.270354)
+ (xy 179.56845 55.274032)
+ (xy 179.572674 55.277051)
+ (xy 179.572683 55.277058)
+ (xy 179.582835 55.284312)
+ (xy 179.587586 55.287879)
+ (xy 179.625364 55.317661)
+ (xy 179.633504 55.320519)
+ (xy 179.640521 55.325534)
+ (xy 179.650502 55.328519)
+ (xy 179.686614 55.339319)
+ (xy 179.692262 55.341154)
+ (xy 179.737676 55.357102)
+ (xy 179.742271 55.3575)
+ (xy 179.744985 55.3575)
+ (xy 179.747712 55.357618)
+ (xy 179.747705 55.357775)
+ (xy 179.748492 55.357825)
+ (xy 179.754566 55.359641)
+ (xy 179.806592 55.357597)
+ (xy 179.811538 55.3575)
+ (xy 183.899729 55.3575)
+ (xy 183.988824 55.394405)
+ (xy 186.105595 57.511176)
+ (xy 186.1425 57.600271)
+ (xy 186.142501 58.373539)
+ (xy 186.120154 58.445176)
+ (xy 186.084662 58.496528)
+ (xy 186.043194 58.627649)
+ (xy 186.042114 58.765167)
+ (xy 186.044813 58.774191)
+ (xy 186.044813 58.774193)
+ (xy 186.063999 58.838345)
+ (xy 186.081517 58.896923)
+ (xy 186.157921 59.011268)
+ (xy 186.165222 59.017212)
+ (xy 186.165223 59.017213)
+ (xy 186.23012 59.070048)
+ (xy 186.264568 59.098093)
+ (xy 186.273299 59.101629)
+ (xy 186.2733 59.10163)
+ (xy 186.30426 59.11417)
+ (xy 186.392032 59.149721)
+ (xy 186.401417 59.150534)
+ (xy 186.401418 59.150534)
+ (xy 186.519661 59.160775)
+ (xy 186.519664 59.160775)
+ (xy 186.529041 59.161587)
+ (xy 186.538242 59.159606)
+ (xy 186.538244 59.159606)
+ (xy 186.654278 59.134625)
+ (xy 186.654281 59.134624)
+ (xy 186.663482 59.132643)
+ (xy 186.671694 59.128044)
+ (xy 186.671697 59.128043)
+ (xy 186.754577 59.081627)
+ (xy 186.78347 59.065447)
+ (xy 186.878394 58.96594)
+ (xy 186.91739 58.887898)
+ (xy 186.935653 58.851348)
+ (xy 186.935653 58.851347)
+ (xy 186.939864 58.84292)
+ (xy 186.962443 58.707265)
+ (xy 186.9625 58.7)
+ (xy 186.950272 58.618666)
+ (xy 186.943454 58.573316)
+ (xy 186.943453 58.573314)
+ (xy 186.942054 58.564006)
+ (xy 186.900448 58.47736)
+ (xy 188.038119 58.47736)
+ (xy 188.055048 58.77097)
+ (xy 188.055873 58.775175)
+ (xy 188.055874 58.775183)
+ (xy 188.069061 58.842395)
+ (xy 188.111668 59.059567)
+ (xy 188.113055 59.063617)
+ (xy 188.113056 59.063622)
+ (xy 188.192237 59.294889)
+ (xy 188.206932 59.337809)
+ (xy 188.339076 59.600548)
+ (xy 188.341502 59.604077)
+ (xy 188.341505 59.604083)
+ (xy 188.499098 59.833382)
+ (xy 188.505655 59.842922)
+ (xy 188.703586 60.060446)
+ (xy 188.791627 60.134059)
+ (xy 188.925916 60.246343)
+ (xy 188.925921 60.246347)
+ (xy 188.929208 60.249095)
+ (xy 189.032476 60.313875)
+ (xy 189.174705 60.403095)
+ (xy 189.174709 60.403097)
+ (xy 189.178345 60.405378)
+ (xy 189.304431 60.462308)
+ (xy 189.442475 60.524638)
+ (xy 189.442479 60.52464)
+ (xy 189.446387 60.526404)
+ (xy 189.450507 60.527624)
+ (xy 189.450506 60.527624)
+ (xy 189.724261 60.608714)
+ (xy 189.724265 60.608715)
+ (xy 189.728374 60.609932)
+ (xy 189.732608 60.61058)
+ (xy 189.732613 60.610581)
+ (xy 189.991302 60.650166)
+ (xy 190.019089 60.654418)
+ (xy 190.168859 60.656771)
+ (xy 190.308859 60.65897)
+ (xy 190.308865 60.65897)
+ (xy 190.31315 60.659037)
+ (xy 190.605118 60.623705)
+ (xy 190.747354 60.58639)
+ (xy 190.885447 60.550162)
+ (xy 190.885448 60.550162)
+ (xy 190.88959 60.549075)
+ (xy 191.161301 60.436529)
+ (xy 191.415224 60.288148)
+ (xy 191.64666 60.106679)
+ (xy 191.851327 59.89548)
+ (xy 191.85386 59.892032)
+ (xy 191.853864 59.892027)
+ (xy 192.022899 59.661913)
+ (xy 192.025437 59.658458)
+ (xy 192.027483 59.65469)
+ (xy 192.163718 59.403775)
+ (xy 192.163719 59.403773)
+ (xy 192.165768 59.399999)
+ (xy 192.241453 59.199707)
+ (xy 192.268207 59.128905)
+ (xy 192.268208 59.128901)
+ (xy 192.269725 59.124887)
+ (xy 192.317625 58.915744)
+ (xy 192.334424 58.842395)
+ (xy 192.334425 58.842391)
+ (xy 192.335382 58.838211)
+ (xy 192.339484 58.792256)
+ (xy 192.359024 58.573316)
+ (xy 192.361526 58.545278)
+ (xy 192.362 58.5)
+ (xy 192.361235 58.48878)
+ (xy 192.342289 58.21086)
+ (xy 192.342288 58.210854)
+ (xy 192.341997 58.206583)
+ (xy 192.312232 58.062852)
+ (xy 192.283228 57.922801)
+ (xy 192.282357 57.918595)
+ (xy 192.184186 57.641366)
+ (xy 192.049298 57.380026)
+ (xy 192.038405 57.364526)
+ (xy 191.935105 57.217545)
+ (xy 191.88019 57.139409)
+ (xy 191.740134 56.988691)
+ (xy 191.682912 56.927113)
+ (xy 191.682909 56.927111)
+ (xy 191.679991 56.92397)
+ (xy 191.452406 56.737694)
+ (xy 191.201646 56.584028)
+ (xy 191.197729 56.582309)
+ (xy 191.197726 56.582307)
+ (xy 190.960585 56.47821)
+ (xy 190.932351 56.465816)
+ (xy 190.928223 56.46464)
+ (xy 190.92822 56.464639)
+ (xy 190.822854 56.434625)
+ (xy 190.649505 56.385245)
+ (xy 190.645263 56.384641)
+ (xy 190.645257 56.38464)
+ (xy 190.362592 56.344411)
+ (xy 190.358341 56.343806)
+ (xy 190.203328 56.342994)
+ (xy 190.068533 56.342288)
+ (xy 190.068526 56.342288)
+ (xy 190.064247 56.342266)
+ (xy 190.060002 56.342825)
+ (xy 190.06 56.342825)
+ (xy 189.994726 56.351419)
+ (xy 189.772665 56.380654)
+ (xy 189.48899 56.458258)
+ (xy 189.485042 56.459942)
+ (xy 189.222425 56.571958)
+ (xy 189.222421 56.57196)
+ (xy 189.218473 56.573644)
+ (xy 189.197385 56.586265)
+ (xy 188.969799 56.722471)
+ (xy 188.969795 56.722474)
+ (xy 188.966117 56.724675)
+ (xy 188.962774 56.727353)
+ (xy 188.96277 56.727356)
+ (xy 188.884455 56.790099)
+ (xy 188.736594 56.908558)
+ (xy 188.73365 56.91166)
+ (xy 188.733646 56.911664)
+ (xy 188.56126 57.093321)
+ (xy 188.53415 57.121889)
+ (xy 188.531651 57.125367)
+ (xy 188.53165 57.125368)
+ (xy 188.495686 57.175418)
+ (xy 188.362532 57.360722)
+ (xy 188.224915 57.620635)
+ (xy 188.195041 57.702269)
+ (xy 188.147657 57.831753)
+ (xy 188.123845 57.896821)
+ (xy 188.061193 58.184168)
+ (xy 188.038119 58.47736)
+ (xy 186.900448 58.47736)
+ (xy 186.882525 58.440036)
+ (xy 186.878722 58.435922)
+ (xy 186.8575 58.366941)
+ (xy 186.8575 57.451839)
+ (xy 186.859738 57.430808)
+ (xy 186.859906 57.427255)
+ (xy 186.862097 57.417076)
+ (xy 186.858373 57.385612)
+ (xy 186.858062 57.380329)
+ (xy 186.857928 57.38034)
+ (xy 186.8575 57.375164)
+ (xy 186.8575 57.36996)
+ (xy 186.8546 57.352534)
+ (xy 186.853763 57.34666)
+ (xy 186.84933 57.309208)
+ (xy 186.848106 57.298866)
+ (xy 186.844373 57.291091)
+ (xy 186.842956 57.28258)
+ (xy 186.820104 57.240228)
+ (xy 186.817408 57.234937)
+ (xy 186.800013 57.198711)
+ (xy 186.800012 57.19871)
+ (xy 186.796579 57.19156)
+ (xy 186.793611 57.18803)
+ (xy 186.791675 57.186094)
+ (xy 186.789855 57.18411)
+ (xy 186.789972 57.184003)
+ (xy 186.789443 57.183403)
+ (xy 186.786431 57.177821)
+ (xy 186.748186 57.142468)
+ (xy 186.74462 57.139039)
+ (xy 184.389449 54.783868)
+ (xy 184.376161 54.767416)
+ (xy 184.373767 54.764785)
+ (xy 184.368116 54.756033)
+ (xy 184.343227 54.736412)
+ (xy 184.339276 54.732901)
+ (xy 184.339189 54.733003)
+ (xy 184.335227 54.729646)
+ (xy 184.331549 54.725968)
+ (xy 184.317156 54.715683)
+ (xy 184.312449 54.712149)
+ (xy 184.274636 54.682339)
+ (xy 184.266494 54.67948)
+ (xy 184.259478 54.674466)
+ (xy 184.249502 54.671483)
+ (xy 184.249501 54.671482)
+ (xy 184.220653 54.662855)
+ (xy 184.213382 54.66068)
+ (xy 184.207737 54.658846)
+ (xy 184.169805 54.645525)
+ (xy 184.169804 54.645525)
+ (xy 184.162324 54.642898)
+ (xy 184.157729 54.6425)
+ (xy 184.155015 54.6425)
+ (xy 184.152288 54.642382)
+ (xy 184.152295 54.642225)
+ (xy 184.151506 54.642175)
+ (xy 184.145433 54.640359)
+ (xy 184.093407 54.642403)
+ (xy 184.088461 54.6425)
+ (xy 180.000273 54.6425)
+ (xy 179.911178 54.605596)
+ (xy 179.384214 54.078633)
+ (xy 179.348709 54.00827)
+ (xy 179.343454 53.973316)
+ (xy 179.343453 53.973314)
+ (xy 179.342054 53.964006)
+ (xy 179.282525 53.840036)
+ (xy 179.189175 53.739051)
+ (xy 179.13246 53.706108)
+ (xy 179.085073 53.678583)
+ (xy 179.036215 53.627072)
+ (xy 179.022961 53.557323)
+ (xy 179.059264 53.480533)
+ (xy 179.091541 53.448257)
+ (xy 179.245394 53.294404)
+ (xy 179.334489 53.2575)
+ (xy 180.255185 53.2575)
+ (xy 180.348379 53.2987)
+ (xy 180.352686 53.303433)
+ (xy 180.357921 53.311268)
+ (xy 180.464568 53.398093)
+ (xy 180.473299 53.401629)
+ (xy 180.4733 53.40163)
+ (xy 180.498937 53.412014)
+ (xy 180.592032 53.449721)
+ (xy 180.601417 53.450534)
+ (xy 180.601418 53.450534)
+ (xy 180.719661 53.460775)
+ (xy 180.719664 53.460775)
+ (xy 180.729041 53.461587)
+ (xy 180.738242 53.459606)
+ (xy 180.738244 53.459606)
+ (xy 180.854278 53.434625)
+ (xy 180.854281 53.434624)
+ (xy 180.863482 53.432643)
+ (xy 180.871694 53.428044)
+ (xy 180.871697 53.428043)
+ (xy 180.975254 53.370048)
+ (xy 180.98347 53.365447)
+ (xy 181.078394 53.26594)
+ (xy 181.139864 53.14292)
+ (xy 181.162443 53.007265)
+ (xy 181.1625 53)
+ (xy 181.142054 52.864006)
+ (xy 181.082525 52.740036)
+ (xy 180.989175 52.639051)
+ (xy 180.981031 52.63432)
+ (xy 180.981029 52.634319)
+ (xy 180.878399 52.574706)
+ (xy 180.878395 52.574704)
+ (xy 180.870258 52.569978)
+ (xy 180.778189 52.548638)
+ (xy 180.745465 52.541053)
+ (xy 180.745463 52.541053)
+ (xy 180.736287 52.538926)
+ (xy 180.726891 52.539591)
+ (xy 180.726888 52.539591)
+ (xy 180.608511 52.547972)
+ (xy 180.608509 52.547973)
+ (xy 180.599109 52.548638)
+ (xy 180.47085 52.598258)
+ (xy 180.362852 52.683397)
+ (xy 180.357495 52.691148)
+ (xy 180.351051 52.69801)
+ (xy 180.349351 52.696413)
+ (xy 180.304397 52.732803)
+ (xy 180.255923 52.7425)
+ (xy 179.213185 52.7425)
+ (xy 179.188603 52.740079)
+ (xy 179.187809 52.739921)
+ (xy 179.187807 52.739921)
+ (xy 179.175637 52.7375)
+ (xy 179.150502 52.7425)
+ (xy 179.087354 52.755061)
+ (xy 179.087353 52.755061)
+ (xy 179.075184 52.757482)
+ (xy 178.990022 52.814384)
+ (xy 178.98313 52.824699)
+ (xy 178.983128 52.824701)
+ (xy 178.982673 52.825382)
+ (xy 178.967003 52.844475)
+ (xy 178.187473 53.624005)
+ (xy 178.168385 53.639671)
+ (xy 178.157383 53.647023)
+ (xy 178.150489 53.657341)
+ (xy 178.150487 53.657343)
+ (xy 178.136296 53.678583)
+ (xy 178.100481 53.732186)
+ (xy 178.09806 53.744357)
+ (xy 178.098059 53.744359)
+ (xy 178.089382 53.787982)
+ (xy 178.089381 53.787986)
+ (xy 178.086463 53.802653)
+ (xy 178.053553 53.865561)
+ (xy 177.991857 53.900691)
+ (xy 177.899598 53.88702)
+ (xy 177.8784 53.874707)
+ (xy 177.878398 53.874706)
+ (xy 177.870258 53.869978)
+ (xy 177.778189 53.848638)
+ (xy 177.745465 53.841053)
+ (xy 177.745463 53.841053)
+ (xy 177.736287 53.838926)
+ (xy 177.726891 53.839591)
+ (xy 177.726888 53.839591)
+ (xy 177.608511 53.847972)
+ (xy 177.608509 53.847973)
+ (xy 177.599109 53.848638)
+ (xy 177.47085 53.898258)
+ (xy 177.362852 53.983397)
+ (xy 177.284662 54.096528)
+ (xy 177.281823 54.105505)
+ (xy 177.281822 54.105507)
+ (xy 177.275028 54.126989)
+ (xy 177.243194 54.227649)
+ (xy 177.242114 54.365167)
+ (xy 170.560036 54.365167)
+ (xy 170.565964 54.363512)
+ (xy 170.742257 54.27446)
+ (xy 170.777392 54.24701)
+ (xy 170.893039 54.156656)
+ (xy 170.897895 54.152862)
+ (xy 170.923368 54.123352)
+ (xy 171.022924 54.008015)
+ (xy 171.026951 54.00335)
+ (xy 171.04417 53.97304)
+ (xy 171.121465 53.836974)
+ (xy 171.121466 53.836973)
+ (xy 171.124508 53.831617)
+ (xy 171.139024 53.787982)
+ (xy 171.166259 53.706108)
+ (xy 171.186851 53.644207)
+ (xy 171.211605 53.448257)
+ (xy 171.211875 53.428946)
+ (xy 171.211951 53.423522)
+ (xy 171.211951 53.423518)
+ (xy 171.212 53.42)
+ (xy 171.192727 53.223435)
+ (xy 171.135641 53.034357)
+ (xy 171.114768 52.995101)
+ (xy 171.04581 52.86541)
+ (xy 171.045808 52.865407)
+ (xy 171.042916 52.859968)
+ (xy 170.918086 52.70691)
+ (xy 170.765903 52.581014)
+ (xy 170.592166 52.487074)
+ (xy 170.403491 52.42867)
+ (xy 170.397366 52.428026)
+ (xy 170.397365 52.428026)
+ (xy 170.213193 52.408669)
+ (xy 170.213192 52.408669)
+ (xy 170.207065 52.408025)
+ (xy 170.094674 52.418253)
+ (xy 170.016511 52.425366)
+ (xy 170.01651 52.425366)
+ (xy 170.01037 52.425925)
+ (xy 169.994853 52.430492)
+ (xy 169.826809 52.47995)
+ (xy 169.826806 52.479951)
+ (xy 169.820898 52.48169)
+ (xy 169.645866 52.573195)
+ (xy 169.641068 52.577053)
+ (xy 169.641066 52.577054)
+ (xy 169.614694 52.598258)
+ (xy 169.491941 52.696954)
+ (xy 169.487982 52.701672)
+ (xy 169.487981 52.701673)
+ (xy 169.435367 52.764376)
+ (xy 169.364985 52.848253)
+ (xy 169.362022 52.853642)
+ (xy 169.362019 52.853647)
+ (xy 169.284255 52.995101)
+ (xy 169.269835 53.021331)
+ (xy 169.210115 53.209593)
+ (xy 169.209429 53.21571)
+ (xy 169.209428 53.215714)
+ (xy 169.188971 53.398093)
+ (xy 169.188099 53.40587)
+ (xy 151.210615 53.40587)
+ (xy 151.192727 53.223435)
+ (xy 151.135641 53.034357)
+ (xy 151.114768 52.995101)
+ (xy 151.04581 52.86541)
+ (xy 151.045808 52.865407)
+ (xy 151.042916 52.859968)
+ (xy 150.918086 52.70691)
+ (xy 150.765903 52.581014)
+ (xy 150.592166 52.487074)
+ (xy 150.403491 52.42867)
+ (xy 150.397366 52.428026)
+ (xy 150.397365 52.428026)
+ (xy 150.213193 52.408669)
+ (xy 150.213192 52.408669)
+ (xy 150.207065 52.408025)
+ (xy 150.094674 52.418253)
+ (xy 150.016511 52.425366)
+ (xy 150.01651 52.425366)
+ (xy 150.01037 52.425925)
+ (xy 149.994853 52.430492)
+ (xy 149.826809 52.47995)
+ (xy 149.826806 52.479951)
+ (xy 149.820898 52.48169)
+ (xy 149.645866 52.573195)
+ (xy 149.641068 52.577053)
+ (xy 149.641066 52.577054)
+ (xy 149.614694 52.598258)
+ (xy 149.491941 52.696954)
+ (xy 149.487982 52.701672)
+ (xy 149.487981 52.701673)
+ (xy 149.435367 52.764376)
+ (xy 149.364985 52.848253)
+ (xy 149.362022 52.853642)
+ (xy 149.362019 52.853647)
+ (xy 149.284255 52.995101)
+ (xy 149.269835 53.021331)
+ (xy 149.210115 53.209593)
+ (xy 149.209429 53.21571)
+ (xy 149.209428 53.215714)
+ (xy 149.188971 53.398093)
+ (xy 149.188099 53.40587)
+ (xy 135.357 53.40587)
+ (xy 135.357 49.852548)
+ (xy 174.872001 49.852548)
+ (xy 174.872001 50.182912)
+ (xy 174.872302 50.18906)
+ (xy 174.885692 50.325626)
+ (xy 174.888075 50.337661)
+ (xy 174.941655 50.515124)
+ (xy 174.94633 50.526466)
+ (xy 175.033358 50.690144)
+ (xy 175.040145 50.70036)
+ (xy 175.157311 50.844019)
+ (xy 175.165955 50.852723)
+ (xy 175.308789 50.970886)
+ (xy 175.31896 50.977746)
+ (xy 175.482032 51.065918)
+ (xy 175.493331 51.070668)
+ (xy 175.608693 51.10638)
+ (xy 175.619134 51.106532)
+ (xy 175.626 51.088528)
+ (xy 175.626 49.857115)
+ (xy 175.624659 49.852548)
+ (xy 176.134 49.852548)
+ (xy 176.134 51.093052)
+ (xy 176.13704 51.103405)
+ (xy 176.155829 51.104742)
+ (xy 176.253557 51.075979)
+ (xy 176.264941 51.07138)
+ (xy 176.429222 50.985496)
+ (xy 176.439483 50.978782)
+ (xy 176.583959 50.86262)
+ (xy 176.592719 50.854042)
+ (xy 176.711881 50.71203)
+ (xy 176.718807 50.701916)
+ (xy 176.808118 50.539458)
+ (xy 176.812946 50.528194)
+ (xy 176.869002 50.351484)
+ (xy 176.87155 50.339497)
+ (xy 176.887607 50.196339)
+ (xy 176.888 50.189315)
+ (xy 176.888 49.857115)
+ (xy 176.883525 49.841876)
+ (xy 176.882135 49.840671)
+ (xy 176.874452 49.839)
+ (xy 176.152115 49.839)
+ (xy 176.136876 49.843475)
+ (xy 176.135671 49.844865)
+ (xy 176.134 49.852548)
+ (xy 175.624659 49.852548)
+ (xy 175.621525 49.841876)
+ (xy 175.620135 49.840671)
+ (xy 175.612452 49.839)
+ (xy 174.890116 49.839)
+ (xy 174.874877 49.843475)
+ (xy 174.873672 49.844865)
+ (xy 174.872001 49.852548)
+ (xy 135.357 49.852548)
+ (xy 135.357 48.506285)
+ (xy 135.357125 48.500679)
+ (xy 135.376078 48.075112)
+ (xy 135.377074 48.063945)
+ (xy 135.432947 47.647963)
+ (xy 135.434933 47.636928)
+ (xy 135.476354 47.453876)
+ (xy 137.438604 47.453876)
+ (xy 137.438828 47.458542)
+ (xy 137.438828 47.458547)
+ (xy 137.443949 47.565147)
+ (xy 137.451134 47.714734)
+ (xy 137.502083 47.970874)
+ (xy 137.590333 48.21667)
+ (xy 137.592549 48.220794)
+ (xy 137.657006 48.340754)
+ (xy 137.713944 48.446722)
+ (xy 137.716739 48.450465)
+ (xy 137.716741 48.450468)
+ (xy 137.86741 48.652238)
+ (xy 137.867415 48.652244)
+ (xy 137.870202 48.655976)
+ (xy 137.873511 48.659256)
+ (xy 137.873516 48.659262)
+ (xy 138.04626 48.830504)
+ (xy 138.055673 48.839835)
+ (xy 138.183828 48.933803)
+ (xy 138.248115 48.98094)
+ (xy 138.266282 48.994261)
+ (xy 138.270425 48.996441)
+ (xy 138.270427 48.996442)
+ (xy 138.493254 49.113677)
+ (xy 138.493259 49.113679)
+ (xy 138.497404 49.11586)
+ (xy 138.501827 49.117405)
+ (xy 138.501828 49.117405)
+ (xy 138.739182 49.200292)
+ (xy 138.743961 49.201961)
+ (xy 139.000536 49.250674)
+ (xy 139.124612 49.255549)
+ (xy 139.256825 49.260744)
+ (xy 139.25683 49.260744)
+ (xy 139.261493 49.260927)
+ (xy 139.368659 49.24919)
+ (xy 139.516446 49.233005)
+ (xy 139.516451 49.233004)
+ (xy 139.521099 49.232495)
+ (xy 139.592279 49.213755)
+ (xy 139.769128 49.167195)
+ (xy 139.76913 49.167194)
+ (xy 139.773651 49.166004)
+ (xy 139.80731 49.151543)
+ (xy 140.009307 49.064758)
+ (xy 140.009309 49.064757)
+ (xy 140.013601 49.062913)
+ (xy 140.146478 48.980686)
+ (xy 174.872 48.980686)
+ (xy 174.872 49.312885)
+ (xy 174.876475 49.328124)
+ (xy 174.877865 49.329329)
+ (xy 174.885548 49.331)
+ (xy 175.607885 49.331)
+ (xy 175.623124 49.326525)
+ (xy 175.624329 49.325135)
+ (xy 175.626 49.317452)
+ (xy 175.626 48.081472)
+ (xy 176.134 48.081472)
+ (xy 176.134 49.312885)
+ (xy 176.138475 49.328124)
+ (xy 176.139865 49.329329)
+ (xy 176.147548 49.331)
+ (xy 176.853541 49.331)
+ (xy 176.921662 49.351002)
+ (xy 176.949992 49.375925)
+ (xy 176.974202 49.404726)
+ (xy 176.985399 49.418047)
+ (xy 177.100341 49.494559)
+ (xy 177.232138 49.535735)
+ (xy 177.24111 49.535899)
+ (xy 177.241113 49.5359)
+ (xy 177.303657 49.537046)
+ (xy 177.370194 49.538266)
+ (xy 177.378858 49.535904)
+ (xy 177.494752 49.504308)
+ (xy 177.494754 49.504307)
+ (xy 177.503411 49.501947)
+ (xy 177.62108 49.429698)
+ (xy 177.639064 49.40983)
+ (xy 177.707719 49.33398)
+ (xy 177.713741 49.327327)
+ (xy 177.773946 49.203064)
+ (xy 177.784207 49.142072)
+ (xy 177.796049 49.071691)
+ (xy 177.796049 49.071686)
+ (xy 177.796855 49.066898)
+ (xy 177.797 49.055)
+ (xy 177.796148 49.04905)
+ (xy 182.603036 49.04905)
+ (xy 182.6042 49.057952)
+ (xy 182.6042 49.057955)
+ (xy 182.619401 49.174195)
+ (xy 182.62094 49.185964)
+ (xy 182.676551 49.312349)
+ (xy 182.682329 49.319222)
+ (xy 182.682329 49.319223)
+ (xy 182.757837 49.409051)
+ (xy 182.765399 49.418047)
+ (xy 182.880341 49.494559)
+ (xy 183.012138 49.535735)
+ (xy 183.02111 49.535899)
+ (xy 183.021113 49.5359)
+ (xy 183.083657 49.537046)
+ (xy 183.150194 49.538266)
+ (xy 183.158858 49.535904)
+ (xy 183.274752 49.504308)
+ (xy 183.274754 49.504307)
+ (xy 183.283411 49.501947)
+ (xy 183.40108 49.429698)
+ (xy 183.452903 49.372445)
+ (xy 183.546318 49.331)
+ (xy 184.247885 49.331)
+ (xy 184.263124 49.326525)
+ (xy 184.264329 49.325135)
+ (xy 184.266 49.317452)
+ (xy 184.266 48.081472)
+ (xy 184.774 48.081472)
+ (xy 184.774 49.312885)
+ (xy 184.778475 49.328124)
+ (xy 184.779865 49.329329)
+ (xy 184.787548 49.331)
+ (xy 185.509885 49.331)
+ (xy 185.525124 49.326525)
+ (xy 185.526329 49.325135)
+ (xy 185.528 49.317452)
+ (xy 185.528 48.987088)
+ (xy 185.527699 48.98094)
+ (xy 185.514309 48.844374)
+ (xy 185.511926 48.832339)
+ (xy 185.458346 48.654876)
+ (xy 185.453671 48.643534)
+ (xy 185.366643 48.479856)
+ (xy 185.359856 48.46964)
+ (xy 185.24269 48.325981)
+ (xy 185.234046 48.317277)
+ (xy 185.091211 48.199113)
+ (xy 185.08104 48.192253)
+ (xy 184.91797 48.104082)
+ (xy 184.906669 48.099332)
+ (xy 184.791307 48.06362)
+ (xy 184.780866 48.063468)
+ (xy 184.774 48.081472)
+ (xy 184.266 48.081472)
+ (xy 184.266 48.076948)
+ (xy 184.26296 48.066595)
+ (xy 184.244171 48.065258)
+ (xy 184.146443 48.094021)
+ (xy 184.135059 48.09862)
+ (xy 183.970778 48.184504)
+ (xy 183.960517 48.191218)
+ (xy 183.816041 48.30738)
+ (xy 183.807281 48.315958)
+ (xy 183.688123 48.457965)
+ (xy 183.681189 48.468092)
+ (xy 183.588916 48.635938)
+ (xy 183.587824 48.635337)
+ (xy 183.546769 48.68503)
+ (xy 183.479138 48.706628)
+ (xy 183.407644 48.686395)
+ (xy 183.301807 48.617795)
+ (xy 183.301803 48.617793)
+ (xy 183.294273 48.612912)
+ (xy 183.285676 48.610341)
+ (xy 183.285674 48.61034)
+ (xy 183.20658 48.586686)
+ (xy 183.161983 48.573349)
+ (xy 183.153007 48.573294)
+ (xy 183.153006 48.573294)
+ (xy 183.094791 48.572939)
+ (xy 183.023907 48.572506)
+ (xy 183.011955 48.575922)
+ (xy 182.899774 48.607983)
+ (xy 182.899772 48.607984)
+ (xy 182.891143 48.61045)
+ (xy 182.883553 48.615239)
+ (xy 182.789301 48.674708)
+ (xy 182.774366 48.684131)
+ (xy 182.768423 48.69086)
+ (xy 182.768422 48.690861)
+ (xy 182.708605 48.758591)
+ (xy 182.682962 48.787626)
+ (xy 182.679148 48.795749)
+ (xy 182.679147 48.795751)
+ (xy 182.637096 48.885318)
+ (xy 182.62428 48.912615)
+ (xy 182.6229 48.92148)
+ (xy 182.622899 48.921482)
+ (xy 182.607767 49.018667)
+ (xy 182.603036 49.04905)
+ (xy 177.796148 49.04905)
+ (xy 177.777425 48.918315)
+ (xy 177.767519 48.896528)
+ (xy 177.72399 48.800791)
+ (xy 177.723989 48.800789)
+ (xy 177.720274 48.792619)
+ (xy 177.670042 48.734321)
+ (xy 177.636005 48.694819)
+ (xy 177.636004 48.694818)
+ (xy 177.630142 48.688015)
+ (xy 177.622611 48.683134)
+ (xy 177.622608 48.683131)
+ (xy 177.521804 48.617793)
+ (xy 177.521802 48.617792)
+ (xy 177.514273 48.612912)
+ (xy 177.505676 48.610341)
+ (xy 177.505674 48.61034)
+ (xy 177.42658 48.586686)
+ (xy 177.381983 48.573349)
+ (xy 177.373007 48.573294)
+ (xy 177.373006 48.573294)
+ (xy 177.314791 48.572939)
+ (xy 177.243907 48.572506)
+ (xy 177.231955 48.575922)
+ (xy 177.119774 48.607983)
+ (xy 177.119772 48.607984)
+ (xy 177.111143 48.61045)
+ (xy 176.994366 48.684131)
+ (xy 176.99311 48.682141)
+ (xy 176.939952 48.705855)
+ (xy 176.869769 48.695134)
+ (xy 176.811866 48.640138)
+ (xy 176.726643 48.479856)
+ (xy 176.719856 48.46964)
+ (xy 176.60269 48.325981)
+ (xy 176.594046 48.317277)
+ (xy 176.451211 48.199113)
+ (xy 176.44104 48.192253)
+ (xy 176.27797 48.104082)
+ (xy 176.266669 48.099332)
+ (xy 176.151307 48.06362)
+ (xy 176.140866 48.063468)
+ (xy 176.134 48.081472)
+ (xy 175.626 48.081472)
+ (xy 175.626 48.076948)
+ (xy 175.62296 48.066595)
+ (xy 175.604171 48.065258)
+ (xy 175.506443 48.094021)
+ (xy 175.495059 48.09862)
+ (xy 175.330778 48.184504)
+ (xy 175.320517 48.191218)
+ (xy 175.176041 48.30738)
+ (xy 175.167281 48.315958)
+ (xy 175.048123 48.457965)
+ (xy 175.041189 48.468092)
+ (xy 174.951887 48.630533)
+ (xy 174.947051 48.641816)
+ (xy 174.890998 48.818517)
+ (xy 174.88845 48.830504)
+ (xy 174.872393 48.973662)
+ (xy 174.872 48.980686)
+ (xy 140.146478 48.980686)
+ (xy 140.235678 48.925488)
+ (xy 140.435002 48.756747)
+ (xy 140.492783 48.690861)
+ (xy 140.604114 48.563913)
+ (xy 140.604118 48.563908)
+ (xy 140.607196 48.560398)
+ (xy 140.748476 48.340754)
+ (xy 140.855738 48.102639)
+ (xy 140.926627 47.851286)
+ (xy 140.957215 47.610846)
+ (xy 140.959187 47.595346)
+ (xy 140.959187 47.595342)
+ (xy 140.959585 47.592216)
+ (xy 140.962 47.5)
+ (xy 140.95128 47.355748)
+ (xy 140.944442 47.263713)
+ (xy 173.338926 47.263713)
+ (xy 173.339591 47.273107)
+ (xy 173.339591 47.273109)
+ (xy 173.342163 47.309435)
+ (xy 173.342474 47.319322)
+ (xy 173.342114 47.365167)
+ (xy 173.344813 47.374192)
+ (xy 173.346052 47.382897)
+ (xy 173.347972 47.391489)
+ (xy 173.348638 47.400891)
+ (xy 173.352038 47.409679)
+ (xy 173.365179 47.443646)
+ (xy 173.368384 47.453008)
+ (xy 173.377528 47.483583)
+ (xy 173.381517 47.496923)
+ (xy 173.38675 47.504754)
+ (xy 173.390497 47.512718)
+ (xy 173.394861 47.520368)
+ (xy 173.398258 47.52915)
+ (xy 173.404088 47.536545)
+ (xy 173.404089 47.536547)
+ (xy 173.426636 47.565147)
+ (xy 173.432452 47.573152)
+ (xy 173.452688 47.603438)
+ (xy 173.452692 47.603442)
+ (xy 173.457921 47.611268)
+ (xy 173.465223 47.617213)
+ (xy 173.471153 47.623729)
+ (xy 173.477566 47.629751)
+ (xy 173.483397 47.637148)
+ (xy 173.491145 47.642503)
+ (xy 173.491146 47.642504)
+ (xy 173.521111 47.663215)
+ (xy 173.529019 47.669153)
+ (xy 173.557259 47.692143)
+ (xy 173.557261 47.692144)
+ (xy 173.564568 47.698093)
+ (xy 173.5733 47.70163)
+ (xy 173.580877 47.706111)
+ (xy 173.588777 47.709981)
+ (xy 173.596528 47.715338)
+ (xy 173.605511 47.718179)
+ (xy 173.640239 47.729162)
+ (xy 173.649547 47.732513)
+ (xy 173.692032 47.749721)
+ (xy 173.701419 47.750534)
+ (xy 173.710574 47.752732)
+ (xy 173.710531 47.752913)
+ (xy 173.7137 47.753543)
+ (xy 173.713754 47.753267)
+ (xy 173.720801 47.75464)
+ (xy 173.727649 47.756806)
+ (xy 173.736467 47.7575)
+ (xy 173.776406 47.7575)
+ (xy 173.787278 47.75797)
+ (xy 173.819661 47.760775)
+ (xy 173.819664 47.760775)
+ (xy 173.829041 47.761587)
+ (xy 173.838243 47.759606)
+ (xy 173.846572 47.759082)
+ (xy 173.861436 47.7575)
+ (xy 192.058309 47.7575)
+ (xy 192.147404 47.794405)
+ (xy 192.864654 48.511656)
+ (xy 195.005596 50.652599)
+ (xy 195.042501 50.741694)
+ (xy 195.0425 51.688307)
+ (xy 195.005595 51.777402)
+ (xy 194.972672 51.810325)
+ (xy 194.91036 51.844351)
+ (xy 194.839545 51.839286)
+ (xy 194.782709 51.796739)
+ (xy 194.757915 51.712002)
+ (xy 194.760761 51.673244)
+ (xy 194.76139 51.66468)
+ (xy 194.731212 51.515013)
+ (xy 194.678125 51.410825)
+ (xy 194.665794 51.386623)
+ (xy 194.665792 51.38662)
+ (xy 194.661896 51.378974)
+ (xy 194.558551 51.266586)
+ (xy 194.551254 51.262062)
+ (xy 194.551252 51.26206)
+ (xy 194.466639 51.209599)
+ (xy 194.428789 51.186131)
+ (xy 194.282172 51.143534)
+ (xy 194.275595 51.143051)
+ (xy 194.270399 51.142669)
+ (xy 194.270386 51.142669)
+ (xy 194.268091 51.1425)
+ (xy 194.058851 51.1425)
+ (xy 193.969756 51.105596)
+ (xy 193.676636 50.812477)
+ (xy 193.672982 50.808667)
+ (xy 193.6401 50.772908)
+ (xy 193.634287 50.766586)
+ (xy 193.626988 50.762061)
+ (xy 193.626984 50.762057)
+ (xy 193.596788 50.743335)
+ (xy 193.587005 50.736612)
+ (xy 193.558711 50.715136)
+ (xy 193.55871 50.715135)
+ (xy 193.551869 50.709943)
+ (xy 193.537584 50.704287)
+ (xy 193.517584 50.694227)
+ (xy 193.513551 50.691727)
+ (xy 193.511824 50.690656)
+ (xy 193.511822 50.690655)
+ (xy 193.504525 50.686131)
+ (xy 193.462145 50.673818)
+ (xy 193.45092 50.669974)
+ (xy 193.417901 50.656901)
+ (xy 193.417895 50.6569)
+ (xy 193.40991 50.653738)
+ (xy 193.394648 50.652134)
+ (xy 193.372663 50.647821)
+ (xy 193.357908 50.643534)
+ (xy 193.351331 50.643051)
+ (xy 193.346135 50.642669)
+ (xy 193.346122 50.642669)
+ (xy 193.343827 50.6425)
+ (xy 193.309599 50.6425)
+ (xy 193.296428 50.64181)
+ (xy 193.266612 50.638676)
+ (xy 193.258068 50.637778)
+ (xy 193.240574 50.640737)
+ (xy 193.219572 50.6425)
+ (xy 187.909408 50.6425)
+ (xy 187.904131 50.642389)
+ (xy 187.902074 50.642303)
+ (xy 187.847063 50.639997)
+ (xy 187.8387 50.641959)
+ (xy 187.838697 50.641959)
+ (xy 187.804113 50.650071)
+ (xy 187.792439 50.652234)
+ (xy 187.748733 50.658221)
+ (xy 187.734632 50.664323)
+ (xy 187.71337 50.671355)
+ (xy 187.706777 50.672901)
+ (xy 187.706775 50.672902)
+ (xy 187.698418 50.674862)
+ (xy 187.690895 50.678998)
+ (xy 187.690892 50.678999)
+ (xy 187.659755 50.696117)
+ (xy 187.649107 50.701334)
+ (xy 187.60861 50.718858)
+ (xy 187.596674 50.728524)
+ (xy 187.578083 50.741015)
+ (xy 187.564623 50.748415)
+ (xy 187.553935 50.757641)
+ (xy 187.529741 50.781835)
+ (xy 187.51994 50.790661)
+ (xy 187.496629 50.809537)
+ (xy 187.496627 50.809539)
+ (xy 187.489955 50.814942)
+ (xy 187.484981 50.821941)
+ (xy 187.48498 50.821942)
+ (xy 187.479678 50.829403)
+ (xy 187.466068 50.845508)
+ (xy 187.255918 51.055659)
+ (xy 187.075401 51.236176)
+ (xy 187.013088 51.270201)
+ (xy 186.948356 51.26723)
+ (xy 186.946678 51.2667)
+ (xy 186.942878 51.265433)
+ (xy 186.895548 51.248812)
+ (xy 186.895547 51.248812)
+ (xy 186.886663 51.245692)
+ (xy 186.879452 51.245408)
+ (xy 186.879196 51.245358)
+ (xy 186.872351 51.243194)
+ (xy 186.863533 51.2425)
+ (xy 186.807899 51.2425)
+ (xy 186.802952 51.242403)
+ (xy 186.749249 51.240293)
+ (xy 186.742455 51.242094)
+ (xy 186.735083 51.2425)
+ (xy 185.0906 51.2425)
+ (xy 185.022479 51.222498)
+ (xy 184.975986 51.168842)
+ (xy 184.965882 51.098568)
+ (xy 184.995376 51.033988)
+ (xy 185.032225 51.004838)
+ (xy 185.069222 50.985496)
+ (xy 185.079483 50.978782)
+ (xy 185.223959 50.86262)
+ (xy 185.232719 50.854042)
+ (xy 185.351881 50.71203)
+ (xy 185.358807 50.701916)
+ (xy 185.448118 50.539458)
+ (xy 185.452946 50.528194)
+ (xy 185.509002 50.351484)
+ (xy 185.51155 50.339497)
+ (xy 185.527607 50.196339)
+ (xy 185.528 50.189315)
+ (xy 185.528 49.857115)
+ (xy 185.523525 49.841876)
+ (xy 185.522135 49.840671)
+ (xy 185.514452 49.839)
+ (xy 183.530116 49.839)
+ (xy 183.514877 49.843475)
+ (xy 183.513672 49.844865)
+ (xy 183.512001 49.852548)
+ (xy 183.512001 50.182912)
+ (xy 183.512302 50.18906)
+ (xy 183.525692 50.325626)
+ (xy 183.528075 50.337661)
+ (xy 183.581655 50.515124)
+ (xy 183.58633 50.526466)
+ (xy 183.673358 50.690144)
+ (xy 183.680145 50.70036)
+ (xy 183.797311 50.844019)
+ (xy 183.805955 50.852723)
+ (xy 183.948789 50.970886)
+ (xy 183.95896 50.977746)
+ (xy 184.010593 51.005664)
+ (xy 184.061002 51.055659)
+ (xy 184.076379 51.12497)
+ (xy 184.051843 51.191592)
+ (xy 183.995183 51.234373)
+ (xy 183.950664 51.2425)
+ (xy 183.066118 51.2425)
+ (xy 183.054897 51.241217)
+ (xy 183.054852 51.241792)
+ (xy 183.045464 51.241053)
+ (xy 183.036287 51.238926)
+ (xy 183.026891 51.239591)
+ (xy 183.026888 51.239591)
+ (xy 182.990255 51.242185)
+ (xy 182.981357 51.2425)
+ (xy 177.766118 51.2425)
+ (xy 177.754897 51.241217)
+ (xy 177.754852 51.241792)
+ (xy 177.745464 51.241053)
+ (xy 177.736287 51.238926)
+ (xy 177.726891 51.239591)
+ (xy 177.726888 51.239591)
+ (xy 177.690255 51.242185)
+ (xy 177.681357 51.2425)
+ (xy 177.666743 51.2425)
+ (xy 177.654658 51.244317)
+ (xy 177.644841 51.2454)
+ (xy 177.599109 51.248638)
+ (xy 177.590322 51.252037)
+ (xy 177.581737 51.253956)
+ (xy 177.57332 51.256546)
+ (xy 177.564006 51.257946)
+ (xy 177.532847 51.272908)
+ (xy 177.52268 51.27779)
+ (xy 177.513626 51.281709)
+ (xy 177.47085 51.298258)
+ (xy 177.463456 51.304087)
+ (xy 177.455807 51.30845)
+ (xy 177.448525 51.313399)
+ (xy 177.440036 51.317475)
+ (xy 177.406369 51.348597)
+ (xy 177.398867 51.355005)
+ (xy 177.362852 51.383397)
+ (xy 177.357497 51.391145)
+ (xy 177.351472 51.397561)
+ (xy 177.345969 51.40443)
+ (xy 177.339051 51.410825)
+ (xy 177.334319 51.418972)
+ (xy 177.316028 51.450462)
+ (xy 177.310726 51.458816)
+ (xy 177.290017 51.488779)
+ (xy 177.290015 51.488783)
+ (xy 177.284662 51.496528)
+ (xy 177.281824 51.505503)
+ (xy 177.277953 51.513404)
+ (xy 177.274709 51.521597)
+ (xy 177.269978 51.529742)
+ (xy 177.267851 51.538918)
+ (xy 177.26785 51.538921)
+ (xy 177.259628 51.574398)
+ (xy 177.257022 51.583927)
+ (xy 177.243194 51.627649)
+ (xy 177.24312 51.637071)
+ (xy 177.241744 51.645762)
+ (xy 177.241053 51.654536)
+ (xy 177.238926 51.663713)
+ (xy 177.239591 51.673107)
+ (xy 177.239591 51.673109)
+ (xy 177.242163 51.709435)
+ (xy 177.242474 51.719322)
+ (xy 177.242114 51.765167)
+ (xy 177.244813 51.774192)
+ (xy 177.246052 51.782897)
+ (xy 177.247972 51.791489)
+ (xy 177.248638 51.800891)
+ (xy 177.252038 51.809679)
+ (xy 177.265179 51.843646)
+ (xy 177.268383 51.853006)
+ (xy 177.281517 51.896923)
+ (xy 177.28675 51.904754)
+ (xy 177.290497 51.912718)
+ (xy 177.294861 51.920368)
+ (xy 177.298258 51.92915)
+ (xy 177.304088 51.936545)
+ (xy 177.304089 51.936547)
+ (xy 177.326636 51.965147)
+ (xy 177.332452 51.973152)
+ (xy 177.352688 52.003438)
+ (xy 177.352692 52.003442)
+ (xy 177.357921 52.011268)
+ (xy 177.365223 52.017213)
+ (xy 177.371153 52.023729)
+ (xy 177.377566 52.029751)
+ (xy 177.383397 52.037148)
+ (xy 177.391145 52.042503)
+ (xy 177.391146 52.042504)
+ (xy 177.421111 52.063215)
+ (xy 177.429019 52.069153)
+ (xy 177.457259 52.092143)
+ (xy 177.457261 52.092144)
+ (xy 177.464568 52.098093)
+ (xy 177.4733 52.10163)
+ (xy 177.480877 52.106111)
+ (xy 177.488777 52.109981)
+ (xy 177.496528 52.115338)
+ (xy 177.505511 52.118179)
+ (xy 177.540239 52.129162)
+ (xy 177.549547 52.132513)
+ (xy 177.553743 52.134212)
+ (xy 177.592032 52.149721)
+ (xy 177.601419 52.150534)
+ (xy 177.610574 52.152732)
+ (xy 177.610531 52.152913)
+ (xy 177.6137 52.153543)
+ (xy 177.613754 52.153267)
+ (xy 177.620801 52.15464)
+ (xy 177.627649 52.156806)
+ (xy 177.636467 52.1575)
+ (xy 177.676406 52.1575)
+ (xy 177.687278 52.15797)
+ (xy 177.719661 52.160775)
+ (xy 177.719664 52.160775)
+ (xy 177.729041 52.161587)
+ (xy 177.738243 52.159606)
+ (xy 177.746572 52.159082)
+ (xy 177.761436 52.1575)
+ (xy 182.976406 52.1575)
+ (xy 182.987278 52.15797)
+ (xy 183.019661 52.160775)
+ (xy 183.019664 52.160775)
+ (xy 183.029041 52.161587)
+ (xy 183.038243 52.159606)
+ (xy 183.046572 52.159082)
+ (xy 183.061436 52.1575)
+ (xy 186.299728 52.1575)
+ (xy 186.388823 52.194405)
+ (xy 186.514535 52.320117)
+ (xy 186.547231 52.376918)
+ (xy 186.555871 52.409505)
+ (xy 186.571266 52.467566)
+ (xy 186.575538 52.48368)
+ (xy 186.580521 52.49167)
+ (xy 186.580522 52.491672)
+ (xy 186.623413 52.560444)
+ (xy 186.642501 52.627121)
+ (xy 186.6425 55.67354)
+ (xy 186.620153 55.745177)
+ (xy 186.584662 55.796528)
+ (xy 186.543194 55.927649)
+ (xy 186.542114 56.065167)
+ (xy 186.544813 56.074191)
+ (xy 186.544813 56.074193)
+ (xy 186.571001 56.16176)
+ (xy 186.581517 56.196923)
+ (xy 186.657921 56.311268)
+ (xy 186.665222 56.317212)
+ (xy 186.665223 56.317213)
+ (xy 186.757262 56.392145)
+ (xy 186.764568 56.398093)
+ (xy 186.892032 56.449721)
+ (xy 186.901417 56.450534)
+ (xy 186.901418 56.450534)
+ (xy 187.019661 56.460775)
+ (xy 187.019664 56.460775)
+ (xy 187.029041 56.461587)
+ (xy 187.038242 56.459606)
+ (xy 187.038244 56.459606)
+ (xy 187.154278 56.434625)
+ (xy 187.154281 56.434624)
+ (xy 187.163482 56.432643)
+ (xy 187.171694 56.428044)
+ (xy 187.171697 56.428043)
+ (xy 187.275254 56.370048)
+ (xy 187.28347 56.365447)
+ (xy 187.378394 56.26594)
+ (xy 187.439864 56.14292)
+ (xy 187.462443 56.007265)
+ (xy 187.4625 56)
+ (xy 187.442054 55.864006)
+ (xy 187.382525 55.740036)
+ (xy 187.378722 55.735922)
+ (xy 187.3575 55.666941)
+ (xy 187.3575 53.40587)
+ (xy 189.188099 53.40587)
+ (xy 189.188615 53.412014)
+ (xy 189.200297 53.551128)
+ (xy 189.204626 53.602685)
+ (xy 189.216532 53.644207)
+ (xy 189.251225 53.765196)
+ (xy 189.259066 53.792542)
+ (xy 189.261885 53.798027)
+ (xy 189.346529 53.962727)
+ (xy 189.346532 53.962732)
+ (xy 189.349347 53.968209)
+ (xy 189.472028 54.122994)
+ (xy 189.476722 54.126988)
+ (xy 189.476722 54.126989)
+ (xy 189.545529 54.185548)
+ (xy 189.622438 54.251003)
+ (xy 189.627816 54.254009)
+ (xy 189.627818 54.25401)
+ (xy 189.657617 54.270664)
+ (xy 189.794847 54.347359)
+ (xy 189.982688 54.408392)
+ (xy 190.178806 54.431778)
+ (xy 190.184941 54.431306)
+ (xy 190.184943 54.431306)
+ (xy 190.369589 54.417098)
+ (xy 190.369592 54.417097)
+ (xy 190.375732 54.416625)
+ (xy 190.565964 54.363512)
+ (xy 190.742257 54.27446)
+ (xy 190.777392 54.24701)
+ (xy 190.893039 54.156656)
+ (xy 190.897895 54.152862)
+ (xy 190.923368 54.123352)
+ (xy 191.022924 54.008015)
+ (xy 191.026951 54.00335)
+ (xy 191.04417 53.97304)
+ (xy 191.121465 53.836974)
+ (xy 191.121466 53.836973)
+ (xy 191.124508 53.831617)
+ (xy 191.139024 53.787982)
+ (xy 191.166259 53.706108)
+ (xy 191.186851 53.644207)
+ (xy 191.211605 53.448257)
+ (xy 191.211875 53.428946)
+ (xy 191.211951 53.423522)
+ (xy 191.211951 53.423518)
+ (xy 191.212 53.42)
+ (xy 191.192727 53.223435)
+ (xy 191.135641 53.034357)
+ (xy 191.114768 52.995101)
+ (xy 191.04581 52.86541)
+ (xy 191.045808 52.865407)
+ (xy 191.042916 52.859968)
+ (xy 190.918086 52.70691)
+ (xy 190.765903 52.581014)
+ (xy 190.592166 52.487074)
+ (xy 190.403491 52.42867)
+ (xy 190.397366 52.428026)
+ (xy 190.397365 52.428026)
+ (xy 190.213193 52.408669)
+ (xy 190.213192 52.408669)
+ (xy 190.207065 52.408025)
+ (xy 190.094674 52.418253)
+ (xy 190.016511 52.425366)
+ (xy 190.01651 52.425366)
+ (xy 190.01037 52.425925)
+ (xy 189.994853 52.430492)
+ (xy 189.826809 52.47995)
+ (xy 189.826806 52.479951)
+ (xy 189.820898 52.48169)
+ (xy 189.645866 52.573195)
+ (xy 189.641068 52.577053)
+ (xy 189.641066 52.577054)
+ (xy 189.614694 52.598258)
+ (xy 189.491941 52.696954)
+ (xy 189.487982 52.701672)
+ (xy 189.487981 52.701673)
+ (xy 189.435367 52.764376)
+ (xy 189.364985 52.848253)
+ (xy 189.362022 52.853642)
+ (xy 189.362019 52.853647)
+ (xy 189.284255 52.995101)
+ (xy 189.269835 53.021331)
+ (xy 189.210115 53.209593)
+ (xy 189.209429 53.21571)
+ (xy 189.209428 53.215714)
+ (xy 189.188971 53.398093)
+ (xy 189.188099 53.40587)
+ (xy 187.3575 53.40587)
+ (xy 187.3575 52.641693)
+ (xy 187.394405 52.552598)
+ (xy 187.400011 52.546992)
+ (xy 187.411093 52.537144)
+ (xy 187.437148 52.516603)
+ (xy 187.471046 52.467556)
+ (xy 187.473341 52.464344)
+ (xy 187.503144 52.423995)
+ (xy 187.503145 52.423994)
+ (xy 187.508741 52.416417)
+ (xy 187.511168 52.409505)
+ (xy 187.515338 52.403472)
+ (xy 187.520114 52.388371)
+ (xy 187.551153 52.33727)
+ (xy 188.09402 51.794404)
+ (xy 188.183115 51.7575)
+ (xy 192.992622 51.7575)
+ (xy 193.081718 51.794405)
+ (xy 193.247916 51.960604)
+ (xy 193.374847 52.087535)
+ (xy 193.3785 52.091344)
+ (xy 193.417185 52.133414)
+ (xy 193.4547 52.156674)
+ (xy 193.464451 52.163376)
+ (xy 193.499602 52.190057)
+ (xy 193.507589 52.193219)
+ (xy 193.507592 52.193221)
+ (xy 193.513886 52.195713)
+ (xy 193.533889 52.205773)
+ (xy 193.546947 52.213869)
+ (xy 193.555198 52.216266)
+ (xy 193.555202 52.216268)
+ (xy 193.589318 52.22618)
+ (xy 193.600545 52.230024)
+ (xy 193.63357 52.243099)
+ (xy 193.633576 52.2431)
+ (xy 193.641561 52.246262)
+ (xy 193.6501 52.247159)
+ (xy 193.650102 52.24716)
+ (xy 193.656832 52.247867)
+ (xy 193.678811 52.25218)
+ (xy 193.693564 52.256466)
+ (xy 193.700146 52.256949)
+ (xy 193.70015 52.25695)
+ (xy 193.705337 52.257331)
+ (xy 193.70535 52.257331)
+ (xy 193.707645 52.2575)
+ (xy 193.741873 52.2575)
+ (xy 193.755043 52.25819)
+ (xy 193.793404 52.262222)
+ (xy 193.810898 52.259263)
+ (xy 193.8319 52.2575)
+ (xy 194.221307 52.2575)
+ (xy 194.289428 52.277502)
+ (xy 194.335921 52.331158)
+ (xy 194.346025 52.401432)
+ (xy 194.310402 52.472595)
+ (xy 193.305265 53.477733)
+ (xy 193.242953 53.511758)
+ (xy 193.165524 53.504011)
+ (xy 193.147669 53.496173)
+ (xy 193.147667 53.496172)
+ (xy 193.142527 53.493916)
+ (xy 192.982838 53.455578)
+ (xy 192.929814 53.442848)
+ (xy 192.929813 53.442848)
+ (xy 192.924357 53.441538)
+ (xy 192.82404 53.435754)
+ (xy 192.705967 53.428946)
+ (xy 192.705964 53.428946)
+ (xy 192.70036 53.428623)
+ (xy 192.477615 53.455578)
+ (xy 192.263165 53.521551)
+ (xy 192.258185 53.524121)
+ (xy 192.258181 53.524123)
+ (xy 192.117869 53.596544)
+ (xy 192.063787 53.624458)
+ (xy 191.885783 53.761045)
+ (xy 191.88201 53.765191)
+ (xy 191.882005 53.765196)
+ (xy 191.788596 53.867852)
+ (xy 191.734779 53.926996)
+ (xy 191.683956 54.008015)
+ (xy 191.6228 54.105507)
+ (xy 191.61555 54.117064)
+ (xy 191.531863 54.325242)
+ (xy 191.486364 54.544949)
+ (xy 191.486217 54.550559)
+ (xy 191.486217 54.55056)
+ (xy 191.48611 54.554662)
+ (xy 191.48049 54.769242)
+ (xy 191.490582 54.83519)
+ (xy 191.512463 54.978179)
+ (xy 191.514429 54.991029)
+ (xy 191.529551 55.035196)
+ (xy 191.562515 55.131476)
+ (xy 191.587106 55.203302)
+ (xy 191.696224 55.399349)
+ (xy 191.699776 55.403689)
+ (xy 191.699779 55.403693)
+ (xy 191.790913 55.515037)
+ (xy 191.838335 55.572975)
+ (xy 191.842603 55.57662)
+ (xy 192.004682 55.715049)
+ (xy 192.004686 55.715052)
+ (xy 192.008947 55.718691)
+ (xy 192.202667 55.831892)
+ (xy 192.207933 55.833819)
+ (xy 192.207937 55.833821)
+ (xy 192.408106 55.907072)
+ (xy 192.408109 55.907073)
+ (xy 192.41337 55.908998)
+ (xy 192.418886 55.909961)
+ (xy 192.418891 55.909962)
+ (xy 192.574214 55.93707)
+ (xy 192.634399 55.947574)
+ (xy 192.640005 55.947545)
+ (xy 192.640009 55.947545)
+ (xy 192.743025 55.947005)
+ (xy 192.858765 55.946399)
+ (xy 192.896243 55.939453)
+ (xy 193.008399 55.918666)
+ (xy 193.079377 55.905511)
+ (xy 193.084626 55.903528)
+ (xy 193.084628 55.903527)
+ (xy 193.211674 55.85552)
+ (xy 193.289262 55.826202)
+ (xy 193.338844 55.796528)
+ (xy 193.351789 55.78878)
+ (xy 193.481785 55.710979)
+ (xy 193.486005 55.707297)
+ (xy 193.48601 55.707294)
+ (xy 193.646631 55.567175)
+ (xy 193.650861 55.563485)
+ (xy 193.681278 55.525518)
+ (xy 193.787641 55.392757)
+ (xy 193.787644 55.392752)
+ (xy 193.791147 55.38838)
+ (xy 193.898206 55.191201)
+ (xy 193.968657 54.978179)
+ (xy 194.00027 54.756048)
+ (xy 194.001695 54.70163)
+ (xy 194.001905 54.693631)
+ (xy 194.001905 54.693629)
+ (xy 194.002 54.69)
+ (xy 194.001511 54.684515)
+ (xy 193.99331 54.592625)
+ (xy 193.982055 54.466519)
+ (xy 193.92285 54.250102)
+ (xy 193.92418 54.249738)
+ (xy 193.91965 54.185548)
+ (xy 193.95365 54.123352)
+ (xy 194.853568 53.223435)
+ (xy 195.800009 52.276994)
+ (xy 195.811099 52.267139)
+ (xy 195.82975 52.252436)
+ (xy 195.829753 52.252432)
+ (xy 195.837148 52.246603)
+ (xy 195.842501 52.238858)
+ (xy 195.842503 52.238856)
+ (xy 195.871043 52.197562)
+ (xy 195.873343 52.194344)
+ (xy 195.899387 52.159082)
+ (xy 195.908741 52.146418)
+ (xy 195.911169 52.139504)
+ (xy 195.915338 52.133472)
+ (xy 195.933314 52.076633)
+ (xy 195.934565 52.072884)
+ (xy 195.951188 52.025549)
+ (xy 195.954308 52.016665)
+ (xy 195.954592 52.00945)
+ (xy 195.954641 52.009199)
+ (xy 195.956806 52.002351)
+ (xy 195.9575 51.993533)
+ (xy 195.9575 51.937884)
+ (xy 195.957597 51.932938)
+ (xy 195.958415 51.912113)
+ (xy 195.959706 51.879249)
+ (xy 195.957906 51.872458)
+ (xy 195.9575 51.865091)
+ (xy 195.9575 50.533216)
+ (xy 195.958373 50.518406)
+ (xy 195.961164 50.494824)
+ (xy 195.962271 50.485472)
+ (xy 195.95955 50.470573)
+ (xy 195.9575 50.447936)
+ (xy 195.9575 47.941691)
+ (xy 195.994405 47.852596)
+ (xy 196.532626 47.314376)
+ (xy 198.209599 45.637404)
+ (xy 198.298694 45.600499)
+ (xy 207.871308 45.600499)
+ (xy 207.960403 45.637404)
+ (xy 212.245595 49.922597)
+ (xy 212.2825 50.011692)
+ (xy 212.282501 53.434811)
+ (xy 212.262499 53.502932)
+ (xy 212.214291 53.546777)
+ (xy 212.063787 53.624458)
+ (xy 211.885783 53.761045)
+ (xy 211.88201 53.765191)
+ (xy 211.882005 53.765196)
+ (xy 211.788596 53.867852)
+ (xy 211.734779 53.926996)
+ (xy 211.683956 54.008015)
+ (xy 211.6228 54.105507)
+ (xy 211.61555 54.117064)
+ (xy 211.531863 54.325242)
+ (xy 211.486364 54.544949)
+ (xy 211.486217 54.550559)
+ (xy 211.486217 54.55056)
+ (xy 211.48611 54.554662)
+ (xy 211.48049 54.769242)
+ (xy 211.490582 54.83519)
+ (xy 211.512463 54.978179)
+ (xy 211.514429 54.991029)
+ (xy 211.529551 55.035196)
+ (xy 211.562515 55.131476)
+ (xy 211.587106 55.203302)
+ (xy 211.696224 55.399349)
+ (xy 211.699776 55.403689)
+ (xy 211.699779 55.403693)
+ (xy 211.790913 55.515037)
+ (xy 211.838335 55.572975)
+ (xy 211.842603 55.57662)
+ (xy 212.004682 55.715049)
+ (xy 212.004686 55.715052)
+ (xy 212.008947 55.718691)
+ (xy 212.202667 55.831892)
+ (xy 212.207933 55.833819)
+ (xy 212.207937 55.833821)
+ (xy 212.408106 55.907072)
+ (xy 212.408109 55.907073)
+ (xy 212.41337 55.908998)
+ (xy 212.418886 55.909961)
+ (xy 212.418891 55.909962)
+ (xy 212.574214 55.93707)
+ (xy 212.634399 55.947574)
+ (xy 212.640005 55.947545)
+ (xy 212.640009 55.947545)
+ (xy 212.743025 55.947005)
+ (xy 212.858765 55.946399)
+ (xy 212.896243 55.939453)
+ (xy 213.008399 55.918666)
+ (xy 213.079377 55.905511)
+ (xy 213.084626 55.903528)
+ (xy 213.084628 55.903527)
+ (xy 213.211674 55.85552)
+ (xy 213.289262 55.826202)
+ (xy 213.338844 55.796528)
+ (xy 213.351789 55.78878)
+ (xy 213.481785 55.710979)
+ (xy 213.486005 55.707297)
+ (xy 213.48601 55.707294)
+ (xy 213.646631 55.567175)
+ (xy 213.650861 55.563485)
+ (xy 213.681278 55.525518)
+ (xy 213.787641 55.392757)
+ (xy 213.787644 55.392752)
+ (xy 213.791147 55.38838)
+ (xy 213.898206 55.191201)
+ (xy 213.968657 54.978179)
+ (xy 214.00027 54.756048)
+ (xy 214.001695 54.70163)
+ (xy 214.001905 54.693631)
+ (xy 214.001905 54.693629)
+ (xy 214.002 54.69)
+ (xy 214.001511 54.684515)
+ (xy 213.99331 54.592625)
+ (xy 213.982055 54.466519)
+ (xy 213.92285 54.250102)
+ (xy 213.826256 54.04759)
+ (xy 213.785696 53.991144)
+ (xy 213.698604 53.869941)
+ (xy 213.698599 53.869936)
+ (xy 213.695328 53.865383)
+ (xy 213.534202 53.709242)
+ (xy 213.347973 53.584101)
+ (xy 213.272851 53.551125)
+ (xy 213.218519 53.505432)
+ (xy 213.1975 53.435754)
+ (xy 213.1975 49.803224)
+ (xy 213.198373 49.788414)
+ (xy 213.201165 49.764824)
+ (xy 213.202272 49.755472)
+ (xy 213.191561 49.696823)
+ (xy 213.190911 49.69292)
+ (xy 213.183455 49.643322)
+ (xy 213.183454 49.643319)
+ (xy 213.182054 49.634006)
+ (xy 213.178882 49.627401)
+ (xy 213.177565 49.620188)
+ (xy 213.150087 49.56729)
+ (xy 213.148318 49.56375)
+ (xy 213.126603 49.518527)
+ (xy 213.126601 49.518524)
+ (xy 213.122525 49.510036)
+ (xy 213.117624 49.504735)
+ (xy 213.117478 49.504518)
+ (xy 213.11417 49.498149)
+ (xy 213.108426 49.491423)
+ (xy 213.069088 49.452085)
+ (xy 213.065659 49.448519)
+ (xy 213.035572 49.415971)
+ (xy 213.035571 49.41597)
+ (xy 213.029175 49.409051)
+ (xy 213.023096 49.40552)
+ (xy 213.017595 49.400592)
+ (xy 211.070879 47.453876)
+ (xy 219.438604 47.453876)
+ (xy 219.438828 47.458542)
+ (xy 219.438828 47.458547)
+ (xy 219.443949 47.565147)
+ (xy 219.451134 47.714734)
+ (xy 219.502083 47.970874)
+ (xy 219.590333 48.21667)
+ (xy 219.592549 48.220794)
+ (xy 219.657006 48.340754)
+ (xy 219.713944 48.446722)
+ (xy 219.716739 48.450465)
+ (xy 219.716741 48.450468)
+ (xy 219.86741 48.652238)
+ (xy 219.867415 48.652244)
+ (xy 219.870202 48.655976)
+ (xy 219.873511 48.659256)
+ (xy 219.873516 48.659262)
+ (xy 220.04626 48.830504)
+ (xy 220.055673 48.839835)
+ (xy 220.183828 48.933803)
+ (xy 220.248115 48.98094)
+ (xy 220.266282 48.994261)
+ (xy 220.270425 48.996441)
+ (xy 220.270427 48.996442)
+ (xy 220.493254 49.113677)
+ (xy 220.493259 49.113679)
+ (xy 220.497404 49.11586)
+ (xy 220.501827 49.117405)
+ (xy 220.501828 49.117405)
+ (xy 220.739182 49.200292)
+ (xy 220.743961 49.201961)
+ (xy 221.000536 49.250674)
+ (xy 221.124612 49.255549)
+ (xy 221.256825 49.260744)
+ (xy 221.25683 49.260744)
+ (xy 221.261493 49.260927)
+ (xy 221.368659 49.24919)
+ (xy 221.516446 49.233005)
+ (xy 221.516451 49.233004)
+ (xy 221.521099 49.232495)
+ (xy 221.592279 49.213755)
+ (xy 221.769128 49.167195)
+ (xy 221.76913 49.167194)
+ (xy 221.773651 49.166004)
+ (xy 221.80731 49.151543)
+ (xy 222.009307 49.064758)
+ (xy 222.009309 49.064757)
+ (xy 222.013601 49.062913)
+ (xy 222.235678 48.925488)
+ (xy 222.435002 48.756747)
+ (xy 222.492783 48.690861)
+ (xy 222.604114 48.563913)
+ (xy 222.604118 48.563908)
+ (xy 222.607196 48.560398)
+ (xy 222.748476 48.340754)
+ (xy 222.855738 48.102639)
+ (xy 222.926627 47.851286)
+ (xy 222.957215 47.610846)
+ (xy 222.959187 47.595346)
+ (xy 222.959187 47.595342)
+ (xy 222.959585 47.592216)
+ (xy 222.962 47.5)
+ (xy 222.95128 47.355748)
+ (xy 222.942992 47.244212)
+ (xy 222.942991 47.244208)
+ (xy 222.942646 47.23956)
+ (xy 222.885009 46.984841)
+ (xy 222.882181 46.977568)
+ (xy 222.792048 46.745792)
+ (xy 222.792047 46.74579)
+ (xy 222.790355 46.741439)
+ (xy 222.660764 46.514702)
+ (xy 222.499083 46.30961)
+ (xy 222.308863 46.130669)
+ (xy 222.094283 45.981809)
+ (xy 222.090093 45.979743)
+ (xy 222.09009 45.979741)
+ (xy 221.864245 45.868367)
+ (xy 221.864242 45.868366)
+ (xy 221.860057 45.866302)
+ (xy 221.854422 45.864498)
+ (xy 221.735694 45.826493)
+ (xy 221.611331 45.786684)
+ (xy 221.486401 45.766338)
+ (xy 221.358181 45.745456)
+ (xy 221.358177 45.745456)
+ (xy 221.353568 45.744705)
+ (xy 221.223 45.742995)
+ (xy 221.097109 45.741347)
+ (xy 221.097106 45.741347)
+ (xy 221.092432 45.741286)
+ (xy 220.908357 45.766338)
+ (xy 220.838288 45.775874)
+ (xy 220.838286 45.775874)
+ (xy 220.83366 45.776504)
+ (xy 220.829178 45.77781)
+ (xy 220.829175 45.777811)
+ (xy 220.801307 45.785934)
+ (xy 220.582935 45.849584)
+ (xy 220.578688 45.851542)
+ (xy 220.578685 45.851543)
+ (xy 220.542191 45.868367)
+ (xy 220.345765 45.95892)
+ (xy 220.341856 45.961483)
+ (xy 220.131275 46.099545)
+ (xy 220.13127 46.099549)
+ (xy 220.127362 46.102111)
+ (xy 220.098355 46.128001)
+ (xy 219.938856 46.27036)
+ (xy 219.932523 46.276012)
+ (xy 219.765528 46.476801)
+ (xy 219.630047 46.700069)
+ (xy 219.628238 46.704383)
+ (xy 219.628237 46.704385)
+ (xy 219.53503 46.926659)
+ (xy 219.529054 46.940909)
+ (xy 219.527903 46.945441)
+ (xy 219.527902 46.945444)
+ (xy 219.501231 47.050462)
+ (xy 219.464769 47.194032)
+ (xy 219.438604 47.453876)
+ (xy 211.070879 47.453876)
+ (xy 208.459998 44.842996)
+ (xy 208.450143 44.831907)
+ (xy 208.438659 44.81734)
+ (xy 208.429602 44.805851)
+ (xy 208.421855 44.800496)
+ (xy 208.421853 44.800495)
+ (xy 208.38689 44.776331)
+ (xy 208.380555 44.771953)
+ (xy 208.377343 44.769658)
+ (xy 208.367979 44.762741)
+ (xy 208.329416 44.734258)
+ (xy 208.322504 44.731831)
+ (xy 208.316471 44.727661)
+ (xy 208.307493 44.724822)
+ (xy 208.307492 44.724821)
+ (xy 208.271803 44.713534)
+ (xy 208.259617 44.70968)
+ (xy 208.255877 44.708432)
+ (xy 208.208547 44.691811)
+ (xy 208.208546 44.691811)
+ (xy 208.199662 44.688691)
+ (xy 208.192451 44.688407)
+ (xy 208.192195 44.688357)
+ (xy 208.18535 44.686193)
+ (xy 208.176532 44.685499)
+ (xy 208.120898 44.685499)
+ (xy 208.115951 44.685402)
+ (xy 208.062248 44.683292)
+ (xy 208.055454 44.685093)
+ (xy 208.048082 44.685499)
+ (xy 198.090217 44.685499)
+ (xy 198.075407 44.684626)
+ (xy 198.051826 44.681835)
+ (xy 198.042473 44.680728)
+ (xy 197.983844 44.691435)
+ (xy 197.97998 44.692078)
+ (xy 197.921007 44.700945)
+ (xy 197.914399 44.704118)
+ (xy 197.907188 44.705435)
+ (xy 197.854267 44.732925)
+ (xy 197.850779 44.734668)
+ (xy 197.797037 44.760474)
+ (xy 197.791735 44.765375)
+ (xy 197.791523 44.765518)
+ (xy 197.785149 44.768829)
+ (xy 197.778423 44.774574)
+ (xy 197.739086 44.813911)
+ (xy 197.73552 44.81734)
+ (xy 197.707766 44.842996)
+ (xy 197.696052 44.853824)
+ (xy 197.692521 44.859903)
+ (xy 197.687593 44.865404)
+ (xy 196.44354 46.109458)
+ (xy 195.199993 47.353005)
+ (xy 195.188904 47.36286)
+ (xy 195.162853 47.383397)
+ (xy 195.157498 47.391145)
+ (xy 195.128953 47.432445)
+ (xy 195.126672 47.435638)
+ (xy 195.091259 47.483583)
+ (xy 195.088831 47.490498)
+ (xy 195.084663 47.496528)
+ (xy 195.072007 47.536547)
+ (xy 195.066699 47.55333)
+ (xy 195.065446 47.557085)
+ (xy 195.045692 47.613337)
+ (xy 195.045408 47.620556)
+ (xy 195.04536 47.620802)
+ (xy 195.043195 47.627649)
+ (xy 195.042501 47.636467)
+ (xy 195.042501 47.692076)
+ (xy 195.042404 47.697023)
+ (xy 195.040293 47.750751)
+ (xy 195.042095 47.757547)
+ (xy 195.042501 47.764923)
+ (xy 195.0425 49.091308)
+ (xy 195.022498 49.159429)
+ (xy 194.968842 49.205922)
+ (xy 194.898568 49.216025)
+ (xy 194.827405 49.180403)
+ (xy 192.646999 46.999997)
+ (xy 192.637144 46.988908)
+ (xy 192.622434 46.970249)
+ (xy 192.616603 46.962852)
+ (xy 192.608856 46.957497)
+ (xy 192.608854 46.957496)
+ (xy 192.567566 46.928961)
+ (xy 192.564344 46.926659)
+ (xy 192.560566 46.923868)
+ (xy 192.516417 46.891259)
+ (xy 192.509505 46.888832)
+ (xy 192.503472 46.884662)
+ (xy 192.494494 46.881823)
+ (xy 192.494493 46.881822)
+ (xy 192.463303 46.871958)
+ (xy 192.446618 46.866681)
+ (xy 192.442878 46.865433)
+ (xy 192.395548 46.848812)
+ (xy 192.395547 46.848812)
+ (xy 192.386663 46.845692)
+ (xy 192.379452 46.845408)
+ (xy 192.379196 46.845358)
+ (xy 192.372351 46.843194)
+ (xy 192.363533 46.8425)
+ (xy 192.307899 46.8425)
+ (xy 192.302952 46.842403)
+ (xy 192.249249 46.840293)
+ (xy 192.242455 46.842094)
+ (xy 192.235083 46.8425)
+ (xy 185.033215 46.8425)
+ (xy 184.965094 46.822498)
+ (xy 184.918601 46.768842)
+ (xy 184.908497 46.698568)
+ (xy 184.937991 46.633988)
+ (xy 184.97484 46.604838)
+ (xy 185.069222 46.555496)
+ (xy 185.079483 46.548782)
+ (xy 185.223959 46.43262)
+ (xy 185.232719 46.424042)
+ (xy 185.351881 46.28203)
+ (xy 185.358807 46.271916)
+ (xy 185.448118 46.109458)
+ (xy 185.452946 46.098194)
+ (xy 185.509002 45.921483)
+ (xy 185.51155 45.909496)
+ (xy 185.527607 45.766338)
+ (xy 185.528 45.759314)
+ (xy 185.528 45.677115)
+ (xy 185.523525 45.661876)
+ (xy 185.522135 45.660671)
+ (xy 185.514452 45.659)
+ (xy 183.530115 45.659)
+ (xy 183.514876 45.663475)
+ (xy 183.513671 45.664865)
+ (xy 183.512 45.672548)
+ (xy 183.512 45.752912)
+ (xy 183.512301 45.75906)
+ (xy 183.525691 45.895628)
+ (xy 183.528073 45.907657)
+ (xy 183.581656 46.085129)
+ (xy 183.586329 46.096464)
+ (xy 183.673358 46.260144)
+ (xy 183.680145 46.27036)
+ (xy 183.797311 46.414019)
+ (xy 183.805955 46.422723)
+ (xy 183.948789 46.540886)
+ (xy 183.95896 46.547746)
+ (xy 184.066077 46.605664)
+ (xy 184.116485 46.655659)
+ (xy 184.131863 46.72497)
+ (xy 184.107327 46.791592)
+ (xy 184.050667 46.834373)
+ (xy 184.006148 46.8425)
+ (xy 176.393215 46.8425)
+ (xy 176.325094 46.822498)
+ (xy 176.278601 46.768842)
+ (xy 176.268497 46.698568)
+ (xy 176.297991 46.633988)
+ (xy 176.33484 46.604838)
+ (xy 176.429222 46.555496)
+ (xy 176.439483 46.548782)
+ (xy 176.583959 46.43262)
+ (xy 176.592719 46.424042)
+ (xy 176.711881 46.28203)
+ (xy 176.718807 46.271916)
+ (xy 176.808118 46.109458)
+ (xy 176.812946 46.098194)
+ (xy 176.869002 45.921483)
+ (xy 176.87155 45.909496)
+ (xy 176.887607 45.766338)
+ (xy 176.888 45.759314)
+ (xy 176.888 45.677115)
+ (xy 176.883525 45.661876)
+ (xy 176.882135 45.660671)
+ (xy 176.874452 45.659)
+ (xy 174.890115 45.659)
+ (xy 174.874876 45.663475)
+ (xy 174.873671 45.664865)
+ (xy 174.872 45.672548)
+ (xy 174.872 45.752912)
+ (xy 174.872301 45.75906)
+ (xy 174.885691 45.895628)
+ (xy 174.888073 45.907657)
+ (xy 174.941656 46.085129)
+ (xy 174.946329 46.096464)
+ (xy 175.033358 46.260144)
+ (xy 175.040145 46.27036)
+ (xy 175.157311 46.414019)
+ (xy 175.165955 46.422723)
+ (xy 175.308789 46.540886)
+ (xy 175.31896 46.547746)
+ (xy 175.426077 46.605664)
+ (xy 175.476485 46.655659)
+ (xy 175.491863 46.72497)
+ (xy 175.467327 46.791592)
+ (xy 175.410667 46.834373)
+ (xy 175.366148 46.8425)
+ (xy 173.866118 46.8425)
+ (xy 173.854897 46.841217)
+ (xy 173.854852 46.841792)
+ (xy 173.845464 46.841053)
+ (xy 173.836287 46.838926)
+ (xy 173.826891 46.839591)
+ (xy 173.826888 46.839591)
+ (xy 173.790255 46.842185)
+ (xy 173.781357 46.8425)
+ (xy 173.766743 46.8425)
+ (xy 173.754658 46.844317)
+ (xy 173.744841 46.8454)
+ (xy 173.699109 46.848638)
+ (xy 173.690322 46.852037)
+ (xy 173.681737 46.853956)
+ (xy 173.67332 46.856546)
+ (xy 173.664006 46.857946)
+ (xy 173.62268 46.87779)
+ (xy 173.613626 46.881709)
+ (xy 173.57085 46.898258)
+ (xy 173.563456 46.904087)
+ (xy 173.555807 46.90845)
+ (xy 173.548525 46.913399)
+ (xy 173.540036 46.917475)
+ (xy 173.506369 46.948597)
+ (xy 173.498867 46.955005)
+ (xy 173.462852 46.983397)
+ (xy 173.457497 46.991145)
+ (xy 173.451472 46.997561)
+ (xy 173.445969 47.00443)
+ (xy 173.439051 47.010825)
+ (xy 173.434319 47.018972)
+ (xy 173.416028 47.050462)
+ (xy 173.410726 47.058816)
+ (xy 173.390017 47.088779)
+ (xy 173.390015 47.088783)
+ (xy 173.384662 47.096528)
+ (xy 173.381824 47.105503)
+ (xy 173.377953 47.113404)
+ (xy 173.374709 47.121597)
+ (xy 173.369978 47.129742)
+ (xy 173.367851 47.138918)
+ (xy 173.36785 47.138921)
+ (xy 173.359628 47.174398)
+ (xy 173.357022 47.183927)
+ (xy 173.343194 47.227649)
+ (xy 173.34312 47.237071)
+ (xy 173.341744 47.245762)
+ (xy 173.341053 47.254536)
+ (xy 173.338926 47.263713)
+ (xy 140.944442 47.263713)
+ (xy 140.942992 47.244212)
+ (xy 140.942991 47.244208)
+ (xy 140.942646 47.23956)
+ (xy 140.885009 46.984841)
+ (xy 140.882181 46.977568)
+ (xy 140.792048 46.745792)
+ (xy 140.792047 46.74579)
+ (xy 140.790355 46.741439)
+ (xy 140.660764 46.514702)
+ (xy 140.499083 46.30961)
+ (xy 140.308863 46.130669)
+ (xy 140.094283 45.981809)
+ (xy 140.090093 45.979743)
+ (xy 140.09009 45.979741)
+ (xy 139.864245 45.868367)
+ (xy 139.864242 45.868366)
+ (xy 139.860057 45.866302)
+ (xy 139.854422 45.864498)
+ (xy 139.735694 45.826493)
+ (xy 139.611331 45.786684)
+ (xy 139.486401 45.766338)
+ (xy 139.358181 45.745456)
+ (xy 139.358177 45.745456)
+ (xy 139.353568 45.744705)
+ (xy 139.223 45.742995)
+ (xy 139.097109 45.741347)
+ (xy 139.097106 45.741347)
+ (xy 139.092432 45.741286)
+ (xy 138.908357 45.766338)
+ (xy 138.838288 45.775874)
+ (xy 138.838286 45.775874)
+ (xy 138.83366 45.776504)
+ (xy 138.829178 45.77781)
+ (xy 138.829175 45.777811)
+ (xy 138.801307 45.785934)
+ (xy 138.582935 45.849584)
+ (xy 138.578688 45.851542)
+ (xy 138.578685 45.851543)
+ (xy 138.542191 45.868367)
+ (xy 138.345765 45.95892)
+ (xy 138.341856 45.961483)
+ (xy 138.131275 46.099545)
+ (xy 138.13127 46.099549)
+ (xy 138.127362 46.102111)
+ (xy 138.098355 46.128001)
+ (xy 137.938856 46.27036)
+ (xy 137.932523 46.276012)
+ (xy 137.765528 46.476801)
+ (xy 137.630047 46.700069)
+ (xy 137.628238 46.704383)
+ (xy 137.628237 46.704385)
+ (xy 137.53503 46.926659)
+ (xy 137.529054 46.940909)
+ (xy 137.527903 46.945441)
+ (xy 137.527902 46.945444)
+ (xy 137.501231 47.050462)
+ (xy 137.464769 47.194032)
+ (xy 137.438604 47.453876)
+ (xy 135.476354 47.453876)
+ (xy 135.527562 47.227573)
+ (xy 135.53052 47.216759)
+ (xy 135.612284 46.962852)
+ (xy 135.659175 46.81724)
+ (xy 135.663082 46.806737)
+ (xy 135.690733 46.741439)
+ (xy 135.816904 46.443478)
+ (xy 135.82673 46.420274)
+ (xy 135.831556 46.410155)
+ (xy 136.028925 46.039737)
+ (xy 136.034633 46.030086)
+ (xy 136.264143 45.678691)
+ (xy 136.270682 45.66959)
+ (xy 136.275503 45.663475)
+ (xy 136.530523 45.339985)
+ (xy 136.537845 45.331503)
+ (xy 136.597199 45.268628)
+ (xy 136.802935 45.050686)
+ (xy 174.872 45.050686)
+ (xy 174.872 45.132885)
+ (xy 174.876475 45.148124)
+ (xy 174.877865 45.149329)
+ (xy 174.885548 45.151)
+ (xy 175.607885 45.151)
+ (xy 175.623124 45.146525)
+ (xy 175.624329 45.145135)
+ (xy 175.626 45.137452)
+ (xy 175.626 44.151472)
+ (xy 176.134 44.151472)
+ (xy 176.134 45.132885)
+ (xy 176.138475 45.148124)
+ (xy 176.139865 45.149329)
+ (xy 176.147548 45.151)
+ (xy 176.869885 45.151)
+ (xy 176.885124 45.146525)
+ (xy 176.886329 45.145135)
+ (xy 176.888 45.137452)
+ (xy 176.888 45.057088)
+ (xy 176.887699 45.05094)
+ (xy 176.887675 45.050686)
+ (xy 183.512 45.050686)
+ (xy 183.512 45.132885)
+ (xy 183.516475 45.148124)
+ (xy 183.517865 45.149329)
+ (xy 183.525548 45.151)
+ (xy 184.247885 45.151)
+ (xy 184.263124 45.146525)
+ (xy 184.264329 45.145135)
+ (xy 184.266 45.137452)
+ (xy 184.266 44.151472)
+ (xy 184.774 44.151472)
+ (xy 184.774 45.132885)
+ (xy 184.778475 45.148124)
+ (xy 184.779865 45.149329)
+ (xy 184.787548 45.151)
+ (xy 185.509885 45.151)
+ (xy 185.525124 45.146525)
+ (xy 185.526329 45.145135)
+ (xy 185.528 45.137452)
+ (xy 185.528 45.057088)
+ (xy 185.527699 45.05094)
+ (xy 185.514309 44.914374)
+ (xy 185.511926 44.902339)
+ (xy 185.458346 44.724876)
+ (xy 185.453671 44.713534)
+ (xy 185.366643 44.549856)
+ (xy 185.359856 44.53964)
+ (xy 185.24269 44.395981)
+ (xy 185.234046 44.387277)
+ (xy 185.091211 44.269113)
+ (xy 185.08104 44.262253)
+ (xy 184.91797 44.174082)
+ (xy 184.906669 44.169332)
+ (xy 184.791307 44.13362)
+ (xy 184.780866 44.133468)
+ (xy 184.774 44.151472)
+ (xy 184.266 44.151472)
+ (xy 184.266 44.146948)
+ (xy 184.26296 44.136595)
+ (xy 184.244171 44.135258)
+ (xy 184.146443 44.164021)
+ (xy 184.135059 44.16862)
+ (xy 183.970778 44.254504)
+ (xy 183.960517 44.261218)
+ (xy 183.816041 44.37738)
+ (xy 183.807281 44.385958)
+ (xy 183.688123 44.527965)
+ (xy 183.681189 44.538092)
+ (xy 183.591887 44.700533)
+ (xy 183.587051 44.711816)
+ (xy 183.530998 44.888517)
+ (xy 183.52845 44.900504)
+ (xy 183.512393 45.043662)
+ (xy 183.512 45.050686)
+ (xy 176.887675 45.050686)
+ (xy 176.874309 44.914374)
+ (xy 176.871926 44.902339)
+ (xy 176.818346 44.724876)
+ (xy 176.813671 44.713534)
+ (xy 176.726643 44.549856)
+ (xy 176.719856 44.53964)
+ (xy 176.60269 44.395981)
+ (xy 176.594046 44.387277)
+ (xy 176.451211 44.269113)
+ (xy 176.44104 44.262253)
+ (xy 176.27797 44.174082)
+ (xy 176.266669 44.169332)
+ (xy 176.151307 44.13362)
+ (xy 176.140866 44.133468)
+ (xy 176.134 44.151472)
+ (xy 175.626 44.151472)
+ (xy 175.626 44.146948)
+ (xy 175.62296 44.136595)
+ (xy 175.604171 44.135258)
+ (xy 175.506443 44.164021)
+ (xy 175.495059 44.16862)
+ (xy 175.330778 44.254504)
+ (xy 175.320517 44.261218)
+ (xy 175.176041 44.37738)
+ (xy 175.167281 44.385958)
+ (xy 175.048123 44.527965)
+ (xy 175.041189 44.538092)
+ (xy 174.951887 44.700533)
+ (xy 174.947051 44.711816)
+ (xy 174.890998 44.888517)
+ (xy 174.88845 44.900504)
+ (xy 174.872393 45.043662)
+ (xy 174.872 45.050686)
+ (xy 136.802935 45.050686)
+ (xy 136.825958 45.026298)
+ (xy 136.833998 45.018507)
+ (xy 137.148107 44.740118)
+ (xy 137.15682 44.733063)
+ (xy 137.494409 44.483717)
+ (xy 137.503715 44.477463)
+ (xy 137.862158 44.259099)
+ (xy 137.871983 44.253698)
+ (xy 138.248404 44.068068)
+ (xy 138.25867 44.063562)
+ (xy 138.528497 43.959173)
+ (xy 138.650099 43.912129)
+ (xy 138.660711 43.908557)
+ (xy 139.064077 43.792512)
+ (xy 139.074963 43.789899)
+ (xy 139.487034 43.710173)
+ (xy 139.498123 43.708535)
+ (xy 139.917854 43.665531)
+ (xy 139.927068 43.664927)
+ (xy 140.200435 43.657052)
+ (xy 140.204063 43.657)
+ (xy 220.193715 43.657)
+ )
+ )
+ )
+ (zone (net 1) (net_name "GND") (layer "B.Cu") (tstamp 00000000-0000-0000-0000-00005eb088f5) (hatch edge 0.508)
+ (connect_pads (clearance 0.157))
+ (min_thickness 0.254) (filled_areas_thickness no)
+ (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 225.2 93.5)
+ (xy 135.2 93.5)
+ (xy 135.2 43.5)
+ (xy 225.2 43.5)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 220.19932 43.657125)
+ (xy 220.48545 43.669868)
+ (xy 220.624888 43.676078)
+ (xy 220.636055 43.677074)
+ (xy 221.052037 43.732947)
+ (xy 221.063072 43.734933)
+ (xy 221.472427 43.827562)
+ (xy 221.483241 43.83052)
+ (xy 221.554565 43.853488)
+ (xy 221.88276 43.959175)
+ (xy 221.893256 43.963079)
+ (xy 222.195423 44.091032)
+ (xy 222.279726 44.12673)
+ (xy 222.289845 44.131556)
+ (xy 222.327223 44.151472)
+ (xy 222.640394 44.318338)
+ (xy 222.660263 44.328925)
+ (xy 222.669913 44.334632)
+ (xy 222.983794 44.53964)
+ (xy 223.021305 44.56414)
+ (xy 223.030406 44.570679)
+ (xy 223.316878 44.796516)
+ (xy 223.36001 44.830519)
+ (xy 223.368492 44.837841)
+ (xy 223.673702 45.125958)
+ (xy 223.681493 45.133998)
+ (xy 223.842138 45.315255)
+ (xy 223.959882 45.448107)
+ (xy 223.966937 45.45682)
+ (xy 224.216283 45.794409)
+ (xy 224.222537 45.803715)
+ (xy 224.440901 46.162158)
+ (xy 224.446302 46.171983)
+ (xy 224.631932 46.548404)
+ (xy 224.636438 46.55867)
+ (xy 224.69975 46.72232)
+ (xy 224.786071 46.945444)
+ (xy 224.787869 46.950092)
+ (xy 224.791443 46.960711)
+ (xy 224.906113 47.359297)
+ (xy 224.907486 47.364069)
+ (xy 224.910101 47.374963)
+ (xy 224.981843 47.745765)
+ (xy 224.989827 47.787033)
+ (xy 224.991465 47.798123)
+ (xy 225.021068 48.087054)
+ (xy 225.034469 48.217854)
+ (xy 225.035073 48.227068)
+ (xy 225.042948 48.500435)
+ (xy 225.043 48.504063)
+ (xy 225.043001 88.493691)
+ (xy 225.042876 88.499297)
+ (xy 225.023922 88.924887)
+ (xy 225.022926 88.936054)
+ (xy 224.967053 89.352036)
+ (xy 224.965067 89.363071)
+ (xy 224.872442 89.772415)
+ (xy 224.869484 89.783229)
+ (xy 224.810533 89.966294)
+ (xy 224.768076 90.098138)
+ (xy 224.740831 90.182742)
+ (xy 224.736924 90.193244)
+ (xy 224.688016 90.308746)
+ (xy 224.573273 90.579719)
+ (xy 224.568447 90.589838)
+ (xy 224.371085 90.960244)
+ (xy 224.365378 90.969895)
+ (xy 224.135867 91.321296)
+ (xy 224.129324 91.330401)
+ (xy 223.86949 91.660001)
+ (xy 223.862168 91.668483)
+ (xy 223.660078 91.882562)
+ (xy 223.574051 91.973692)
+ (xy 223.566006 91.981488)
+ (xy 223.251896 92.25988)
+ (xy 223.243202 92.26692)
+ (xy 222.90559 92.516285)
+ (xy 222.896308 92.522523)
+ (xy 222.573253 92.71933)
+ (xy 222.537857 92.740893)
+ (xy 222.528033 92.746294)
+ (xy 222.151601 92.931931)
+ (xy 222.141335 92.936437)
+ (xy 221.749906 93.08787)
+ (xy 221.739301 93.09144)
+ (xy 221.335915 93.207491)
+ (xy 221.325029 93.210104)
+ (xy 220.912961 93.289827)
+ (xy 220.901884 93.291463)
+ (xy 220.594806 93.322926)
+ (xy 220.482145 93.334469)
+ (xy 220.472931 93.335073)
+ (xy 220.199565 93.342948)
+ (xy 220.195937 93.343)
+ (xy 140.206286 93.343)
+ (xy 140.20068 93.342875)
+ (xy 140.097011 93.338258)
+ (xy 139.775113 93.323922)
+ (xy 139.763946 93.322926)
+ (xy 139.347964 93.267053)
+ (xy 139.336929 93.265067)
+ (xy 138.927585 93.172442)
+ (xy 138.916771 93.169484)
+ (xy 138.663315 93.087865)
+ (xy 138.517252 93.040829)
+ (xy 138.506756 93.036924)
+ (xy 138.120281 92.873273)
+ (xy 138.110162 92.868447)
+ (xy 137.739756 92.671085)
+ (xy 137.730105 92.665378)
+ (xy 137.378704 92.435867)
+ (xy 137.369599 92.429324)
+ (xy 137.07331 92.19575)
+ (xy 137.039994 92.169486)
+ (xy 137.031512 92.162164)
+ (xy 136.94545 92.080921)
+ (xy 136.726303 91.874045)
+ (xy 136.718506 91.866)
+ (xy 136.603972 91.736771)
+ (xy 136.44012 91.551896)
+ (xy 136.43308 91.543202)
+ (xy 136.183715 91.20559)
+ (xy 136.177477 91.196308)
+ (xy 135.959107 90.837857)
+ (xy 135.953706 90.828033)
+ (xy 135.768069 90.451601)
+ (xy 135.763563 90.441335)
+ (xy 135.724651 90.340754)
+ (xy 135.61213 90.049906)
+ (xy 135.608558 90.039294)
+ (xy 135.492509 89.635915)
+ (xy 135.489894 89.625021)
+ (xy 135.484153 89.595346)
+ (xy 135.456783 89.453876)
+ (xy 137.438604 89.453876)
+ (xy 137.438828 89.458542)
+ (xy 137.438828 89.458547)
+ (xy 137.440971 89.50316)
+ (xy 137.451134 89.714734)
+ (xy 137.502083 89.970874)
+ (xy 137.590333 90.21667)
+ (xy 137.592549 90.220794)
+ (xy 137.657006 90.340754)
+ (xy 137.713944 90.446722)
+ (xy 137.716739 90.450465)
+ (xy 137.716741 90.450468)
+ (xy 137.86741 90.652238)
+ (xy 137.867415 90.652244)
+ (xy 137.870202 90.655976)
+ (xy 137.873511 90.659256)
+ (xy 137.873516 90.659262)
+ (xy 138.052356 90.836547)
+ (xy 138.055673 90.839835)
+ (xy 138.266282 90.994261)
+ (xy 138.270425 90.996441)
+ (xy 138.270427 90.996442)
+ (xy 138.493254 91.113677)
+ (xy 138.493259 91.113679)
+ (xy 138.497404 91.11586)
+ (xy 138.501827 91.117405)
+ (xy 138.501828 91.117405)
+ (xy 138.727739 91.196296)
+ (xy 138.743961 91.201961)
+ (xy 139.000536 91.250674)
+ (xy 139.124612 91.255549)
+ (xy 139.256825 91.260744)
+ (xy 139.25683 91.260744)
+ (xy 139.261493 91.260927)
+ (xy 139.368659 91.24919)
+ (xy 139.516446 91.233005)
+ (xy 139.516451 91.233004)
+ (xy 139.521099 91.232495)
+ (xy 139.525623 91.231304)
+ (xy 139.769128 91.167195)
+ (xy 139.76913 91.167194)
+ (xy 139.773651 91.166004)
+ (xy 139.890364 91.11586)
+ (xy 140.009307 91.064758)
+ (xy 140.009309 91.064757)
+ (xy 140.013601 91.062913)
+ (xy 140.235678 90.925488)
+ (xy 140.435002 90.756747)
+ (xy 140.48123 90.704034)
+ (xy 140.604114 90.563913)
+ (xy 140.604118 90.563908)
+ (xy 140.607196 90.560398)
+ (xy 140.748476 90.340754)
+ (xy 140.855738 90.102639)
+ (xy 140.926627 89.851286)
+ (xy 140.959585 89.592216)
+ (xy 140.962 89.5)
+ (xy 140.958573 89.453876)
+ (xy 219.438604 89.453876)
+ (xy 219.438828 89.458542)
+ (xy 219.438828 89.458547)
+ (xy 219.440971 89.50316)
+ (xy 219.451134 89.714734)
+ (xy 219.502083 89.970874)
+ (xy 219.590333 90.21667)
+ (xy 219.592549 90.220794)
+ (xy 219.657006 90.340754)
+ (xy 219.713944 90.446722)
+ (xy 219.716739 90.450465)
+ (xy 219.716741 90.450468)
+ (xy 219.86741 90.652238)
+ (xy 219.867415 90.652244)
+ (xy 219.870202 90.655976)
+ (xy 219.873511 90.659256)
+ (xy 219.873516 90.659262)
+ (xy 220.052356 90.836547)
+ (xy 220.055673 90.839835)
+ (xy 220.266282 90.994261)
+ (xy 220.270425 90.996441)
+ (xy 220.270427 90.996442)
+ (xy 220.493254 91.113677)
+ (xy 220.493259 91.113679)
+ (xy 220.497404 91.11586)
+ (xy 220.501827 91.117405)
+ (xy 220.501828 91.117405)
+ (xy 220.727739 91.196296)
+ (xy 220.743961 91.201961)
+ (xy 221.000536 91.250674)
+ (xy 221.124612 91.255549)
+ (xy 221.256825 91.260744)
+ (xy 221.25683 91.260744)
+ (xy 221.261493 91.260927)
+ (xy 221.368659 91.24919)
+ (xy 221.516446 91.233005)
+ (xy 221.516451 91.233004)
+ (xy 221.521099 91.232495)
+ (xy 221.525623 91.231304)
+ (xy 221.769128 91.167195)
+ (xy 221.76913 91.167194)
+ (xy 221.773651 91.166004)
+ (xy 221.890364 91.11586)
+ (xy 222.009307 91.064758)
+ (xy 222.009309 91.064757)
+ (xy 222.013601 91.062913)
+ (xy 222.235678 90.925488)
+ (xy 222.435002 90.756747)
+ (xy 222.48123 90.704034)
+ (xy 222.604114 90.563913)
+ (xy 222.604118 90.563908)
+ (xy 222.607196 90.560398)
+ (xy 222.748476 90.340754)
+ (xy 222.855738 90.102639)
+ (xy 222.926627 89.851286)
+ (xy 222.959585 89.592216)
+ (xy 222.962 89.5)
+ (xy 222.95426 89.395841)
+ (xy 222.942992 89.244212)
+ (xy 222.942991 89.244208)
+ (xy 222.942646 89.23956)
+ (xy 222.885009 88.984841)
+ (xy 222.881513 88.975851)
+ (xy 222.792048 88.745792)
+ (xy 222.792047 88.74579)
+ (xy 222.790355 88.741439)
+ (xy 222.660764 88.514702)
+ (xy 222.499083 88.30961)
+ (xy 222.308863 88.130669)
+ (xy 222.094283 87.981809)
+ (xy 222.090093 87.979743)
+ (xy 222.09009 87.979741)
+ (xy 221.864245 87.868367)
+ (xy 221.864242 87.868366)
+ (xy 221.860057 87.866302)
+ (xy 221.611331 87.786684)
+ (xy 221.526364 87.772846)
+ (xy 221.358181 87.745456)
+ (xy 221.358177 87.745456)
+ (xy 221.353568 87.744705)
+ (xy 221.223 87.742995)
+ (xy 221.097109 87.741347)
+ (xy 221.097106 87.741347)
+ (xy 221.092432 87.741286)
+ (xy 220.89759 87.767803)
+ (xy 220.838288 87.775874)
+ (xy 220.838286 87.775874)
+ (xy 220.83366 87.776504)
+ (xy 220.829178 87.77781)
+ (xy 220.829175 87.777811)
+ (xy 220.801307 87.785934)
+ (xy 220.582935 87.849584)
+ (xy 220.578688 87.851542)
+ (xy 220.578685 87.851543)
+ (xy 220.542191 87.868367)
+ (xy 220.345765 87.95892)
+ (xy 220.341856 87.961483)
+ (xy 220.131275 88.099545)
+ (xy 220.13127 88.099549)
+ (xy 220.127362 88.102111)
+ (xy 219.932523 88.276012)
+ (xy 219.765528 88.476801)
+ (xy 219.763104 88.480795)
+ (xy 219.763103 88.480797)
+ (xy 219.740067 88.51876)
+ (xy 219.630047 88.700069)
+ (xy 219.628238 88.704383)
+ (xy 219.628237 88.704385)
+ (xy 219.53109 88.936054)
+ (xy 219.529054 88.940909)
+ (xy 219.464769 89.194032)
+ (xy 219.438604 89.453876)
+ (xy 140.958573 89.453876)
+ (xy 140.95426 89.395841)
+ (xy 140.942992 89.244212)
+ (xy 140.942991 89.244208)
+ (xy 140.942646 89.23956)
+ (xy 140.885009 88.984841)
+ (xy 140.881513 88.975851)
+ (xy 140.792048 88.745792)
+ (xy 140.792047 88.74579)
+ (xy 140.790355 88.741439)
+ (xy 140.660764 88.514702)
+ (xy 140.499083 88.30961)
+ (xy 140.308863 88.130669)
+ (xy 140.094283 87.981809)
+ (xy 140.090093 87.979743)
+ (xy 140.09009 87.979741)
+ (xy 139.864245 87.868367)
+ (xy 139.864242 87.868366)
+ (xy 139.860057 87.866302)
+ (xy 139.611331 87.786684)
+ (xy 139.526364 87.772846)
+ (xy 139.358181 87.745456)
+ (xy 139.358177 87.745456)
+ (xy 139.353568 87.744705)
+ (xy 139.223 87.742995)
+ (xy 139.097109 87.741347)
+ (xy 139.097106 87.741347)
+ (xy 139.092432 87.741286)
+ (xy 138.89759 87.767803)
+ (xy 138.838288 87.775874)
+ (xy 138.838286 87.775874)
+ (xy 138.83366 87.776504)
+ (xy 138.829178 87.77781)
+ (xy 138.829175 87.777811)
+ (xy 138.801307 87.785934)
+ (xy 138.582935 87.849584)
+ (xy 138.578688 87.851542)
+ (xy 138.578685 87.851543)
+ (xy 138.542191 87.868367)
+ (xy 138.345765 87.95892)
+ (xy 138.341856 87.961483)
+ (xy 138.131275 88.099545)
+ (xy 138.13127 88.099549)
+ (xy 138.127362 88.102111)
+ (xy 137.932523 88.276012)
+ (xy 137.765528 88.476801)
+ (xy 137.763104 88.480795)
+ (xy 137.763103 88.480797)
+ (xy 137.740067 88.51876)
+ (xy 137.630047 88.700069)
+ (xy 137.628238 88.704383)
+ (xy 137.628237 88.704385)
+ (xy 137.53109 88.936054)
+ (xy 137.529054 88.940909)
+ (xy 137.464769 89.194032)
+ (xy 137.438604 89.453876)
+ (xy 135.456783 89.453876)
+ (xy 135.410173 89.212961)
+ (xy 135.408536 89.201878)
+ (xy 135.40821 89.19869)
+ (xy 135.365531 88.782145)
+ (xy 135.364927 88.772931)
+ (xy 135.357052 88.499565)
+ (xy 135.357 88.495937)
+ (xy 135.357 78.47736)
+ (xy 148.038119 78.47736)
+ (xy 148.055048 78.77097)
+ (xy 148.055873 78.775175)
+ (xy 148.055874 78.775183)
+ (xy 148.078036 78.888144)
+ (xy 148.111668 79.059567)
+ (xy 148.113055 79.063617)
+ (xy 148.113056 79.063622)
+ (xy 148.190955 79.291144)
+ (xy 148.206932 79.337809)
+ (xy 148.339076 79.600548)
+ (xy 148.341502 79.604077)
+ (xy 148.341505 79.604083)
+ (xy 148.503224 79.839385)
+ (xy 148.505655 79.842922)
+ (xy 148.508542 79.846095)
+ (xy 148.508543 79.846096)
+ (xy 148.588621 79.934101)
+ (xy 148.703586 80.060446)
+ (xy 148.75888 80.106679)
+ (xy 148.925916 80.246343)
+ (xy 148.925921 80.246347)
+ (xy 148.929208 80.249095)
+ (xy 149.025921 80.309763)
+ (xy 149.174705 80.403095)
+ (xy 149.174709 80.403097)
+ (xy 149.178345 80.405378)
+ (xy 149.255095 80.440032)
+ (xy 149.442475 80.524638)
+ (xy 149.442479 80.52464)
+ (xy 149.446387 80.526404)
+ (xy 149.450507 80.527624)
+ (xy 149.450506 80.527624)
+ (xy 149.724261 80.608714)
+ (xy 149.724265 80.608715)
+ (xy 149.728374 80.609932)
+ (xy 149.732608 80.61058)
+ (xy 149.732613 80.610581)
+ (xy 149.961052 80.645537)
+ (xy 150.019089 80.654418)
+ (xy 150.168859 80.656771)
+ (xy 150.308859 80.65897)
+ (xy 150.308865 80.65897)
+ (xy 150.31315 80.659037)
+ (xy 150.605118 80.623705)
+ (xy 150.760447 80.582955)
+ (xy 150.885447 80.550162)
+ (xy 150.885448 80.550162)
+ (xy 150.88959 80.549075)
+ (xy 151.161301 80.436529)
+ (xy 151.415224 80.288148)
+ (xy 151.64666 80.106679)
+ (xy 151.851327 79.89548)
+ (xy 151.85386 79.892032)
+ (xy 151.853864 79.892027)
+ (xy 152.022899 79.661913)
+ (xy 152.025437 79.658458)
+ (xy 152.050581 79.612149)
+ (xy 152.163718 79.403775)
+ (xy 152.163719 79.403773)
+ (xy 152.165768 79.399999)
+ (xy 152.247258 79.184344)
+ (xy 152.268207 79.128905)
+ (xy 152.268208 79.128901)
+ (xy 152.269725 79.124887)
+ (xy 152.314841 78.927899)
+ (xy 152.334424 78.842395)
+ (xy 152.334425 78.842391)
+ (xy 152.335382 78.838211)
+ (xy 152.33822 78.806418)
+ (xy 152.361306 78.547742)
+ (xy 152.361526 78.545278)
+ (xy 152.362 78.5)
+ (xy 152.361831 78.497519)
+ (xy 152.342289 78.21086)
+ (xy 152.342288 78.210854)
+ (xy 152.341997 78.206583)
+ (xy 152.282357 77.918595)
+ (xy 152.184186 77.641366)
+ (xy 152.099705 77.477688)
+ (xy 152.051263 77.383833)
+ (xy 152.051263 77.383832)
+ (xy 152.049298 77.380026)
+ (xy 152.04419 77.372757)
+ (xy 151.958862 77.251348)
+ (xy 151.88019 77.139409)
+ (xy 151.749024 76.998258)
+ (xy 151.682912 76.927113)
+ (xy 151.682909 76.927111)
+ (xy 151.679991 76.92397)
+ (xy 151.452406 76.737694)
+ (xy 151.201646 76.584028)
+ (xy 151.197729 76.582309)
+ (xy 151.197726 76.582307)
+ (xy 151.069345 76.525952)
+ (xy 150.932351 76.465816)
+ (xy 150.928223 76.46464)
+ (xy 150.92822 76.464639)
+ (xy 150.834702 76.438)
+ (xy 150.649505 76.385245)
+ (xy 150.645263 76.384641)
+ (xy 150.645257 76.38464)
+ (xy 150.362592 76.344411)
+ (xy 150.358341 76.343806)
+ (xy 150.203328 76.342994)
+ (xy 150.068533 76.342288)
+ (xy 150.068526 76.342288)
+ (xy 150.064247 76.342266)
+ (xy 150.060002 76.342825)
+ (xy 150.06 76.342825)
+ (xy 149.994726 76.351419)
+ (xy 149.772665 76.380654)
+ (xy 149.48899 76.458258)
+ (xy 149.485042 76.459942)
+ (xy 149.222425 76.571958)
+ (xy 149.222421 76.57196)
+ (xy 149.218473 76.573644)
+ (xy 149.109394 76.638926)
+ (xy 148.969799 76.722471)
+ (xy 148.969795 76.722474)
+ (xy 148.966117 76.724675)
+ (xy 148.962774 76.727353)
+ (xy 148.96277 76.727356)
+ (xy 148.915225 76.765447)
+ (xy 148.736594 76.908558)
+ (xy 148.73365 76.91166)
+ (xy 148.733646 76.911664)
+ (xy 148.543393 77.112149)
+ (xy 148.53415 77.121889)
+ (xy 148.531651 77.125367)
+ (xy 148.53165 77.125368)
+ (xy 148.496566 77.174193)
+ (xy 148.362532 77.360722)
+ (xy 148.224915 77.620635)
+ (xy 148.22344 77.624666)
+ (xy 148.146606 77.834625)
+ (xy 148.123845 77.896821)
+ (xy 148.061193 78.184168)
+ (xy 148.060857 78.188438)
+ (xy 148.041633 78.432715)
+ (xy 148.038119 78.47736)
+ (xy 135.357 78.47736)
+ (xy 135.357 69.05)
+ (xy 143.088 69.05)
+ (xy 143.088 69.85)
+ (xy 143.091073 69.865447)
+ (xy 143.097567 69.898093)
+ (xy 143.100332 69.911995)
+ (xy 143.107224 69.922309)
+ (xy 143.107225 69.922312)
+ (xy 143.124652 69.948392)
+ (xy 143.135449 69.964551)
+ (xy 143.186504 69.998665)
+ (xy 143.23203 70.053142)
+ (xy 143.2425 70.103429)
+ (xy 143.2425 70.566782)
+ (xy 143.241627 70.581591)
+ (xy 143.237729 70.614527)
+ (xy 143.239421 70.623791)
+ (xy 143.239421 70.623792)
+ (xy 143.248432 70.673133)
+ (xy 143.249082 70.677037)
+ (xy 143.253376 70.705595)
+ (xy 143.257946 70.735993)
+ (xy 143.261119 70.742601)
+ (xy 143.262436 70.749811)
+ (xy 143.266777 70.758168)
+ (xy 143.266779 70.758174)
+ (xy 143.289912 70.802707)
+ (xy 143.291681 70.806247)
+ (xy 143.313397 70.851472)
+ (xy 143.313399 70.851475)
+ (xy 143.317475 70.859963)
+ (xy 143.32238 70.865269)
+ (xy 143.322518 70.865474)
+ (xy 143.32583 70.87185)
+ (xy 143.331575 70.878576)
+ (xy 143.37088 70.917881)
+ (xy 143.374309 70.921446)
+ (xy 143.410825 70.960949)
+ (xy 143.416906 70.964481)
+ (xy 143.422412 70.969413)
+ (xy 144.625597 72.1726)
+ (xy 144.662501 72.261695)
+ (xy 144.6625 74.704811)
+ (xy 144.642498 74.772932)
+ (xy 144.59429 74.816777)
+ (xy 144.44877 74.891886)
+ (xy 144.443787 74.894458)
+ (xy 144.265783 75.031045)
+ (xy 144.26201 75.035191)
+ (xy 144.262005 75.035196)
+ (xy 144.166695 75.139941)
+ (xy 144.114779 75.196996)
+ (xy 144.042049 75.312938)
+ (xy 144.003031 75.375139)
+ (xy 143.99555 75.387064)
+ (xy 143.911863 75.595242)
+ (xy 143.866364 75.814949)
+ (xy 143.86049 76.039242)
+ (xy 143.861339 76.044788)
+ (xy 143.892463 76.248179)
+ (xy 143.894429 76.261029)
+ (xy 143.914197 76.318767)
+ (xy 143.965134 76.467541)
+ (xy 143.967106 76.473302)
+ (xy 144.076224 76.669349)
+ (xy 144.079776 76.673689)
+ (xy 144.079779 76.673693)
+ (xy 144.169571 76.783397)
+ (xy 144.218335 76.842975)
+ (xy 144.222603 76.84662)
+ (xy 144.384682 76.985049)
+ (xy 144.384686 76.985052)
+ (xy 144.388947 76.988691)
+ (xy 144.582667 77.101892)
+ (xy 144.587933 77.103819)
+ (xy 144.587937 77.103821)
+ (xy 144.788106 77.177072)
+ (xy 144.788109 77.177073)
+ (xy 144.79337 77.178998)
+ (xy 144.798886 77.179961)
+ (xy 144.798891 77.179962)
+ (xy 144.956632 77.207492)
+ (xy 145.014399 77.217574)
+ (xy 145.020005 77.217545)
+ (xy 145.020009 77.217545)
+ (xy 145.123025 77.217005)
+ (xy 145.238765 77.216399)
+ (xy 145.268727 77.210846)
+ (xy 145.349071 77.195955)
+ (xy 145.459377 77.175511)
+ (xy 145.464626 77.173528)
+ (xy 145.464628 77.173527)
+ (xy 145.609511 77.11878)
+ (xy 145.669262 77.096202)
+ (xy 145.675632 77.09239)
+ (xy 145.768064 77.03707)
+ (xy 145.861785 76.980979)
+ (xy 145.866005 76.977297)
+ (xy 145.86601 76.977294)
+ (xy 146.026631 76.837175)
+ (xy 146.030861 76.833485)
+ (xy 146.095893 76.752312)
+ (xy 146.167641 76.662757)
+ (xy 146.167644 76.662752)
+ (xy 146.171147 76.65838)
+ (xy 146.259439 76.495765)
+ (xy 146.275531 76.466128)
+ (xy 146.275532 76.466127)
+ (xy 146.278206 76.461201)
+ (xy 146.348657 76.248179)
+ (xy 146.38027 76.026048)
+ (xy 146.382 75.96)
+ (xy 146.380926 75.94796)
+ (xy 146.374846 75.879839)
+ (xy 146.362055 75.736519)
+ (xy 146.312856 75.556678)
+ (xy 146.304332 75.525518)
+ (xy 146.304331 75.525514)
+ (xy 146.30285 75.520102)
+ (xy 146.231384 75.370271)
+ (xy 157.656996 75.370271)
+ (xy 157.663275 75.442049)
+ (xy 157.664549 75.45028)
+ (xy 157.72816 75.73486)
+ (xy 157.730516 75.742864)
+ (xy 157.831205 76.016525)
+ (xy 157.834595 76.024141)
+ (xy 157.970589 76.282076)
+ (xy 157.974967 76.289192)
+ (xy 158.14388 76.526875)
+ (xy 158.149151 76.533338)
+ (xy 158.34802 76.7466)
+ (xy 158.354104 76.752312)
+ (xy 158.579425 76.937393)
+ (xy 158.586206 76.942248)
+ (xy 158.834038 77.09591)
+ (xy 158.841398 77.099823)
+ (xy 159.107364 77.219353)
+ (xy 159.115187 77.222263)
+ (xy 159.394637 77.305571)
+ (xy 159.402758 77.307416)
+ (xy 159.691433 77.353137)
+ (xy 159.698408 77.353845)
+ (xy 159.788477 77.357936)
+ (xy 159.79131 77.358)
+ (xy 160.127885 77.358)
+ (xy 160.143124 77.353525)
+ (xy 160.144329 77.352135)
+ (xy 160.146 77.344452)
+ (xy 160.146 77.339885)
+ (xy 160.653999 77.339885)
+ (xy 160.658474 77.355124)
+ (xy 160.659864 77.356329)
+ (xy 160.667547 77.358)
+ (xy 160.973181 77.358)
+ (xy 160.977373 77.357861)
+ (xy 161.195125 77.343398)
+ (xy 161.203394 77.342295)
+ (xy 161.489231 77.284659)
+ (xy 161.497292 77.282469)
+ (xy 161.772998 77.187536)
+ (xy 161.780685 77.184305)
+ (xy 162.04141 77.053743)
+ (xy 162.048619 77.049513)
+ (xy 162.289783 76.885619)
+ (xy 162.296358 76.880482)
+ (xy 162.513735 76.686125)
+ (xy 162.519575 76.680161)
+ (xy 162.709336 76.458762)
+ (xy 162.714329 76.452088)
+ (xy 162.873144 76.207536)
+ (xy 162.877218 76.200246)
+ (xy 163.002288 75.936848)
+ (xy 163.005364 75.92908)
+ (xy 163.094501 75.651449)
+ (xy 163.096518 75.64336)
+ (xy 163.145375 75.37183)
+ (xy 163.143943 75.358571)
+ (xy 163.129333 75.354)
+ (xy 160.672115 75.353999)
+ (xy 160.656876 75.358474)
+ (xy 160.655671 75.359864)
+ (xy 160.654 75.367547)
+ (xy 160.653999 77.339885)
+ (xy 160.146 77.339885)
+ (xy 160.146001 75.372115)
+ (xy 160.141526 75.356876)
+ (xy 160.140136 75.355671)
+ (xy 160.132453 75.354)
+ (xy 157.673687 75.353999)
+ (xy 157.659059 75.358294)
+ (xy 157.656996 75.370271)
+ (xy 146.231384 75.370271)
+ (xy 146.206256 75.31759)
+ (xy 146.145992 75.233723)
+ (xy 146.078604 75.139941)
+ (xy 146.078599 75.139936)
+ (xy 146.075328 75.135383)
+ (xy 145.914202 74.979242)
+ (xy 145.753298 74.871119)
+ (xy 145.732634 74.857233)
+ (xy 145.732633 74.857233)
+ (xy 145.727973 74.854101)
+ (xy 145.668901 74.82817)
+ (xy 157.654625 74.82817)
+ (xy 157.656057 74.841429)
+ (xy 157.670667 74.846)
+ (xy 160.127885 74.846001)
+ (xy 160.143124 74.841526)
+ (xy 160.144329 74.840136)
+ (xy 160.146 74.832453)
+ (xy 160.146 74.827885)
+ (xy 160.653999 74.827885)
+ (xy 160.658474 74.843124)
+ (xy 160.659864 74.844329)
+ (xy 160.667547 74.846)
+ (xy 163.126313 74.846001)
+ (xy 163.140941 74.841706)
+ (xy 163.143004 74.829729)
+ (xy 163.136725 74.757951)
+ (xy 163.135451 74.74972)
+ (xy 163.07184 74.46514)
+ (xy 163.069484 74.457136)
+ (xy 162.968795 74.183475)
+ (xy 162.965405 74.175859)
+ (xy 162.829411 73.917924)
+ (xy 162.825033 73.910808)
+ (xy 162.65612 73.673125)
+ (xy 162.650849 73.666662)
+ (xy 162.45198 73.4534)
+ (xy 162.445896 73.447688)
+ (xy 162.220575 73.262607)
+ (xy 162.213794 73.257752)
+ (xy 161.965962 73.10409)
+ (xy 161.958602 73.100177)
+ (xy 161.692636 72.980647)
+ (xy 161.684813 72.977737)
+ (xy 161.405363 72.894429)
+ (xy 161.397242 72.892584)
+ (xy 161.108567 72.846863)
+ (xy 161.101592 72.846155)
+ (xy 161.011523 72.842064)
+ (xy 161.00869 72.842)
+ (xy 160.672115 72.842)
+ (xy 160.656876 72.846475)
+ (xy 160.655671 72.847865)
+ (xy 160.654 72.855548)
+ (xy 160.653999 74.827885)
+ (xy 160.146 74.827885)
+ (xy 160.146001 72.860115)
+ (xy 160.141526 72.844876)
+ (xy 160.140136 72.843671)
+ (xy 160.132453 72.842)
+ (xy 159.826819 72.842)
+ (xy 159.822627 72.842139)
+ (xy 159.604875 72.856602)
+ (xy 159.596606 72.857705)
+ (xy 159.310769 72.915341)
+ (xy 159.302708 72.917531)
+ (xy 159.027002 73.012464)
+ (xy 159.019315 73.015695)
+ (xy 158.75859 73.146257)
+ (xy 158.751381 73.150487)
+ (xy 158.510217 73.314381)
+ (xy 158.503642 73.319518)
+ (xy 158.286265 73.513875)
+ (xy 158.280425 73.519839)
+ (xy 158.090664 73.741238)
+ (xy 158.085671 73.747912)
+ (xy 157.926856 73.992464)
+ (xy 157.922782 73.999754)
+ (xy 157.797712 74.263152)
+ (xy 157.794636 74.27092)
+ (xy 157.705499 74.548551)
+ (xy 157.703482 74.55664)
+ (xy 157.654625 74.82817)
+ (xy 145.668901 74.82817)
+ (xy 145.652851 74.821125)
+ (xy 145.598519 74.775432)
+ (xy 145.5775 74.705754)
+ (xy 145.5775 73.40587)
+ (xy 149.188099 73.40587)
+ (xy 149.188615 73.412014)
+ (xy 149.20216 73.573315)
+ (xy 149.204626 73.602685)
+ (xy 149.211848 73.627871)
+ (xy 149.251225 73.765196)
+ (xy 149.259066 73.792542)
+ (xy 149.261885 73.798027)
+ (xy 149.346529 73.962727)
+ (xy 149.346532 73.962732)
+ (xy 149.349347 73.968209)
+ (xy 149.353176 73.97304)
+ (xy 149.368571 73.992464)
+ (xy 149.472028 74.122994)
+ (xy 149.476722 74.126988)
+ (xy 149.476722 74.126989)
+ (xy 149.592583 74.225594)
+ (xy 149.622438 74.251003)
+ (xy 149.627816 74.254009)
+ (xy 149.627818 74.25401)
+ (xy 149.644176 74.263152)
+ (xy 149.794847 74.347359)
+ (xy 149.982688 74.408392)
+ (xy 150.178806 74.431778)
+ (xy 150.184941 74.431306)
+ (xy 150.184943 74.431306)
+ (xy 150.369589 74.417098)
+ (xy 150.369592 74.417097)
+ (xy 150.375732 74.416625)
+ (xy 150.565964 74.363512)
+ (xy 150.742257 74.27446)
+ (xy 150.777392 74.24701)
+ (xy 150.893039 74.156656)
+ (xy 150.897895 74.152862)
+ (xy 150.903527 74.146338)
+ (xy 150.9406 74.103388)
+ (xy 151.026951 74.00335)
+ (xy 151.034503 73.990057)
+ (xy 151.121465 73.836974)
+ (xy 151.121466 73.836973)
+ (xy 151.124508 73.831617)
+ (xy 151.133928 73.803301)
+ (xy 151.184904 73.650059)
+ (xy 151.186851 73.644207)
+ (xy 151.211605 73.448257)
+ (xy 151.211875 73.428946)
+ (xy 151.211951 73.423522)
+ (xy 151.211951 73.423518)
+ (xy 151.212 73.42)
+ (xy 151.192727 73.223435)
+ (xy 151.135641 73.034357)
+ (xy 151.107083 72.980647)
+ (xy 151.04581 72.86541)
+ (xy 151.045808 72.865407)
+ (xy 151.042916 72.859968)
+ (xy 150.918086 72.70691)
+ (xy 150.765903 72.581014)
+ (xy 150.592166 72.487074)
+ (xy 150.403491 72.42867)
+ (xy 150.397366 72.428026)
+ (xy 150.397365 72.428026)
+ (xy 150.213193 72.408669)
+ (xy 150.213192 72.408669)
+ (xy 150.207065 72.408025)
+ (xy 150.086743 72.418975)
+ (xy 150.016511 72.425366)
+ (xy 150.01651 72.425366)
+ (xy 150.01037 72.425925)
+ (xy 149.986576 72.432928)
+ (xy 149.826809 72.47995)
+ (xy 149.826806 72.479951)
+ (xy 149.820898 72.48169)
+ (xy 149.645866 72.573195)
+ (xy 149.641068 72.577053)
+ (xy 149.641066 72.577054)
+ (xy 149.636141 72.581014)
+ (xy 149.491941 72.696954)
+ (xy 149.487982 72.701672)
+ (xy 149.487981 72.701673)
+ (xy 149.387713 72.821167)
+ (xy 149.364985 72.848253)
+ (xy 149.362022 72.853642)
+ (xy 149.362019 72.853647)
+ (xy 149.293801 72.977737)
+ (xy 149.269835 73.021331)
+ (xy 149.210115 73.209593)
+ (xy 149.209429 73.21571)
+ (xy 149.209428 73.215714)
+ (xy 149.197154 73.325139)
+ (xy 149.188099 73.40587)
+ (xy 145.5775 73.40587)
+ (xy 145.5775 72.053216)
+ (xy 145.578373 72.038406)
+ (xy 145.581164 72.014825)
+ (xy 145.582271 72.005472)
+ (xy 145.571564 71.946843)
+ (xy 145.570919 71.942969)
+ (xy 145.570521 71.940319)
+ (xy 145.562054 71.884006)
+ (xy 145.558881 71.877398)
+ (xy 145.557564 71.870187)
+ (xy 145.538383 71.833263)
+ (xy 145.530097 71.817311)
+ (xy 145.528327 71.81377)
+ (xy 145.52689 71.810777)
+ (xy 145.502525 71.760036)
+ (xy 145.49762 71.75473)
+ (xy 145.497482 71.754525)
+ (xy 145.49417 71.748149)
+ (xy 145.488425 71.741423)
+ (xy 145.4491 71.702098)
+ (xy 145.445671 71.698532)
+ (xy 145.41557 71.665969)
+ (xy 145.409175 71.659051)
+ (xy 145.403095 71.65552)
+ (xy 145.397592 71.65059)
+ (xy 144.194405 70.447403)
+ (xy 144.1575 70.358308)
+ (xy 144.1575 70.103429)
+ (xy 144.177502 70.035308)
+ (xy 144.213496 69.998665)
+ (xy 144.264551 69.964551)
+ (xy 144.275348 69.948392)
+ (xy 144.292775 69.922312)
+ (xy 144.292776 69.922309)
+ (xy 144.299668 69.911995)
+ (xy 144.302434 69.898093)
+ (xy 144.308927 69.865447)
+ (xy 144.312 69.85)
+ (xy 144.312 69.05)
+ (xy 144.30618 69.020743)
+ (xy 144.302089 69.000174)
+ (xy 144.302088 69.000172)
+ (xy 144.299668 68.988005)
+ (xy 144.292776 68.977691)
+ (xy 144.292775 68.977688)
+ (xy 144.271444 68.945765)
+ (xy 144.264551 68.935449)
+ (xy 144.253467 68.928043)
+ (xy 144.222312 68.907225)
+ (xy 144.222309 68.907224)
+ (xy 144.211995 68.900332)
+ (xy 144.199828 68.897912)
+ (xy 144.199826 68.897911)
+ (xy 144.169568 68.891892)
+ (xy 144.15 68.888)
+ (xy 143.25 68.888)
+ (xy 143.230432 68.891892)
+ (xy 143.200174 68.897911)
+ (xy 143.200172 68.897912)
+ (xy 143.188005 68.900332)
+ (xy 143.177691 68.907224)
+ (xy 143.177688 68.907225)
+ (xy 143.146533 68.928043)
+ (xy 143.135449 68.935449)
+ (xy 143.128556 68.945765)
+ (xy 143.107225 68.977688)
+ (xy 143.107224 68.977691)
+ (xy 143.100332 68.988005)
+ (xy 143.097912 69.000172)
+ (xy 143.097911 69.000174)
+ (xy 143.09382 69.020743)
+ (xy 143.088 69.05)
+ (xy 135.357 69.05)
+ (xy 135.357 67.15)
+ (xy 143.088 67.15)
+ (xy 143.088 67.95)
+ (xy 143.091057 67.965366)
+ (xy 143.097104 67.995766)
+ (xy 143.100332 68.011995)
+ (xy 143.107224 68.022309)
+ (xy 143.107225 68.022312)
+ (xy 143.128556 68.054235)
+ (xy 143.135449 68.064551)
+ (xy 143.145765 68.071444)
+ (xy 143.177688 68.092775)
+ (xy 143.177691 68.092776)
+ (xy 143.188005 68.099668)
+ (xy 143.200172 68.102088)
+ (xy 143.200174 68.102089)
+ (xy 143.220777 68.106187)
+ (xy 143.25 68.112)
+ (xy 144.15 68.112)
+ (xy 144.179223 68.106187)
+ (xy 144.199826 68.102089)
+ (xy 144.199828 68.102088)
+ (xy 144.210325 68.1)
+ (xy 145.088 68.1)
+ (xy 145.088 68.9)
+ (xy 145.091017 68.915167)
+ (xy 145.097567 68.948093)
+ (xy 145.100332 68.961995)
+ (xy 145.107224 68.972309)
+ (xy 145.107225 68.972312)
+ (xy 145.128117 69.003578)
+ (xy 145.135449 69.014551)
+ (xy 145.145765 69.021444)
+ (xy 145.177688 69.042775)
+ (xy 145.177691 69.042776)
+ (xy 145.188005 69.049668)
+ (xy 145.200172 69.052088)
+ (xy 145.200174 69.052089)
+ (xy 145.220777 69.056187)
+ (xy 145.25 69.062)
+ (xy 146.15 69.062)
+ (xy 146.179223 69.056187)
+ (xy 146.199826 69.052089)
+ (xy 146.199828 69.052088)
+ (xy 146.211995 69.049668)
+ (xy 146.222309 69.042776)
+ (xy 146.222312 69.042775)
+ (xy 146.254235 69.021444)
+ (xy 146.264551 69.014551)
+ (xy 146.271883 69.003578)
+ (xy 146.292775 68.972312)
+ (xy 146.292776 68.972309)
+ (xy 146.299668 68.961995)
+ (xy 146.302434 68.948093)
+ (xy 146.308983 68.915167)
+ (xy 146.312 68.9)
+ (xy 146.312 68.873464)
+ (xy 146.332002 68.805343)
+ (xy 146.382253 68.771113)
+ (xy 151.013181 68.771113)
+ (xy 151.015233 68.78885)
+ (xy 151.017192 68.798744)
+ (xy 151.080516 69.022527)
+ (xy 151.084028 69.031971)
+ (xy 151.182317 69.242751)
+ (xy 151.187296 69.251516)
+ (xy 151.318014 69.443861)
+ (xy 151.324346 69.451736)
+ (xy 151.484123 69.620696)
+ (xy 151.491632 69.627457)
+ (xy 151.676375 69.768704)
+ (xy 151.684854 69.774168)
+ (xy 151.814675 69.843777)
+ (xy 151.865258 69.893595)
+ (xy 151.880878 69.962852)
+ (xy 151.856574 70.029559)
+ (xy 151.830523 70.055779)
+ (xy 151.72247 70.136466)
+ (xy 151.697063 70.163951)
+ (xy 151.620849 70.246399)
+ (xy 151.578123 70.292619)
+ (xy 151.575042 70.297502)
+ (xy 151.575039 70.297506)
+ (xy 151.483555 70.4425)
+ (xy 151.46465 70.472463)
+ (xy 151.385851 70.669974)
+ (xy 151.371795 70.74064)
+ (xy 151.346747 70.86657)
+ (xy 151.344366 70.878538)
+ (xy 151.34429 70.884313)
+ (xy 151.34429 70.884317)
+ (xy 151.343353 70.955883)
+ (xy 151.341582 71.091169)
+ (xy 151.342561 71.096866)
+ (xy 151.342561 71.096867)
+ (xy 151.365573 71.230791)
+ (xy 151.377594 71.300748)
+ (xy 151.451196 71.500254)
+ (xy 151.454148 71.505215)
+ (xy 151.454148 71.505216)
+ (xy 151.553061 71.671473)
+ (xy 151.559922 71.683006)
+ (xy 151.700132 71.842885)
+ (xy 151.704667 71.84646)
+ (xy 151.704668 71.846461)
+ (xy 151.832044 71.946876)
+ (xy 151.867129 71.974535)
+ (xy 151.872245 71.977226)
+ (xy 151.872247 71.977228)
+ (xy 152.050203 72.070855)
+ (xy 152.055322 72.073548)
+ (xy 152.100087 72.087448)
+ (xy 152.252892 72.134896)
+ (xy 152.252897 72.134897)
+ (xy 152.258407 72.136608)
+ (xy 152.287746 72.140081)
+ (xy 152.427013 72.156564)
+ (xy 152.427019 72.156564)
+ (xy 152.4307 72.157)
+ (xy 152.954414 72.157)
+ (xy 153.040497 72.14909)
+ (xy 153.106004 72.143071)
+ (xy 153.106007 72.14307)
+ (xy 153.111758 72.142542)
+ (xy 153.117315 72.140975)
+ (xy 153.117319 72.140974)
+ (xy 153.310865 72.086388)
+ (xy 153.310867 72.086387)
+ (xy 153.316424 72.08482)
+ (xy 153.3216 72.082268)
+ (xy 153.321604 72.082266)
+ (xy 153.501962 71.993323)
+ (xy 153.507143 71.990768)
+ (xy 153.67753 71.863534)
+ (xy 153.787836 71.744206)
+ (xy 153.817953 71.711626)
+ (xy 153.821877 71.707381)
+ (xy 153.824958 71.702498)
+ (xy 153.824961 71.702494)
+ (xy 153.932269 71.53242)
+ (xy 153.93535 71.527537)
+ (xy 154.014149 71.330026)
+ (xy 154.037974 71.210246)
+ (xy 154.054507 71.12713)
+ (xy 154.054507 71.127126)
+ (xy 154.055634 71.121462)
+ (xy 154.05572 71.114942)
+ (xy 154.057727 70.961633)
+ (xy 154.058418 70.908831)
+ (xy 154.052977 70.877163)
+ (xy 154.023385 70.704949)
+ (xy 154.023385 70.704948)
+ (xy 154.022406 70.699252)
+ (xy 153.948804 70.499746)
+ (xy 153.941816 70.488)
+ (xy 153.843034 70.321962)
+ (xy 153.843032 70.321959)
+ (xy 153.840078 70.316994)
+ (xy 153.699868 70.157115)
+ (xy 153.694983 70.153264)
+ (xy 153.571613 70.056006)
+ (xy 153.5305 69.998124)
+ (xy 153.527206 69.927204)
+ (xy 153.562778 69.865762)
+ (xy 153.592423 69.846306)
+ (xy 153.591819 69.845151)
+ (xy 153.605251 69.838128)
+ (xy 153.802037 69.714206)
+ (xy 153.810118 69.708161)
+ (xy 153.984565 69.554365)
+ (xy 153.991576 69.547104)
+ (xy 154.139188 69.3674)
+ (xy 154.144941 69.359122)
+ (xy 154.261931 69.158114)
+ (xy 154.266286 69.149023)
+ (xy 154.349629 68.931907)
+ (xy 154.352477 68.92223)
+ (xy 154.383917 68.771736)
+ (xy 154.382905 68.759066)
+ (xy 154.367472 68.754)
+ (xy 152.954002 68.753999)
+ (xy 152.953996 68.754)
+ (xy 151.029316 68.753999)
+ (xy 151.01523 68.758135)
+ (xy 151.013181 68.771113)
+ (xy 146.382253 68.771113)
+ (xy 146.413418 68.749885)
+ (xy 146.438283 68.744939)
+ (xy 146.450454 68.742518)
+ (xy 146.535616 68.685616)
+ (xy 146.542508 68.675301)
+ (xy 146.54251 68.675299)
+ (xy 146.542967 68.674615)
+ (xy 146.558637 68.655522)
+ (xy 146.985895 68.228264)
+ (xy 151.016083 68.228264)
+ (xy 151.017095 68.240934)
+ (xy 151.032528 68.246)
+ (xy 152.445998 68.246001)
+ (xy 152.446004 68.246)
+ (xy 154.370684 68.246001)
+ (xy 154.38477 68.241865)
+ (xy 154.386819 68.228887)
+ (xy 154.384767 68.21115)
+ (xy 154.382808 68.201256)
+ (xy 154.319484 67.977473)
+ (xy 154.315972 67.968029)
+ (xy 154.217683 67.757249)
+ (xy 154.212704 67.748484)
+ (xy 154.081986 67.556139)
+ (xy 154.075654 67.548264)
+ (xy 153.915877 67.379304)
+ (xy 153.908368 67.372543)
+ (xy 153.723625 67.231296)
+ (xy 153.715146 67.225832)
+ (xy 153.585325 67.156223)
+ (xy 153.534742 67.106405)
+ (xy 153.519122 67.037148)
+ (xy 153.543426 66.970441)
+ (xy 153.569477 66.944221)
+ (xy 153.614513 66.910591)
+ (xy 153.67753 66.863534)
+ (xy 153.821877 66.707381)
+ (xy 153.824958 66.702498)
+ (xy 153.824961 66.702494)
+ (xy 153.932269 66.53242)
+ (xy 153.93535 66.527537)
+ (xy 154.008936 66.343093)
+ (xy 154.012009 66.33539)
+ (xy 154.014149 66.330026)
+ (xy 154.043196 66.183993)
+ (xy 154.054507 66.12713)
+ (xy 154.054507 66.127126)
+ (xy 154.055634 66.121462)
+ (xy 154.055819 66.107393)
+ (xy 154.057787 65.95701)
+ (xy 154.058418 65.908831)
+ (xy 154.055107 65.889559)
+ (xy 154.023385 65.704949)
+ (xy 154.023385 65.704948)
+ (xy 154.022406 65.699252)
+ (xy 153.948804 65.499746)
+ (xy 153.943008 65.490004)
+ (xy 153.843034 65.321962)
+ (xy 153.843032 65.321959)
+ (xy 153.840078 65.316994)
+ (xy 153.699868 65.157115)
+ (xy 153.69291 65.15163)
+ (xy 153.53741 65.029043)
+ (xy 153.537408 65.029042)
+ (xy 153.532871 65.025465)
+ (xy 153.527755 65.022774)
+ (xy 153.527753 65.022772)
+ (xy 153.349797 64.929145)
+ (xy 153.349795 64.929144)
+ (xy 153.344678 64.926452)
+ (xy 153.263566 64.901266)
+ (xy 153.147108 64.865104)
+ (xy 153.147103 64.865103)
+ (xy 153.141593 64.863392)
+ (xy 153.112254 64.859919)
+ (xy 152.972987 64.843436)
+ (xy 152.972981 64.843436)
+ (xy 152.9693 64.843)
+ (xy 152.445586 64.843)
+ (xy 152.359503 64.85091)
+ (xy 152.293996 64.856929)
+ (xy 152.293993 64.85693)
+ (xy 152.288242 64.857458)
+ (xy 152.282685 64.859025)
+ (xy 152.282681 64.859026)
+ (xy 152.089135 64.913612)
+ (xy 152.089133 64.913613)
+ (xy 152.083576 64.91518)
+ (xy 152.0784 64.917732)
+ (xy 152.078396 64.917734)
+ (xy 151.953024 64.979561)
+ (xy 151.892857 65.009232)
+ (xy 151.888231 65.012686)
+ (xy 151.88823 65.012687)
+ (xy 151.859174 65.034384)
+ (xy 151.72247 65.136466)
+ (xy 151.578123 65.292619)
+ (xy 151.575042 65.297502)
+ (xy 151.575039 65.297506)
+ (xy 151.494013 65.425925)
+ (xy 151.46465 65.472463)
+ (xy 151.385851 65.669974)
+ (xy 151.36964 65.751476)
+ (xy 151.346747 65.86657)
+ (xy 151.344366 65.878538)
+ (xy 151.34429 65.884313)
+ (xy 151.34429 65.884317)
+ (xy 151.343267 65.9625)
+ (xy 151.341582 66.091169)
+ (xy 151.342561 66.096866)
+ (xy 151.342561 66.096867)
+ (xy 151.367081 66.239566)
+ (xy 151.377594 66.300748)
+ (xy 151.451196 66.500254)
+ (xy 151.454148 66.505215)
+ (xy 151.454148 66.505216)
+ (xy 151.556524 66.677294)
+ (xy 151.559922 66.683006)
+ (xy 151.700132 66.842885)
+ (xy 151.704667 66.84646)
+ (xy 151.704668 66.846461)
+ (xy 151.828387 66.943994)
+ (xy 151.8695 67.001876)
+ (xy 151.872794 67.072796)
+ (xy 151.837222 67.134238)
+ (xy 151.807577 67.153694)
+ (xy 151.808181 67.154849)
+ (xy 151.794749 67.161872)
+ (xy 151.597963 67.285794)
+ (xy 151.589882 67.291839)
+ (xy 151.415435 67.445635)
+ (xy 151.408424 67.452896)
+ (xy 151.260812 67.6326)
+ (xy 151.255059 67.640878)
+ (xy 151.138069 67.841886)
+ (xy 151.133714 67.850977)
+ (xy 151.050371 68.068093)
+ (xy 151.047523 68.07777)
+ (xy 151.016083 68.228264)
+ (xy 146.985895 68.228264)
+ (xy 149.699755 65.514405)
+ (xy 149.78885 65.4775)
+ (xy 150.842452 65.4775)
+ (xy 150.867034 65.479921)
+ (xy 150.867828 65.480079)
+ (xy 150.86783 65.480079)
+ (xy 150.88 65.4825)
+ (xy 150.89217 65.480079)
+ (xy 150.892799 65.479954)
+ (xy 150.905135 65.4775)
+ (xy 150.905136 65.4775)
+ (xy 150.980454 65.462518)
+ (xy 150.991615 65.455061)
+ (xy 151.028113 65.430674)
+ (xy 151.065616 65.405616)
+ (xy 151.072508 65.395301)
+ (xy 151.072511 65.395298)
+ (xy 151.072968 65.394614)
+ (xy 151.088635 65.375526)
+ (xy 151.929756 64.534405)
+ (xy 152.018851 64.4975)
+ (xy 157.18115 64.4975)
+ (xy 157.270246 64.534405)
+ (xy 157.461136 64.725296)
+ (xy 157.651368 64.915528)
+ (xy 157.667037 64.934621)
+ (xy 157.66749 64.935299)
+ (xy 157.667492 64.935301)
+ (xy 157.674384 64.945616)
+ (xy 157.695952 64.960027)
+ (xy 157.74458 64.992518)
+ (xy 157.759546 65.002518)
+ (xy 157.771717 65.004939)
+ (xy 157.834863 65.0175)
+ (xy 157.847828 65.020079)
+ (xy 157.847829 65.020079)
+ (xy 157.859999 65.0225)
+ (xy 157.872169 65.020079)
+ (xy 157.872171 65.020079)
+ (xy 157.872965 65.019921)
+ (xy 157.897547 65.0175)
+ (xy 159.801151 65.0175)
+ (xy 159.890246 65.054405)
+ (xy 162.156037 67.320197)
+ (xy 162.192938 67.410281)
+ (xy 162.192114 67.515167)
+ (xy 162.194813 67.524191)
+ (xy 162.194813 67.524193)
+ (xy 162.217887 67.601348)
+ (xy 162.231517 67.646923)
+ (xy 162.256924 67.684946)
+ (xy 162.301383 67.751483)
+ (xy 162.307921 67.761268)
+ (xy 162.315222 67.767212)
+ (xy 162.315223 67.767213)
+ (xy 162.404839 67.840172)
+ (xy 162.414568 67.848093)
+ (xy 162.423299 67.851629)
+ (xy 162.4233 67.85163)
+ (xy 162.463087 67.867745)
+ (xy 162.542032 67.899721)
+ (xy 162.551417 67.900534)
+ (xy 162.551418 67.900534)
+ (xy 162.669661 67.910775)
+ (xy 162.669664 67.910775)
+ (xy 162.679041 67.911587)
+ (xy 162.688242 67.909606)
+ (xy 162.688244 67.909606)
+ (xy 162.804278 67.884625)
+ (xy 162.804281 67.884624)
+ (xy 162.813482 67.882643)
+ (xy 162.821694 67.878044)
+ (xy 162.821697 67.878043)
+ (xy 162.925254 67.820048)
+ (xy 162.93347 67.815447)
+ (xy 163.028394 67.71594)
+ (xy 163.070037 67.6326)
+ (xy 163.085653 67.601348)
+ (xy 163.085653 67.601347)
+ (xy 163.089864 67.59292)
+ (xy 163.093014 67.573998)
+ (xy 163.11163 67.462149)
+ (xy 163.112443 67.457265)
+ (xy 163.1125 67.45)
+ (xy 163.0991 67.360869)
+ (xy 163.093454 67.323316)
+ (xy 163.093453 67.323314)
+ (xy 163.092054 67.314006)
+ (xy 163.041362 67.208439)
+ (xy 163.0366 67.198522)
+ (xy 163.0366 67.198521)
+ (xy 163.032525 67.190036)
+ (xy 162.939175 67.089051)
+ (xy 162.931031 67.08432)
+ (xy 162.931029 67.084319)
+ (xy 162.828399 67.024706)
+ (xy 162.828395 67.024704)
+ (xy 162.820258 67.019978)
+ (xy 162.765396 67.007262)
+ (xy 162.695465 66.991053)
+ (xy 162.695463 66.991053)
+ (xy 162.686287 66.988926)
+ (xy 162.676891 66.989591)
+ (xy 162.676888 66.989591)
+ (xy 162.619236 66.993673)
+ (xy 162.521243 66.957083)
+ (xy 160.168637 64.604478)
+ (xy 160.152967 64.585385)
+ (xy 160.15251 64.584701)
+ (xy 160.152508 64.584699)
+ (xy 160.145616 64.574384)
+ (xy 160.071957 64.525168)
+ (xy 160.070772 64.524376)
+ (xy 160.060454 64.517482)
+ (xy 159.985136 64.5025)
+ (xy 159.985135 64.5025)
+ (xy 159.96 64.4975)
+ (xy 159.94783 64.499921)
+ (xy 159.947828 64.499921)
+ (xy 159.947034 64.500079)
+ (xy 159.922452 64.5025)
+ (xy 158.018851 64.5025)
+ (xy 157.929756 64.465596)
+ (xy 157.548632 64.084473)
+ (xy 157.532961 64.065377)
+ (xy 157.532511 64.064704)
+ (xy 157.525616 64.054384)
+ (xy 157.50367 64.03972)
+ (xy 157.450772 64.004376)
+ (xy 157.440454 63.997482)
+ (xy 157.365136 63.9825)
+ (xy 157.365135 63.9825)
+ (xy 157.34 63.9775)
+ (xy 157.32783 63.979921)
+ (xy 157.327828 63.979921)
+ (xy 157.327034 63.980079)
+ (xy 157.302452 63.9825)
+ (xy 151.897547 63.9825)
+ (xy 151.872965 63.980079)
+ (xy 151.872171 63.979921)
+ (xy 151.872169 63.979921)
+ (xy 151.859999 63.9775)
+ (xy 151.834864 63.9825)
+ (xy 151.771716 63.995061)
+ (xy 151.771715 63.995061)
+ (xy 151.759546 63.997482)
+ (xy 151.674384 64.054384)
+ (xy 151.667492 64.064699)
+ (xy 151.66749 64.064701)
+ (xy 151.667037 64.065379)
+ (xy 151.651368 64.084472)
+ (xy 151.216764 64.519077)
+ (xy 150.810246 64.925595)
+ (xy 150.72115 64.9625)
+ (xy 149.667547 64.9625)
+ (xy 149.642965 64.960079)
+ (xy 149.642171 64.959921)
+ (xy 149.642169 64.959921)
+ (xy 149.629999 64.9575)
+ (xy 149.616009 64.960283)
+ (xy 149.604864 64.9625)
+ (xy 149.541716 64.975061)
+ (xy 149.541715 64.975061)
+ (xy 149.529546 64.977482)
+ (xy 149.519228 64.984376)
+ (xy 149.502654 64.99545)
+ (xy 149.444384 65.034384)
+ (xy 149.437492 65.044699)
+ (xy 149.43749 65.044701)
+ (xy 149.437035 65.045382)
+ (xy 149.421365 65.064475)
+ (xy 147.875864 66.609977)
+ (xy 146.467579 68.018262)
+ (xy 146.405267 68.052288)
+ (xy 146.334452 68.047223)
+ (xy 146.280348 68.004415)
+ (xy 146.280221 68.004542)
+ (xy 146.279133 68.003454)
+ (xy 146.273721 67.999172)
+ (xy 146.271445 67.995766)
+ (xy 146.271444 67.995765)
+ (xy 146.264551 67.985449)
+ (xy 146.244055 67.971754)
+ (xy 146.222312 67.957225)
+ (xy 146.222309 67.957224)
+ (xy 146.211995 67.950332)
+ (xy 146.199828 67.947912)
+ (xy 146.199826 67.947911)
+ (xy 146.169568 67.941892)
+ (xy 146.15 67.938)
+ (xy 145.25 67.938)
+ (xy 145.230432 67.941892)
+ (xy 145.200174 67.947911)
+ (xy 145.200172 67.947912)
+ (xy 145.188005 67.950332)
+ (xy 145.177691 67.957224)
+ (xy 145.177688 67.957225)
+ (xy 145.155945 67.971754)
+ (xy 145.135449 67.985449)
+ (xy 145.128556 67.995765)
+ (xy 145.107225 68.027688)
+ (xy 145.107224 68.027691)
+ (xy 145.100332 68.038005)
+ (xy 145.097912 68.050172)
+ (xy 145.097911 68.050174)
+ (xy 145.092433 68.077713)
+ (xy 145.088 68.1)
+ (xy 144.210325 68.1)
+ (xy 144.211995 68.099668)
+ (xy 144.222309 68.092776)
+ (xy 144.222312 68.092775)
+ (xy 144.254235 68.071444)
+ (xy 144.264551 68.064551)
+ (xy 144.271444 68.054235)
+ (xy 144.292775 68.022312)
+ (xy 144.292776 68.022309)
+ (xy 144.299668 68.011995)
+ (xy 144.302897 67.995766)
+ (xy 144.308943 67.965366)
+ (xy 144.312 67.95)
+ (xy 144.312 67.15)
+ (xy 144.303328 67.106405)
+ (xy 144.302089 67.100174)
+ (xy 144.302088 67.100172)
+ (xy 144.299668 67.088005)
+ (xy 144.292776 67.077691)
+ (xy 144.292775 67.077688)
+ (xy 144.271444 67.045765)
+ (xy 144.264551 67.035449)
+ (xy 144.213496 67.001335)
+ (xy 144.16797 66.946858)
+ (xy 144.1575 66.896571)
+ (xy 144.1575 66.241692)
+ (xy 144.194405 66.152596)
+ (xy 144.475178 65.871824)
+ (xy 145.420014 64.926989)
+ (xy 145.431104 64.917135)
+ (xy 145.44975 64.902436)
+ (xy 145.449753 64.902432)
+ (xy 145.457148 64.896603)
+ (xy 145.462501 64.888858)
+ (xy 145.462503 64.888856)
+ (xy 145.491043 64.847562)
+ (xy 145.493343 64.844344)
+ (xy 145.496529 64.84003)
+ (xy 145.528741 64.796418)
+ (xy 145.531169 64.789504)
+ (xy 145.535338 64.783472)
+ (xy 145.54745 64.745175)
+ (xy 145.553307 64.726654)
+ (xy 145.55456 64.722898)
+ (xy 145.571188 64.675549)
+ (xy 145.571188 64.675547)
+ (xy 145.574308 64.666664)
+ (xy 145.574592 64.65945)
+ (xy 145.574641 64.659199)
+ (xy 145.576806 64.652351)
+ (xy 145.5775 64.643533)
+ (xy 145.5775 64.587883)
+ (xy 145.577597 64.582937)
+ (xy 145.578656 64.555982)
+ (xy 145.579706 64.529249)
+ (xy 145.577906 64.522458)
+ (xy 145.5775 64.515091)
+ (xy 145.5775 62.389242)
+ (xy 146.40049 62.389242)
+ (xy 146.410009 62.451449)
+ (xy 146.432475 62.598258)
+ (xy 146.434429 62.611029)
+ (xy 146.456542 62.675616)
+ (xy 146.504786 62.816525)
+ (xy 146.507106 62.823302)
+ (xy 146.616224 63.019349)
+ (xy 146.619776 63.023689)
+ (xy 146.619779 63.023693)
+ (xy 146.703113 63.125507)
+ (xy 146.758335 63.192975)
+ (xy 146.792169 63.221872)
+ (xy 146.924682 63.335049)
+ (xy 146.924686 63.335052)
+ (xy 146.928947 63.338691)
+ (xy 147.122667 63.451892)
+ (xy 147.127933 63.453819)
+ (xy 147.127937 63.453821)
+ (xy 147.328106 63.527072)
+ (xy 147.328109 63.527073)
+ (xy 147.33337 63.528998)
+ (xy 147.338886 63.529961)
+ (xy 147.338891 63.529962)
+ (xy 147.509541 63.559745)
+ (xy 147.554399 63.567574)
+ (xy 147.560005 63.567545)
+ (xy 147.560009 63.567545)
+ (xy 147.663025 63.567005)
+ (xy 147.778765 63.566399)
+ (xy 147.781619 63.56587)
+ (xy 149.188099 63.56587)
+ (xy 149.188615 63.572014)
+ (xy 149.203192 63.745603)
+ (xy 149.204626 63.762685)
+ (xy 149.213909 63.795059)
+ (xy 149.25463 63.93707)
+ (xy 149.259066 63.952542)
+ (xy 149.261885 63.958027)
+ (xy 149.346529 64.122727)
+ (xy 149.346532 64.122732)
+ (xy 149.349347 64.128209)
+ (xy 149.472028 64.282994)
+ (xy 149.476722 64.286988)
+ (xy 149.476722 64.286989)
+ (xy 149.603018 64.394475)
+ (xy 149.622438 64.411003)
+ (xy 149.627816 64.414009)
+ (xy 149.627818 64.41401)
+ (xy 149.662507 64.433397)
+ (xy 149.794847 64.507359)
+ (xy 149.982688 64.568392)
+ (xy 150.178806 64.591778)
+ (xy 150.184941 64.591306)
+ (xy 150.184943 64.591306)
+ (xy 150.369589 64.577098)
+ (xy 150.369592 64.577097)
+ (xy 150.375732 64.576625)
+ (xy 150.383759 64.574384)
+ (xy 150.412039 64.566488)
+ (xy 150.565964 64.523512)
+ (xy 150.742257 64.43446)
+ (xy 150.757169 64.42281)
+ (xy 150.893039 64.316656)
+ (xy 150.897895 64.312862)
+ (xy 150.921179 64.285888)
+ (xy 150.995709 64.199544)
+ (xy 151.026951 64.16335)
+ (xy 151.03007 64.157861)
+ (xy 151.121465 63.996974)
+ (xy 151.121466 63.996973)
+ (xy 151.124508 63.991617)
+ (xy 151.126941 63.984305)
+ (xy 151.160474 63.8835)
+ (xy 151.186851 63.804207)
+ (xy 151.194958 63.740036)
+ (xy 151.211163 63.611758)
+ (xy 151.211163 63.611753)
+ (xy 151.211605 63.608257)
+ (xy 151.212 63.58)
+ (xy 151.192727 63.383435)
+ (xy 151.135641 63.194357)
+ (xy 151.127531 63.179105)
+ (xy 151.04581 63.02541)
+ (xy 151.045808 63.025407)
+ (xy 151.042916 63.019968)
+ (xy 150.918086 62.86691)
+ (xy 150.765903 62.741014)
+ (xy 150.592166 62.647074)
+ (xy 150.403491 62.58867)
+ (xy 150.397366 62.588026)
+ (xy 150.397365 62.588026)
+ (xy 150.213193 62.568669)
+ (xy 150.213192 62.568669)
+ (xy 150.207065 62.568025)
+ (xy 150.086743 62.578975)
+ (xy 150.016511 62.585366)
+ (xy 150.01651 62.585366)
+ (xy 150.01037 62.585925)
+ (xy 150.000425 62.588852)
+ (xy 149.826809 62.63995)
+ (xy 149.826806 62.639951)
+ (xy 149.820898 62.64169)
+ (xy 149.645866 62.733195)
+ (xy 149.641068 62.737053)
+ (xy 149.641066 62.737054)
+ (xy 149.570724 62.793611)
+ (xy 149.491941 62.856954)
+ (xy 149.487982 62.861672)
+ (xy 149.487981 62.861673)
+ (xy 149.369014 63.003451)
+ (xy 149.364985 63.008253)
+ (xy 149.362022 63.013642)
+ (xy 149.362019 63.013647)
+ (xy 149.303369 63.120332)
+ (xy 149.269835 63.181331)
+ (xy 149.267972 63.187204)
+ (xy 149.215558 63.352436)
+ (xy 149.210115 63.369593)
+ (xy 149.209429 63.37571)
+ (xy 149.209428 63.375714)
+ (xy 149.192626 63.525511)
+ (xy 149.188099 63.56587)
+ (xy 147.781619 63.56587)
+ (xy 147.795249 63.563344)
+ (xy 147.907621 63.542517)
+ (xy 147.999377 63.525511)
+ (xy 148.004626 63.523528)
+ (xy 148.004628 63.523527)
+ (xy 148.103609 63.486125)
+ (xy 148.209262 63.446202)
+ (xy 148.231918 63.432643)
+ (xy 148.309513 63.386203)
+ (xy 148.401785 63.330979)
+ (xy 148.406005 63.327297)
+ (xy 148.40601 63.327294)
+ (xy 148.566631 63.187175)
+ (xy 148.570861 63.183485)
+ (xy 148.621456 63.120332)
+ (xy 148.707641 63.012757)
+ (xy 148.707644 63.012752)
+ (xy 148.711147 63.00838)
+ (xy 148.786368 62.869839)
+ (xy 148.815531 62.816128)
+ (xy 148.815532 62.816127)
+ (xy 148.818206 62.811201)
+ (xy 148.888657 62.598179)
+ (xy 148.889757 62.590454)
+ (xy 148.91069 62.44336)
+ (xy 148.92027 62.376048)
+ (xy 148.920947 62.350201)
+ (xy 148.942726 62.282627)
+ (xy 148.997581 62.237555)
+ (xy 149.046904 62.2275)
+ (xy 155.246782 62.2275)
+ (xy 155.261592 62.228373)
+ (xy 155.294527 62.232271)
+ (xy 155.303791 62.230579)
+ (xy 155.303794 62.230579)
+ (xy 155.35313 62.221569)
+ (xy 155.357032 62.220919)
+ (xy 155.406684 62.213454)
+ (xy 155.406686 62.213453)
+ (xy 155.415994 62.212054)
+ (xy 155.422603 62.20888)
+ (xy 155.429811 62.207564)
+ (xy 155.482707 62.180087)
+ (xy 155.486209 62.178338)
+ (xy 155.503008 62.170271)
+ (xy 157.656996 62.170271)
+ (xy 157.663275 62.242049)
+ (xy 157.664549 62.25028)
+ (xy 157.72816 62.53486)
+ (xy 157.730516 62.542864)
+ (xy 157.831205 62.816525)
+ (xy 157.834595 62.824141)
+ (xy 157.970589 63.082076)
+ (xy 157.974967 63.089192)
+ (xy 158.14388 63.326875)
+ (xy 158.149151 63.333338)
+ (xy 158.34802 63.5466)
+ (xy 158.354104 63.552312)
+ (xy 158.579425 63.737393)
+ (xy 158.586206 63.742248)
+ (xy 158.834038 63.89591)
+ (xy 158.841398 63.899823)
+ (xy 159.107364 64.019353)
+ (xy 159.115187 64.022263)
+ (xy 159.394637 64.105571)
+ (xy 159.402758 64.107416)
+ (xy 159.691433 64.153137)
+ (xy 159.698408 64.153845)
+ (xy 159.788477 64.157936)
+ (xy 159.79131 64.158)
+ (xy 160.127885 64.158)
+ (xy 160.143124 64.153525)
+ (xy 160.144329 64.152135)
+ (xy 160.146 64.144452)
+ (xy 160.146 64.139885)
+ (xy 160.653999 64.139885)
+ (xy 160.658474 64.155124)
+ (xy 160.659864 64.156329)
+ (xy 160.667547 64.158)
+ (xy 160.973181 64.158)
+ (xy 160.977373 64.157861)
+ (xy 161.195125 64.143398)
+ (xy 161.203394 64.142295)
+ (xy 161.489231 64.084659)
+ (xy 161.497292 64.082469)
+ (xy 161.772998 63.987536)
+ (xy 161.780685 63.984305)
+ (xy 162.04141 63.853743)
+ (xy 162.048619 63.849513)
+ (xy 162.289783 63.685619)
+ (xy 162.296358 63.680482)
+ (xy 162.513735 63.486125)
+ (xy 162.519575 63.480161)
+ (xy 162.709336 63.258762)
+ (xy 162.714329 63.252088)
+ (xy 162.873144 63.007536)
+ (xy 162.877218 63.000246)
+ (xy 163.002288 62.736848)
+ (xy 163.005364 62.72908)
+ (xy 163.094501 62.451449)
+ (xy 163.096518 62.44336)
+ (xy 163.145375 62.17183)
+ (xy 163.143943 62.158571)
+ (xy 163.129333 62.154)
+ (xy 160.672115 62.153999)
+ (xy 160.656876 62.158474)
+ (xy 160.655671 62.159864)
+ (xy 160.654 62.167547)
+ (xy 160.653999 64.139885)
+ (xy 160.146 64.139885)
+ (xy 160.146001 62.172115)
+ (xy 160.141526 62.156876)
+ (xy 160.140136 62.155671)
+ (xy 160.132453 62.154)
+ (xy 157.673687 62.153999)
+ (xy 157.659059 62.158294)
+ (xy 157.656996 62.170271)
+ (xy 155.503008 62.170271)
+ (xy 155.520253 62.16199)
+ (xy 155.539964 62.152525)
+ (xy 155.545274 62.147617)
+ (xy 155.545471 62.147484)
+ (xy 155.55185 62.14417)
+ (xy 155.558576 62.138425)
+ (xy 155.597888 62.099113)
+ (xy 155.601454 62.095684)
+ (xy 155.634032 62.065569)
+ (xy 155.640949 62.059175)
+ (xy 155.644481 62.053094)
+ (xy 155.649415 62.047586)
+ (xy 156.068831 61.62817)
+ (xy 157.654625 61.62817)
+ (xy 157.656057 61.641429)
+ (xy 157.670667 61.646)
+ (xy 160.127885 61.646001)
+ (xy 160.143124 61.641526)
+ (xy 160.144329 61.640136)
+ (xy 160.146 61.632453)
+ (xy 160.146 61.627885)
+ (xy 160.653999 61.627885)
+ (xy 160.658474 61.643124)
+ (xy 160.659864 61.644329)
+ (xy 160.667547 61.646)
+ (xy 163.126313 61.646001)
+ (xy 163.140941 61.641706)
+ (xy 163.143004 61.629729)
+ (xy 163.136725 61.557951)
+ (xy 163.135451 61.54972)
+ (xy 163.07184 61.26514)
+ (xy 163.069484 61.257136)
+ (xy 162.968795 60.983475)
+ (xy 162.965405 60.975859)
+ (xy 162.829411 60.717924)
+ (xy 162.825033 60.710808)
+ (xy 162.65612 60.473125)
+ (xy 162.650849 60.466662)
+ (xy 162.45198 60.2534)
+ (xy 162.445896 60.247688)
+ (xy 162.220575 60.062607)
+ (xy 162.213794 60.057752)
+ (xy 161.965962 59.90409)
+ (xy 161.958602 59.900177)
+ (xy 161.692636 59.780647)
+ (xy 161.684813 59.777737)
+ (xy 161.405363 59.694429)
+ (xy 161.397242 59.692584)
+ (xy 161.108567 59.646863)
+ (xy 161.101592 59.646155)
+ (xy 161.011523 59.642064)
+ (xy 161.00869 59.642)
+ (xy 160.672115 59.642)
+ (xy 160.656876 59.646475)
+ (xy 160.655671 59.647865)
+ (xy 160.654 59.655548)
+ (xy 160.653999 61.627885)
+ (xy 160.146 61.627885)
+ (xy 160.146001 59.660115)
+ (xy 160.141526 59.644876)
+ (xy 160.140136 59.643671)
+ (xy 160.132453 59.642)
+ (xy 159.826819 59.642)
+ (xy 159.822627 59.642139)
+ (xy 159.604875 59.656602)
+ (xy 159.596606 59.657705)
+ (xy 159.310769 59.715341)
+ (xy 159.302708 59.717531)
+ (xy 159.027002 59.812464)
+ (xy 159.019315 59.815695)
+ (xy 158.75859 59.946257)
+ (xy 158.751381 59.950487)
+ (xy 158.510217 60.114381)
+ (xy 158.503642 60.119518)
+ (xy 158.286265 60.313875)
+ (xy 158.280425 60.319839)
+ (xy 158.090664 60.541238)
+ (xy 158.085671 60.547912)
+ (xy 157.926856 60.792464)
+ (xy 157.922782 60.799754)
+ (xy 157.797712 61.063152)
+ (xy 157.794636 61.07092)
+ (xy 157.705499 61.348551)
+ (xy 157.703482 61.35664)
+ (xy 157.654625 61.62817)
+ (xy 156.068831 61.62817)
+ (xy 164.702597 52.994405)
+ (xy 164.791692 52.9575)
+ (xy 166.498413 52.9575)
+ (xy 166.566534 52.977502)
+ (xy 166.613027 53.031158)
+ (xy 166.620885 53.097468)
+ (xy 166.623194 53.09765)
+ (xy 166.6225 53.106468)
+ (xy 166.6225 53.162101)
+ (xy 166.622403 53.167048)
+ (xy 166.620293 53.220751)
+ (xy 166.622094 53.227545)
+ (xy 166.6225 53.234917)
+ (xy 166.6225 55.825539)
+ (xy 166.602498 55.89366)
+ (xy 166.548842 55.940153)
+ (xy 166.478568 55.950257)
+ (xy 166.413988 55.920763)
+ (xy 166.370999 55.836739)
+ (xy 166.370739 55.833821)
+ (xy 166.362055 55.736519)
+ (xy 166.318312 55.57662)
+ (xy 166.304332 55.525518)
+ (xy 166.304331 55.525514)
+ (xy 166.30285 55.520102)
+ (xy 166.206256 55.31759)
+ (xy 166.202984 55.313036)
+ (xy 166.078604 55.139941)
+ (xy 166.078599 55.139936)
+ (xy 166.075328 55.135383)
+ (xy 165.914202 54.979242)
+ (xy 165.727973 54.854101)
+ (xy 165.585365 54.7915)
+ (xy 165.527669 54.766173)
+ (xy 165.527667 54.766172)
+ (xy 165.522527 54.763916)
+ (xy 165.362838 54.725578)
+ (xy 165.309814 54.712848)
+ (xy 165.309813 54.712848)
+ (xy 165.304357 54.711538)
+ (xy 165.219591 54.706651)
+ (xy 165.085967 54.698946)
+ (xy 165.085964 54.698946)
+ (xy 165.08036 54.698623)
+ (xy 164.857615 54.725578)
+ (xy 164.643165 54.791551)
+ (xy 164.638185 54.794121)
+ (xy 164.638181 54.794123)
+ (xy 164.44877 54.891886)
+ (xy 164.443787 54.894458)
+ (xy 164.265783 55.031045)
+ (xy 164.26201 55.035191)
+ (xy 164.262005 55.035196)
+ (xy 164.166695 55.139941)
+ (xy 164.114779 55.196996)
+ (xy 164.058578 55.286589)
+ (xy 164.000609 55.379)
+ (xy 163.99555 55.387064)
+ (xy 163.911863 55.595242)
+ (xy 163.866364 55.814949)
+ (xy 163.86049 56.039242)
+ (xy 163.869474 56.097949)
+ (xy 163.892463 56.248179)
+ (xy 163.894429 56.261029)
+ (xy 163.911467 56.310793)
+ (xy 163.965134 56.467541)
+ (xy 163.967106 56.473302)
+ (xy 164.076224 56.669349)
+ (xy 164.079776 56.673689)
+ (xy 164.079779 56.673693)
+ (xy 164.179524 56.795557)
+ (xy 164.218335 56.842975)
+ (xy 164.253861 56.873317)
+ (xy 164.384682 56.985049)
+ (xy 164.384686 56.985052)
+ (xy 164.388947 56.988691)
+ (xy 164.401455 56.996)
+ (xy 164.577825 57.099063)
+ (xy 164.577829 57.099065)
+ (xy 164.582667 57.101892)
+ (xy 164.587936 57.10382)
+ (xy 164.590144 57.104859)
+ (xy 164.643267 57.15196)
+ (xy 164.6625 57.218869)
+ (xy 164.6625 57.548876)
+ (xy 164.662501 57.548904)
+ (xy 164.6625 67.086782)
+ (xy 164.661627 67.101591)
+ (xy 164.657729 67.134527)
+ (xy 164.659421 67.143791)
+ (xy 164.659421 67.143792)
+ (xy 164.668432 67.193133)
+ (xy 164.669082 67.197037)
+ (xy 164.676546 67.246682)
+ (xy 164.677946 67.255993)
+ (xy 164.681119 67.262601)
+ (xy 164.682436 67.269811)
+ (xy 164.686777 67.278168)
+ (xy 164.686779 67.278174)
+ (xy 164.709912 67.322707)
+ (xy 164.711681 67.326247)
+ (xy 164.733397 67.371472)
+ (xy 164.733399 67.371475)
+ (xy 164.737475 67.379963)
+ (xy 164.74238 67.385269)
+ (xy 164.742518 67.385474)
+ (xy 164.74583 67.39185)
+ (xy 164.751575 67.398576)
+ (xy 164.79088 67.437881)
+ (xy 164.794309 67.441446)
+ (xy 164.830825 67.480949)
+ (xy 164.836903 67.48448)
+ (xy 164.842408 67.489411)
+ (xy 165.533906 68.180909)
+ (xy 165.567932 68.243221)
+ (xy 165.562867 68.314036)
+ (xy 165.52032 68.370872)
+ (xy 165.449749 68.395599)
+ (xy 165.442482 68.394739)
+ (xy 165.433218 68.396431)
+ (xy 165.433217 68.396431)
+ (xy 165.383876 68.405442)
+ (xy 165.379972 68.406092)
+ (xy 165.330327 68.413556)
+ (xy 165.330326 68.413556)
+ (xy 165.321016 68.414956)
+ (xy 165.314408 68.418129)
+ (xy 165.307198 68.419446)
+ (xy 165.298841 68.423787)
+ (xy 165.298835 68.423789)
+ (xy 165.254302 68.446922)
+ (xy 165.250775 68.448685)
+ (xy 165.241049 68.453355)
+ (xy 165.205537 68.470407)
+ (xy 165.205534 68.470409)
+ (xy 165.197046 68.474485)
+ (xy 165.19174 68.47939)
+ (xy 165.191535 68.479528)
+ (xy 165.185159 68.48284)
+ (xy 165.178433 68.488585)
+ (xy 165.139108 68.52791)
+ (xy 165.135542 68.531339)
+ (xy 165.107496 68.557265)
+ (xy 165.096061 68.567835)
+ (xy 165.09253 68.573915)
+ (xy 165.0876 68.579418)
+ (xy 164.819997 68.847021)
+ (xy 164.808908 68.856876)
+ (xy 164.782852 68.877417)
+ (xy 164.777498 68.885164)
+ (xy 164.777496 68.885166)
+ (xy 164.772064 68.893026)
+ (xy 164.750746 68.923872)
+ (xy 164.748955 68.926463)
+ (xy 164.746684 68.929642)
+ (xy 164.711259 68.977603)
+ (xy 164.708831 68.984517)
+ (xy 164.704662 68.990549)
+ (xy 164.70182 68.999536)
+ (xy 164.686693 69.047367)
+ (xy 164.68544 69.051123)
+ (xy 164.668887 69.098258)
+ (xy 164.665692 69.107357)
+ (xy 164.665408 69.114571)
+ (xy 164.665359 69.114822)
+ (xy 164.663194 69.12167)
+ (xy 164.6625 69.130488)
+ (xy 164.6625 69.186121)
+ (xy 164.662403 69.191068)
+ (xy 164.660293 69.244771)
+ (xy 164.662094 69.251565)
+ (xy 164.6625 69.258937)
+ (xy 164.662501 72.014825)
+ (xy 164.662501 74.704811)
+ (xy 164.642499 74.772932)
+ (xy 164.594291 74.816777)
+ (xy 164.515909 74.857233)
+ (xy 164.451919 74.890261)
+ (xy 164.443787 74.894458)
+ (xy 164.265783 75.031045)
+ (xy 164.26201 75.035191)
+ (xy 164.262005 75.035196)
+ (xy 164.166695 75.139941)
+ (xy 164.114779 75.196996)
+ (xy 164.042049 75.312938)
+ (xy 164.003031 75.375139)
+ (xy 163.99555 75.387064)
+ (xy 163.911863 75.595242)
+ (xy 163.866364 75.814949)
+ (xy 163.86049 76.039242)
+ (xy 163.861339 76.044788)
+ (xy 163.892463 76.248179)
+ (xy 163.894429 76.261029)
+ (xy 163.914197 76.318767)
+ (xy 163.965134 76.467541)
+ (xy 163.967106 76.473302)
+ (xy 164.076224 76.669349)
+ (xy 164.079776 76.673689)
+ (xy 164.079779 76.673693)
+ (xy 164.169571 76.783397)
+ (xy 164.218335 76.842975)
+ (xy 164.222603 76.84662)
+ (xy 164.384682 76.985049)
+ (xy 164.384686 76.985052)
+ (xy 164.388947 76.988691)
+ (xy 164.582667 77.101892)
+ (xy 164.587933 77.103819)
+ (xy 164.587937 77.103821)
+ (xy 164.788106 77.177072)
+ (xy 164.788109 77.177073)
+ (xy 164.79337 77.178998)
+ (xy 164.798886 77.179961)
+ (xy 164.798891 77.179962)
+ (xy 164.956632 77.207492)
+ (xy 165.014399 77.217574)
+ (xy 165.020005 77.217545)
+ (xy 165.020009 77.217545)
+ (xy 165.123025 77.217005)
+ (xy 165.238765 77.216399)
+ (xy 165.268727 77.210846)
+ (xy 165.349071 77.195955)
+ (xy 165.459377 77.175511)
+ (xy 165.464626 77.173528)
+ (xy 165.464628 77.173527)
+ (xy 165.609511 77.11878)
+ (xy 165.669262 77.096202)
+ (xy 165.675632 77.09239)
+ (xy 165.768064 77.03707)
+ (xy 165.861785 76.980979)
+ (xy 165.866005 76.977297)
+ (xy 165.86601 76.977294)
+ (xy 166.026631 76.837175)
+ (xy 166.030861 76.833485)
+ (xy 166.095893 76.752312)
+ (xy 166.167641 76.662757)
+ (xy 166.167644 76.662752)
+ (xy 166.171147 76.65838)
+ (xy 166.259439 76.495765)
+ (xy 166.275531 76.466128)
+ (xy 166.275532 76.466127)
+ (xy 166.278206 76.461201)
+ (xy 166.348657 76.248179)
+ (xy 166.38027 76.026048)
+ (xy 166.382 75.96)
+ (xy 166.380926 75.94796)
+ (xy 166.374846 75.879839)
+ (xy 166.362055 75.736519)
+ (xy 166.312856 75.556678)
+ (xy 166.304332 75.525518)
+ (xy 166.304331 75.525514)
+ (xy 166.30285 75.520102)
+ (xy 166.206256 75.31759)
+ (xy 166.145992 75.233723)
+ (xy 166.078604 75.139941)
+ (xy 166.078599 75.139936)
+ (xy 166.075328 75.135383)
+ (xy 165.914202 74.979242)
+ (xy 165.753298 74.871119)
+ (xy 165.732634 74.857233)
+ (xy 165.732633 74.857233)
+ (xy 165.727973 74.854101)
+ (xy 165.652851 74.821125)
+ (xy 165.598519 74.775432)
+ (xy 165.5775 74.705754)
+ (xy 165.5775 69.44051)
+ (xy 165.597502 69.372389)
+ (xy 165.651158 69.325896)
+ (xy 165.7035 69.31451)
+ (xy 167.915317 69.31451)
+ (xy 168.004413 69.351415)
+ (xy 168.155724 69.502727)
+ (xy 168.303009 69.650012)
+ (xy 168.312863 69.661101)
+ (xy 168.333397 69.687148)
+ (xy 168.341142 69.692501)
+ (xy 168.341144 69.692503)
+ (xy 168.382438 69.721043)
+ (xy 168.385655 69.723343)
+ (xy 168.433582 69.758741)
+ (xy 168.440496 69.761169)
+ (xy 168.446528 69.765338)
+ (xy 168.465181 69.771237)
+ (xy 168.503346 69.783307)
+ (xy 168.507102 69.78456)
+ (xy 168.554451 69.801188)
+ (xy 168.554453 69.801188)
+ (xy 168.563336 69.804308)
+ (xy 168.57055 69.804592)
+ (xy 168.570801 69.804641)
+ (xy 168.577649 69.806806)
+ (xy 168.586467 69.8075)
+ (xy 168.642117 69.8075)
+ (xy 168.647064 69.807597)
+ (xy 168.700751 69.809706)
+ (xy 168.707542 69.807906)
+ (xy 168.714909 69.8075)
+ (xy 169.02998 69.8075)
+ (xy 169.098101 69.827502)
+ (xy 169.118097 69.84714)
+ (xy 169.119779 69.845458)
+ (xy 169.128556 69.854235)
+ (xy 169.135449 69.864551)
+ (xy 169.145765 69.871444)
+ (xy 169.177688 69.892775)
+ (xy 169.177691 69.892776)
+ (xy 169.188005 69.899668)
+ (xy 169.200172 69.902088)
+ (xy 169.200174 69.902089)
+ (xy 169.215654 69.905168)
+ (xy 169.25 69.912)
+ (xy 169.782251 69.912)
+ (xy 169.850372 69.932002)
+ (xy 169.896865 69.985658)
+ (xy 169.906969 70.055932)
+ (xy 169.871347 70.127095)
+ (xy 169.292848 70.705595)
+ (xy 169.203752 70.7425)
+ (xy 168.632728 70.7425)
+ (xy 168.564607 70.722498)
+ (xy 168.510769 70.648155)
+ (xy 168.48601 70.552763)
+ (xy 168.486009 70.552761)
+ (xy 168.484669 70.547597)
+ (xy 168.474528 70.525083)
+ (xy 168.399401 70.358308)
+ (xy 168.390078 70.337611)
+ (xy 168.261458 70.146566)
+ (xy 168.251594 70.136225)
+ (xy 168.106175 69.983786)
+ (xy 168.102489 69.979922)
+ (xy 168.095138 69.974452)
+ (xy 167.947424 69.864551)
+ (xy 167.917714 69.842446)
+ (xy 167.712417 69.738068)
+ (xy 167.49247 69.669772)
+ (xy 167.487181 69.669071)
+ (xy 167.269444 69.640212)
+ (xy 167.269439 69.640212)
+ (xy 167.264159 69.639512)
+ (xy 167.25883 69.639712)
+ (xy 167.258828 69.639712)
+ (xy 167.149087 69.643832)
+ (xy 167.034014 69.648152)
+ (xy 166.808615 69.695446)
+ (xy 166.803659 69.697403)
+ (xy 166.803653 69.697405)
+ (xy 166.674988 69.748218)
+ (xy 166.594407 69.780041)
+ (xy 166.397515 69.899518)
+ (xy 166.393485 69.903015)
+ (xy 166.247656 70.029559)
+ (xy 166.223568 70.050461)
+ (xy 166.212122 70.06442)
+ (xy 166.080924 70.224427)
+ (xy 166.08092 70.224433)
+ (xy 166.07754 70.228555)
+ (xy 166.074901 70.233191)
+ (xy 166.074899 70.233194)
+ (xy 165.98846 70.385045)
+ (xy 165.963607 70.428706)
+ (xy 165.885026 70.645193)
+ (xy 165.884077 70.650442)
+ (xy 165.884076 70.650445)
+ (xy 165.845641 70.863)
+ (xy 165.844045 70.871824)
+ (xy 165.843281 70.951427)
+ (xy 165.841995 71.085387)
+ (xy 165.841834 71.102121)
+ (xy 165.842683 71.107391)
+ (xy 165.842683 71.107393)
+ (xy 165.875752 71.312702)
+ (xy 165.878457 71.329498)
+ (xy 165.880182 71.33455)
+ (xy 165.880182 71.334551)
+ (xy 165.8881 71.357744)
+ (xy 165.952868 71.547453)
+ (xy 166.062937 71.749755)
+ (xy 166.066235 71.753939)
+ (xy 166.066238 71.753943)
+ (xy 166.202216 71.92643)
+ (xy 166.20222 71.926434)
+ (xy 166.205519 71.930619)
+ (xy 166.209479 71.934191)
+ (xy 166.20948 71.934192)
+ (xy 166.233248 71.95563)
+ (xy 166.376536 72.084874)
+ (xy 166.571098 72.208109)
+ (xy 166.576028 72.210166)
+ (xy 166.576032 72.210168)
+ (xy 166.665022 72.247302)
+ (xy 166.720187 72.291994)
+ (xy 166.7425 72.363584)
+ (xy 166.742501 81.401845)
+ (xy 166.709695 81.486644)
+ (xy 166.654779 81.546996)
+ (xy 166.53555 81.737064)
+ (xy 166.520904 81.773498)
+ (xy 166.476936 81.829242)
+ (xy 166.403997 81.8525)
+ (xy 152.711692 81.8525)
+ (xy 152.622597 81.815595)
+ (xy 152.206999 81.399997)
+ (xy 152.197144 81.388908)
+ (xy 152.182434 81.370249)
+ (xy 152.176603 81.362852)
+ (xy 152.168856 81.357497)
+ (xy 152.168854 81.357496)
+ (xy 152.127566 81.328961)
+ (xy 152.124344 81.326659)
+ (xy 152.083995 81.296856)
+ (xy 152.083994 81.296855)
+ (xy 152.076417 81.291259)
+ (xy 152.069505 81.288832)
+ (xy 152.063472 81.284662)
+ (xy 152.054494 81.281823)
+ (xy 152.054493 81.281822)
+ (xy 152.031105 81.274425)
+ (xy 152.006618 81.266681)
+ (xy 152.002878 81.265433)
+ (xy 151.955548 81.248812)
+ (xy 151.955547 81.248812)
+ (xy 151.946663 81.245692)
+ (xy 151.939452 81.245408)
+ (xy 151.939196 81.245358)
+ (xy 151.932351 81.243194)
+ (xy 151.923533 81.2425)
+ (xy 151.867899 81.2425)
+ (xy 151.862952 81.242403)
+ (xy 151.809249 81.240293)
+ (xy 151.802455 81.242094)
+ (xy 151.795083 81.2425)
+ (xy 148.383217 81.2425)
+ (xy 148.368408 81.241627)
+ (xy 148.344497 81.238797)
+ (xy 148.289032 81.218252)
+ (xy 148.267973 81.204101)
+ (xy 148.125481 81.141551)
+ (xy 148.067669 81.116173)
+ (xy 148.067667 81.116172)
+ (xy 148.062527 81.113916)
+ (xy 147.902838 81.075578)
+ (xy 147.849814 81.062848)
+ (xy 147.849813 81.062848)
+ (xy 147.844357 81.061538)
+ (xy 147.757776 81.056546)
+ (xy 147.625967 81.048946)
+ (xy 147.625964 81.048946)
+ (xy 147.62036 81.048623)
+ (xy 147.397615 81.075578)
+ (xy 147.183165 81.141551)
+ (xy 147.178185 81.144121)
+ (xy 147.178181 81.144123)
+ (xy 146.99114 81.240663)
+ (xy 146.983787 81.244458)
+ (xy 146.805783 81.381045)
+ (xy 146.80201 81.385191)
+ (xy 146.802005 81.385196)
+ (xy 146.709695 81.486644)
+ (xy 146.654779 81.546996)
+ (xy 146.53555 81.737064)
+ (xy 146.499252 81.827358)
+ (xy 146.454421 81.93888)
+ (xy 146.451863 81.945242)
+ (xy 146.406364 82.164949)
+ (xy 146.40049 82.389242)
+ (xy 146.404993 82.418666)
+ (xy 146.432475 82.598258)
+ (xy 146.434429 82.611029)
+ (xy 146.447773 82.650004)
+ (xy 146.503289 82.812152)
+ (xy 146.507106 82.823302)
+ (xy 146.616224 83.019349)
+ (xy 146.619776 83.023689)
+ (xy 146.619779 83.023693)
+ (xy 146.724264 83.151348)
+ (xy 146.758335 83.192975)
+ (xy 146.801895 83.230179)
+ (xy 146.924682 83.335049)
+ (xy 146.924686 83.335052)
+ (xy 146.928947 83.338691)
+ (xy 147.122667 83.451892)
+ (xy 147.127933 83.453819)
+ (xy 147.127937 83.453821)
+ (xy 147.328106 83.527072)
+ (xy 147.328109 83.527073)
+ (xy 147.33337 83.528998)
+ (xy 147.338886 83.529961)
+ (xy 147.338891 83.529962)
+ (xy 147.509541 83.559745)
+ (xy 147.554399 83.567574)
+ (xy 147.560005 83.567545)
+ (xy 147.560009 83.567545)
+ (xy 147.663025 83.567005)
+ (xy 147.778765 83.566399)
+ (xy 147.781619 83.56587)
+ (xy 149.188099 83.56587)
+ (xy 149.188615 83.572014)
+ (xy 149.202942 83.742627)
+ (xy 149.204626 83.762685)
+ (xy 149.259066 83.952542)
+ (xy 149.269565 83.972971)
+ (xy 149.346529 84.122727)
+ (xy 149.346532 84.122732)
+ (xy 149.349347 84.128209)
+ (xy 149.472028 84.282994)
+ (xy 149.476722 84.286988)
+ (xy 149.476722 84.286989)
+ (xy 149.552364 84.351365)
+ (xy 149.622438 84.411003)
+ (xy 149.794847 84.507359)
+ (xy 149.982688 84.568392)
+ (xy 150.178806 84.591778)
+ (xy 150.184941 84.591306)
+ (xy 150.184943 84.591306)
+ (xy 150.369589 84.577098)
+ (xy 150.369592 84.577097)
+ (xy 150.375732 84.576625)
+ (xy 150.565964 84.523512)
+ (xy 150.742257 84.43446)
+ (xy 150.777392 84.40701)
+ (xy 150.893039 84.316656)
+ (xy 150.897895 84.312862)
+ (xy 151.026951 84.16335)
+ (xy 151.04417 84.13304)
+ (xy 151.121465 83.996974)
+ (xy 151.121466 83.996973)
+ (xy 151.124508 83.991617)
+ (xy 151.130977 83.972172)
+ (xy 151.182565 83.81709)
+ (xy 151.186851 83.804207)
+ (xy 151.211605 83.608257)
+ (xy 151.212 83.58)
+ (xy 151.192727 83.383435)
+ (xy 151.135641 83.194357)
+ (xy 151.10335 83.133626)
+ (xy 151.04581 83.02541)
+ (xy 151.045808 83.025407)
+ (xy 151.042916 83.019968)
+ (xy 150.918086 82.86691)
+ (xy 150.765903 82.741014)
+ (xy 150.592166 82.647074)
+ (xy 150.403491 82.58867)
+ (xy 150.397366 82.588026)
+ (xy 150.397365 82.588026)
+ (xy 150.213193 82.568669)
+ (xy 150.213192 82.568669)
+ (xy 150.207065 82.568025)
+ (xy 150.086743 82.578975)
+ (xy 150.016511 82.585366)
+ (xy 150.01651 82.585366)
+ (xy 150.01037 82.585925)
+ (xy 149.974344 82.596528)
+ (xy 149.826809 82.63995)
+ (xy 149.826806 82.639951)
+ (xy 149.820898 82.64169)
+ (xy 149.645866 82.733195)
+ (xy 149.641068 82.737053)
+ (xy 149.641066 82.737054)
+ (xy 149.578322 82.787502)
+ (xy 149.491941 82.856954)
+ (xy 149.487982 82.861672)
+ (xy 149.487981 82.861673)
+ (xy 149.468159 82.885296)
+ (xy 149.364985 83.008253)
+ (xy 149.362022 83.013642)
+ (xy 149.362019 83.013647)
+ (xy 149.286318 83.151348)
+ (xy 149.269835 83.181331)
+ (xy 149.210115 83.369593)
+ (xy 149.209429 83.37571)
+ (xy 149.209428 83.375714)
+ (xy 149.192626 83.525511)
+ (xy 149.188099 83.56587)
+ (xy 147.781619 83.56587)
+ (xy 147.999377 83.525511)
+ (xy 148.004626 83.523528)
+ (xy 148.004628 83.523527)
+ (xy 148.081769 83.494378)
+ (xy 148.209262 83.446202)
+ (xy 148.219587 83.440023)
+ (xy 148.30389 83.389568)
+ (xy 148.401785 83.330979)
+ (xy 148.406005 83.327297)
+ (xy 148.40601 83.327294)
+ (xy 148.566631 83.187175)
+ (xy 148.570861 83.183485)
+ (xy 148.628718 83.111268)
+ (xy 148.707641 83.012757)
+ (xy 148.707644 83.012752)
+ (xy 148.711147 83.00838)
+ (xy 148.786255 82.870048)
+ (xy 148.815531 82.816128)
+ (xy 148.815532 82.816127)
+ (xy 148.818206 82.811201)
+ (xy 148.888657 82.598179)
+ (xy 148.889995 82.588782)
+ (xy 148.910319 82.445971)
+ (xy 148.92027 82.376048)
+ (xy 148.922 82.31)
+ (xy 148.920634 82.294696)
+ (xy 148.934504 82.225068)
+ (xy 148.983816 82.173991)
+ (xy 149.046136 82.1575)
+ (xy 151.618307 82.1575)
+ (xy 151.707403 82.194405)
+ (xy 151.917088 82.404091)
+ (xy 152.123009 82.610012)
+ (xy 152.132863 82.621101)
+ (xy 152.153397 82.647148)
+ (xy 152.161142 82.652501)
+ (xy 152.161144 82.652503)
+ (xy 152.202438 82.681043)
+ (xy 152.205655 82.683343)
+ (xy 152.253582 82.718741)
+ (xy 152.260496 82.721169)
+ (xy 152.266528 82.725338)
+ (xy 152.275516 82.72818)
+ (xy 152.275515 82.72818)
+ (xy 152.323346 82.743307)
+ (xy 152.327102 82.74456)
+ (xy 152.374451 82.761188)
+ (xy 152.374453 82.761188)
+ (xy 152.383336 82.764308)
+ (xy 152.39055 82.764592)
+ (xy 152.390801 82.764641)
+ (xy 152.397649 82.766806)
+ (xy 152.406467 82.7675)
+ (xy 152.462117 82.7675)
+ (xy 152.467064 82.767597)
+ (xy 152.520751 82.769706)
+ (xy 152.527542 82.767906)
+ (xy 152.534909 82.7675)
+ (xy 166.401976 82.7675)
+ (xy 166.470097 82.787502)
+ (xy 166.51207 82.832221)
+ (xy 166.616224 83.019349)
+ (xy 166.619776 83.023689)
+ (xy 166.619779 83.023693)
+ (xy 166.724264 83.151348)
+ (xy 166.758335 83.192975)
+ (xy 166.801895 83.230179)
+ (xy 166.924682 83.335049)
+ (xy 166.924686 83.335052)
+ (xy 166.928947 83.338691)
+ (xy 167.122667 83.451892)
+ (xy 167.127933 83.453819)
+ (xy 167.127937 83.453821)
+ (xy 167.328106 83.527072)
+ (xy 167.328109 83.527073)
+ (xy 167.33337 83.528998)
+ (xy 167.338886 83.529961)
+ (xy 167.338891 83.529962)
+ (xy 167.509541 83.559745)
+ (xy 167.554399 83.567574)
+ (xy 167.560005 83.567545)
+ (xy 167.560009 83.567545)
+ (xy 167.663025 83.567005)
+ (xy 167.778765 83.566399)
+ (xy 167.781619 83.56587)
+ (xy 169.188099 83.56587)
+ (xy 169.188615 83.572014)
+ (xy 169.202942 83.742627)
+ (xy 169.204626 83.762685)
+ (xy 169.259066 83.952542)
+ (xy 169.269565 83.972971)
+ (xy 169.346529 84.122727)
+ (xy 169.346532 84.122732)
+ (xy 169.349347 84.128209)
+ (xy 169.472028 84.282994)
+ (xy 169.476722 84.286988)
+ (xy 169.476722 84.286989)
+ (xy 169.552364 84.351365)
+ (xy 169.622438 84.411003)
+ (xy 169.794847 84.507359)
+ (xy 169.982688 84.568392)
+ (xy 170.178806 84.591778)
+ (xy 170.184941 84.591306)
+ (xy 170.184943 84.591306)
+ (xy 170.369589 84.577098)
+ (xy 170.369592 84.577097)
+ (xy 170.375732 84.576625)
+ (xy 170.565964 84.523512)
+ (xy 170.742257 84.43446)
+ (xy 170.777392 84.40701)
+ (xy 170.893039 84.316656)
+ (xy 170.897895 84.312862)
+ (xy 171.026951 84.16335)
+ (xy 171.04417 84.13304)
+ (xy 171.121465 83.996974)
+ (xy 171.121466 83.996973)
+ (xy 171.124508 83.991617)
+ (xy 171.130977 83.972172)
+ (xy 171.182565 83.81709)
+ (xy 171.186851 83.804207)
+ (xy 171.211605 83.608257)
+ (xy 171.212 83.58)
+ (xy 171.192727 83.383435)
+ (xy 171.135641 83.194357)
+ (xy 171.10335 83.133626)
+ (xy 171.04581 83.02541)
+ (xy 171.045808 83.025407)
+ (xy 171.042916 83.019968)
+ (xy 170.918086 82.86691)
+ (xy 170.91598 82.865167)
+ (xy 175.442114 82.865167)
+ (xy 175.481517 82.996923)
+ (xy 175.500552 83.02541)
+ (xy 175.520823 83.055747)
+ (xy 175.557921 83.111268)
+ (xy 175.565222 83.117212)
+ (xy 175.565223 83.117213)
+ (xy 175.653296 83.188916)
+ (xy 175.664568 83.198093)
+ (xy 175.792032 83.249721)
+ (xy 175.801417 83.250534)
+ (xy 175.801418 83.250534)
+ (xy 175.860536 83.255654)
+ (xy 175.929041 83.261587)
+ (xy 175.936046 83.260079)
+ (xy 176.032289 83.296448)
+ (xy 176.708012 83.972172)
+ (xy 177.365596 84.629756)
+ (xy 177.402501 84.718851)
+ (xy 177.402501 84.962)
+ (xy 177.382499 85.030121)
+ (xy 177.328843 85.076614)
+ (xy 177.276501 85.088)
+ (xy 177.16 85.088)
+ (xy 177.140432 85.091892)
+ (xy 177.110174 85.097911)
+ (xy 177.110172 85.097912)
+ (xy 177.098005 85.100332)
+ (xy 177.087691 85.107224)
+ (xy 177.087688 85.107225)
+ (xy 177.055765 85.128556)
+ (xy 177.045449 85.135449)
+ (xy 177.038556 85.145765)
+ (xy 177.017225 85.177688)
+ (xy 177.017224 85.177691)
+ (xy 177.010332 85.188005)
+ (xy 176.998 85.25)
+ (xy 176.998 88.25)
+ (xy 177.010332 88.311995)
+ (xy 177.017224 88.322309)
+ (xy 177.017225 88.322312)
+ (xy 177.038556 88.354235)
+ (xy 177.045449 88.364551)
+ (xy 177.055765 88.371444)
+ (xy 177.087688 88.392775)
+ (xy 177.087691 88.392776)
+ (xy 177.098005 88.399668)
+ (xy 177.110172 88.402088)
+ (xy 177.110174 88.402089)
+ (xy 177.140432 88.408108)
+ (xy 177.16 88.412)
+ (xy 178.16 88.412)
+ (xy 178.179568 88.408108)
+ (xy 178.209826 88.402089)
+ (xy 178.209828 88.402088)
+ (xy 178.221995 88.399668)
+ (xy 178.232309 88.392776)
+ (xy 178.232312 88.392775)
+ (xy 178.264235 88.371444)
+ (xy 178.274551 88.364551)
+ (xy 178.281444 88.354235)
+ (xy 178.302775 88.322312)
+ (xy 178.302776 88.322309)
+ (xy 178.309668 88.311995)
+ (xy 178.322 88.25)
+ (xy 178.322 85.25)
+ (xy 179.538 85.25)
+ (xy 179.538 88.25)
+ (xy 179.550332 88.311995)
+ (xy 179.557224 88.322309)
+ (xy 179.557225 88.322312)
+ (xy 179.578556 88.354235)
+ (xy 179.585449 88.364551)
+ (xy 179.595765 88.371444)
+ (xy 179.627688 88.392775)
+ (xy 179.627691 88.392776)
+ (xy 179.638005 88.399668)
+ (xy 179.650172 88.402088)
+ (xy 179.650174 88.402089)
+ (xy 179.680432 88.408108)
+ (xy 179.7 88.412)
+ (xy 180.7 88.412)
+ (xy 180.719568 88.408108)
+ (xy 180.749826 88.402089)
+ (xy 180.749828 88.402088)
+ (xy 180.761995 88.399668)
+ (xy 180.772309 88.392776)
+ (xy 180.772312 88.392775)
+ (xy 180.804235 88.371444)
+ (xy 180.814551 88.364551)
+ (xy 180.821444 88.354235)
+ (xy 180.842775 88.322312)
+ (xy 180.842776 88.322309)
+ (xy 180.849668 88.311995)
+ (xy 180.862 88.25)
+ (xy 180.862 85.25)
+ (xy 180.849668 85.188005)
+ (xy 180.842776 85.177691)
+ (xy 180.842775 85.177688)
+ (xy 180.821444 85.145765)
+ (xy 180.814551 85.135449)
+ (xy 180.804235 85.128556)
+ (xy 180.772312 85.107225)
+ (xy 180.772309 85.107224)
+ (xy 180.761995 85.100332)
+ (xy 180.749828 85.097912)
+ (xy 180.749826 85.097911)
+ (xy 180.719568 85.091892)
+ (xy 180.7 85.088)
+ (xy 180.5835 85.088)
+ (xy 180.515379 85.067998)
+ (xy 180.468886 85.014342)
+ (xy 180.4575 84.962)
+ (xy 180.4575 83.44313)
+ (xy 180.49233 83.356159)
+ (xy 180.516351 83.330979)
+ (xy 180.578394 83.26594)
+ (xy 180.639864 83.14292)
+ (xy 180.662443 83.007265)
+ (xy 180.6625 83)
+ (xy 180.642054 82.864006)
+ (xy 180.596078 82.768261)
+ (xy 180.5866 82.748522)
+ (xy 180.5866 82.748521)
+ (xy 180.582525 82.740036)
+ (xy 180.49666 82.647148)
+ (xy 180.495572 82.645971)
+ (xy 180.495571 82.64597)
+ (xy 180.489175 82.639051)
+ (xy 180.481031 82.63432)
+ (xy 180.481029 82.634319)
+ (xy 180.378399 82.574706)
+ (xy 180.378395 82.574704)
+ (xy 180.370258 82.569978)
+ (xy 180.278189 82.548638)
+ (xy 180.245465 82.541053)
+ (xy 180.245463 82.541053)
+ (xy 180.236287 82.538926)
+ (xy 180.226891 82.539591)
+ (xy 180.226888 82.539591)
+ (xy 180.108511 82.547972)
+ (xy 180.108509 82.547973)
+ (xy 180.099109 82.548638)
+ (xy 179.97085 82.598258)
+ (xy 179.862852 82.683397)
+ (xy 179.784662 82.796528)
+ (xy 179.781823 82.805505)
+ (xy 179.781822 82.805507)
+ (xy 179.762954 82.865167)
+ (xy 179.743194 82.927649)
+ (xy 179.742114 83.065167)
+ (xy 179.781517 83.196923)
+ (xy 179.857921 83.311268)
+ (xy 179.896053 83.342312)
+ (xy 179.936251 83.400831)
+ (xy 179.942501 83.440023)
+ (xy 179.9425 84.962)
+ (xy 179.922498 85.030121)
+ (xy 179.868842 85.076614)
+ (xy 179.8165 85.088)
+ (xy 179.7 85.088)
+ (xy 179.680432 85.091892)
+ (xy 179.650174 85.097911)
+ (xy 179.650172 85.097912)
+ (xy 179.638005 85.100332)
+ (xy 179.627691 85.107224)
+ (xy 179.627688 85.107225)
+ (xy 179.595765 85.128556)
+ (xy 179.585449 85.135449)
+ (xy 179.578556 85.145765)
+ (xy 179.557225 85.177688)
+ (xy 179.557224 85.177691)
+ (xy 179.550332 85.188005)
+ (xy 179.538 85.25)
+ (xy 178.322 85.25)
+ (xy 178.309668 85.188005)
+ (xy 178.302776 85.177691)
+ (xy 178.302775 85.177688)
+ (xy 178.281444 85.145765)
+ (xy 178.274551 85.135449)
+ (xy 178.264235 85.128556)
+ (xy 178.232312 85.107225)
+ (xy 178.232309 85.107224)
+ (xy 178.221995 85.100332)
+ (xy 178.209828 85.097912)
+ (xy 178.209826 85.097911)
+ (xy 178.179568 85.091892)
+ (xy 178.16 85.088)
+ (xy 178.0435 85.088)
+ (xy 177.975379 85.067998)
+ (xy 177.928886 85.014342)
+ (xy 177.9175 84.962)
+ (xy 177.9175 84.597548)
+ (xy 177.919921 84.572966)
+ (xy 177.920079 84.572172)
+ (xy 177.920079 84.57217)
+ (xy 177.9225 84.56)
+ (xy 177.915242 84.523512)
+ (xy 177.902518 84.459546)
+ (xy 177.885757 84.43446)
+ (xy 177.852508 84.384699)
+ (xy 177.845616 84.374384)
+ (xy 177.835301 84.367492)
+ (xy 177.835299 84.36749)
+ (xy 177.834618 84.367035)
+ (xy 177.815525 84.351365)
+ (xy 176.395293 82.931134)
+ (xy 176.361268 82.868822)
+ (xy 176.360099 82.821348)
+ (xy 176.36163 82.812152)
+ (xy 176.36163 82.812147)
+ (xy 176.362443 82.807265)
+ (xy 176.3625 82.8)
+ (xy 176.346134 82.691144)
+ (xy 176.343454 82.673316)
+ (xy 176.343453 82.673314)
+ (xy 176.342054 82.664006)
+ (xy 176.305932 82.588782)
+ (xy 176.2866 82.548522)
+ (xy 176.2866 82.548521)
+ (xy 176.282525 82.540036)
+ (xy 176.189175 82.439051)
+ (xy 176.181031 82.43432)
+ (xy 176.181029 82.434319)
+ (xy 176.078399 82.374706)
+ (xy 176.078395 82.374704)
+ (xy 176.070258 82.369978)
+ (xy 176.025023 82.359493)
+ (xy 175.945465 82.341053)
+ (xy 175.945463 82.341053)
+ (xy 175.936287 82.338926)
+ (xy 175.926891 82.339591)
+ (xy 175.926888 82.339591)
+ (xy 175.808511 82.347972)
+ (xy 175.808509 82.347973)
+ (xy 175.799109 82.348638)
+ (xy 175.67085 82.398258)
+ (xy 175.562852 82.483397)
+ (xy 175.484662 82.596528)
+ (xy 175.481823 82.605505)
+ (xy 175.481822 82.605507)
+ (xy 175.460377 82.673316)
+ (xy 175.443194 82.727649)
+ (xy 175.442114 82.865167)
+ (xy 170.91598 82.865167)
+ (xy 170.765903 82.741014)
+ (xy 170.592166 82.647074)
+ (xy 170.403491 82.58867)
+ (xy 170.397366 82.588026)
+ (xy 170.397365 82.588026)
+ (xy 170.213193 82.568669)
+ (xy 170.213192 82.568669)
+ (xy 170.207065 82.568025)
+ (xy 170.086743 82.578975)
+ (xy 170.016511 82.585366)
+ (xy 170.01651 82.585366)
+ (xy 170.01037 82.585925)
+ (xy 169.974344 82.596528)
+ (xy 169.826809 82.63995)
+ (xy 169.826806 82.639951)
+ (xy 169.820898 82.64169)
+ (xy 169.645866 82.733195)
+ (xy 169.641068 82.737053)
+ (xy 169.641066 82.737054)
+ (xy 169.578322 82.787502)
+ (xy 169.491941 82.856954)
+ (xy 169.487982 82.861672)
+ (xy 169.487981 82.861673)
+ (xy 169.468159 82.885296)
+ (xy 169.364985 83.008253)
+ (xy 169.362022 83.013642)
+ (xy 169.362019 83.013647)
+ (xy 169.286318 83.151348)
+ (xy 169.269835 83.181331)
+ (xy 169.210115 83.369593)
+ (xy 169.209429 83.37571)
+ (xy 169.209428 83.375714)
+ (xy 169.192626 83.525511)
+ (xy 169.188099 83.56587)
+ (xy 167.781619 83.56587)
+ (xy 167.999377 83.525511)
+ (xy 168.004626 83.523528)
+ (xy 168.004628 83.523527)
+ (xy 168.081769 83.494378)
+ (xy 168.209262 83.446202)
+ (xy 168.219587 83.440023)
+ (xy 168.30389 83.389568)
+ (xy 168.401785 83.330979)
+ (xy 168.406005 83.327297)
+ (xy 168.40601 83.327294)
+ (xy 168.566631 83.187175)
+ (xy 168.570861 83.183485)
+ (xy 168.628718 83.111268)
+ (xy 168.707641 83.012757)
+ (xy 168.707644 83.012752)
+ (xy 168.711147 83.00838)
+ (xy 168.786255 82.870048)
+ (xy 168.815531 82.816128)
+ (xy 168.815532 82.816127)
+ (xy 168.818206 82.811201)
+ (xy 168.888657 82.598179)
+ (xy 168.889995 82.588782)
+ (xy 168.910319 82.445971)
+ (xy 168.92027 82.376048)
+ (xy 168.922 82.31)
+ (xy 168.920889 82.297545)
+ (xy 168.903386 82.101432)
+ (xy 168.902785 82.0947)
+ (xy 168.916652 82.025072)
+ (xy 168.965962 81.973993)
+ (xy 169.028286 81.9575)
+ (xy 183.786047 81.9575)
+ (xy 183.854168 81.977502)
+ (xy 183.900661 82.031158)
+ (xy 183.910765 82.101432)
+ (xy 183.872892 82.167128)
+ (xy 183.876696 82.1707)
+ (xy 183.870248 82.177566)
+ (xy 183.862852 82.183397)
+ (xy 183.784662 82.296528)
+ (xy 183.781823 82.305505)
+ (xy 183.781822 82.305507)
+ (xy 183.753587 82.394788)
+ (xy 183.743194 82.427649)
+ (xy 183.74312 82.43707)
+ (xy 183.742293 82.542343)
+ (xy 183.705392 82.630448)
+ (xy 182.584476 83.751365)
+ (xy 182.565383 83.767035)
+ (xy 182.564702 83.76749)
+ (xy 182.5647 83.767492)
+ (xy 182.554385 83.774384)
+ (xy 182.527575 83.814509)
+ (xy 182.497483 83.859546)
+ (xy 182.4775 83.96)
+ (xy 182.479921 83.97217)
+ (xy 182.479921 83.972172)
+ (xy 182.48008 83.972971)
+ (xy 182.482501 83.997553)
+ (xy 182.482501 84.962)
+ (xy 182.462499 85.030121)
+ (xy 182.408843 85.076614)
+ (xy 182.356501 85.088)
+ (xy 182.24 85.088)
+ (xy 182.220432 85.091892)
+ (xy 182.190174 85.097911)
+ (xy 182.190172 85.097912)
+ (xy 182.178005 85.100332)
+ (xy 182.167691 85.107224)
+ (xy 182.167688 85.107225)
+ (xy 182.135765 85.128556)
+ (xy 182.125449 85.135449)
+ (xy 182.118556 85.145765)
+ (xy 182.097225 85.177688)
+ (xy 182.097224 85.177691)
+ (xy 182.090332 85.188005)
+ (xy 182.078 85.25)
+ (xy 182.078 88.25)
+ (xy 182.090332 88.311995)
+ (xy 182.097224 88.322309)
+ (xy 182.097225 88.322312)
+ (xy 182.118556 88.354235)
+ (xy 182.125449 88.364551)
+ (xy 182.135765 88.371444)
+ (xy 182.167688 88.392775)
+ (xy 182.167691 88.392776)
+ (xy 182.178005 88.399668)
+ (xy 182.190172 88.402088)
+ (xy 182.190174 88.402089)
+ (xy 182.220432 88.408108)
+ (xy 182.24 88.412)
+ (xy 183.24 88.412)
+ (xy 183.259568 88.408108)
+ (xy 183.289826 88.402089)
+ (xy 183.289828 88.402088)
+ (xy 183.301995 88.399668)
+ (xy 183.312309 88.392776)
+ (xy 183.312312 88.392775)
+ (xy 183.344235 88.371444)
+ (xy 183.354551 88.364551)
+ (xy 183.361444 88.354235)
+ (xy 183.382775 88.322312)
+ (xy 183.382776 88.322309)
+ (xy 183.389668 88.311995)
+ (xy 183.402 88.25)
+ (xy 183.402 85.25)
+ (xy 183.389668 85.188005)
+ (xy 183.382776 85.177691)
+ (xy 183.382775 85.177688)
+ (xy 183.361444 85.145765)
+ (xy 183.354551 85.135449)
+ (xy 183.344235 85.128556)
+ (xy 183.312312 85.107225)
+ (xy 183.312309 85.107224)
+ (xy 183.301995 85.100332)
+ (xy 183.289828 85.097912)
+ (xy 183.289826 85.097911)
+ (xy 183.259568 85.091892)
+ (xy 183.24 85.088)
+ (xy 183.1235 85.088)
+ (xy 183.055379 85.067998)
+ (xy 183.008886 85.014342)
+ (xy 182.9975 84.962)
+ (xy 182.9975 84.118849)
+ (xy 183.034405 84.029754)
+ (xy 183.498289 83.56587)
+ (xy 189.188099 83.56587)
+ (xy 189.188615 83.572014)
+ (xy 189.202942 83.742627)
+ (xy 189.204626 83.762685)
+ (xy 189.259066 83.952542)
+ (xy 189.269565 83.972971)
+ (xy 189.346529 84.122727)
+ (xy 189.346532 84.122732)
+ (xy 189.349347 84.128209)
+ (xy 189.472028 84.282994)
+ (xy 189.476722 84.286988)
+ (xy 189.476722 84.286989)
+ (xy 189.552364 84.351365)
+ (xy 189.622438 84.411003)
+ (xy 189.794847 84.507359)
+ (xy 189.982688 84.568392)
+ (xy 190.178806 84.591778)
+ (xy 190.184941 84.591306)
+ (xy 190.184943 84.591306)
+ (xy 190.369589 84.577098)
+ (xy 190.369592 84.577097)
+ (xy 190.375732 84.576625)
+ (xy 190.565964 84.523512)
+ (xy 190.742257 84.43446)
+ (xy 190.777392 84.40701)
+ (xy 190.893039 84.316656)
+ (xy 190.897895 84.312862)
+ (xy 191.026951 84.16335)
+ (xy 191.04417 84.13304)
+ (xy 191.121465 83.996974)
+ (xy 191.121466 83.996973)
+ (xy 191.124508 83.991617)
+ (xy 191.130977 83.972172)
+ (xy 191.182565 83.81709)
+ (xy 191.186851 83.804207)
+ (xy 191.211605 83.608257)
+ (xy 191.212 83.58)
+ (xy 191.192727 83.383435)
+ (xy 191.135641 83.194357)
+ (xy 191.10335 83.133626)
+ (xy 191.04581 83.02541)
+ (xy 191.045808 83.025407)
+ (xy 191.042916 83.019968)
+ (xy 190.918086 82.86691)
+ (xy 190.765903 82.741014)
+ (xy 190.592166 82.647074)
+ (xy 190.403491 82.58867)
+ (xy 190.397366 82.588026)
+ (xy 190.397365 82.588026)
+ (xy 190.213193 82.568669)
+ (xy 190.213192 82.568669)
+ (xy 190.207065 82.568025)
+ (xy 190.086743 82.578975)
+ (xy 190.016511 82.585366)
+ (xy 190.01651 82.585366)
+ (xy 190.01037 82.585925)
+ (xy 189.974344 82.596528)
+ (xy 189.826809 82.63995)
+ (xy 189.826806 82.639951)
+ (xy 189.820898 82.64169)
+ (xy 189.645866 82.733195)
+ (xy 189.641068 82.737053)
+ (xy 189.641066 82.737054)
+ (xy 189.578322 82.787502)
+ (xy 189.491941 82.856954)
+ (xy 189.487982 82.861672)
+ (xy 189.487981 82.861673)
+ (xy 189.468159 82.885296)
+ (xy 189.364985 83.008253)
+ (xy 189.362022 83.013642)
+ (xy 189.362019 83.013647)
+ (xy 189.286318 83.151348)
+ (xy 189.269835 83.181331)
+ (xy 189.210115 83.369593)
+ (xy 189.209429 83.37571)
+ (xy 189.209428 83.375714)
+ (xy 189.192626 83.525511)
+ (xy 189.188099 83.56587)
+ (xy 183.498289 83.56587)
+ (xy 184.071154 82.993006)
+ (xy 184.133466 82.95898)
+ (xy 184.17112 82.956571)
+ (xy 184.21966 82.960775)
+ (xy 184.219663 82.960775)
+ (xy 184.229041 82.961587)
+ (xy 184.238242 82.959606)
+ (xy 184.238244 82.959606)
+ (xy 184.354278 82.934625)
+ (xy 184.354281 82.934624)
+ (xy 184.363482 82.932643)
+ (xy 184.371694 82.928044)
+ (xy 184.371697 82.928043)
+ (xy 184.475254 82.870048)
+ (xy 184.48347 82.865447)
+ (xy 184.578394 82.76594)
+ (xy 184.639864 82.64292)
+ (xy 184.662443 82.507265)
+ (xy 184.6625 82.5)
+ (xy 184.647204 82.398258)
+ (xy 184.643454 82.373316)
+ (xy 184.643453 82.373314)
+ (xy 184.642054 82.364006)
+ (xy 184.59163 82.258998)
+ (xy 184.5866 82.248522)
+ (xy 184.5866 82.248521)
+ (xy 184.582525 82.240036)
+ (xy 184.489175 82.139051)
+ (xy 184.418945 82.098258)
+ (xy 184.370465 82.070098)
+ (xy 184.321607 82.018587)
+ (xy 184.308353 81.948838)
+ (xy 184.348124 81.868811)
+ (xy 184.348576 81.868425)
+ (xy 184.387889 81.829112)
+ (xy 184.391455 81.825683)
+ (xy 184.424032 81.795569)
+ (xy 184.430949 81.789175)
+ (xy 184.434481 81.783094)
+ (xy 184.439415 81.777586)
+ (xy 186.950008 79.266995)
+ (xy 186.961097 79.25714)
+ (xy 186.961427 79.25688)
+ (xy 186.987148 79.236603)
+ (xy 186.992501 79.228858)
+ (xy 186.992503 79.228856)
+ (xy 187.021043 79.187562)
+ (xy 187.023343 79.184344)
+ (xy 187.053145 79.143994)
+ (xy 187.058741 79.136418)
+ (xy 187.061169 79.129504)
+ (xy 187.065338 79.123472)
+ (xy 187.083307 79.066654)
+ (xy 187.08456 79.062898)
+ (xy 187.101188 79.015549)
+ (xy 187.101188 79.015547)
+ (xy 187.104308 79.006664)
+ (xy 187.104592 78.99945)
+ (xy 187.104641 78.999199)
+ (xy 187.106806 78.992351)
+ (xy 187.1075 78.983533)
+ (xy 187.1075 78.927899)
+ (xy 187.107597 78.922952)
+ (xy 187.108382 78.902974)
+ (xy 187.109707 78.869249)
+ (xy 187.107906 78.862455)
+ (xy 187.1075 78.855083)
+ (xy 187.1075 77.541692)
+ (xy 187.144405 77.452596)
+ (xy 187.543259 77.053743)
+ (xy 188.377153 76.219849)
+ (xy 188.792599 75.804404)
+ (xy 188.881694 75.7675)
+ (xy 192.058358 75.7675)
+ (xy 192.121928 75.784712)
+ (xy 192.202667 75.831892)
+ (xy 192.207942 75.833822)
+ (xy 192.210147 75.83486)
+ (xy 192.263268 75.881962)
+ (xy 192.2825 75.948869)
+ (xy 192.2825 76.212416)
+ (xy 192.281627 76.227225)
+ (xy 192.277729 76.260161)
+ (xy 192.279421 76.269425)
+ (xy 192.279421 76.269426)
+ (xy 192.288432 76.318767)
+ (xy 192.289082 76.322671)
+ (xy 192.29226 76.343806)
+ (xy 192.297946 76.381627)
+ (xy 192.301119 76.388235)
+ (xy 192.302436 76.395445)
+ (xy 192.306777 76.403802)
+ (xy 192.306779 76.403808)
+ (xy 192.329912 76.448341)
+ (xy 192.331675 76.451868)
+ (xy 192.334247 76.457225)
+ (xy 192.353397 76.497106)
+ (xy 192.353399 76.497109)
+ (xy 192.357475 76.505597)
+ (xy 192.36238 76.510903)
+ (xy 192.362518 76.511108)
+ (xy 192.36583 76.517484)
+ (xy 192.371575 76.52421)
+ (xy 192.373317 76.525952)
+ (xy 192.410896 76.563532)
+ (xy 192.414323 76.567096)
+ (xy 192.450825 76.606583)
+ (xy 192.456904 76.610114)
+ (xy 192.46241 76.615045)
+ (xy 192.685597 76.838233)
+ (xy 192.722501 76.927328)
+ (xy 192.7225 81.951882)
+ (xy 192.702498 82.020003)
+ (xy 192.648842 82.066496)
+ (xy 192.578568 82.076599)
+ (xy 192.507405 82.040977)
+ (xy 191.111964 80.645537)
+ (xy 191.077939 80.583225)
+ (xy 191.083003 80.51241)
+ (xy 191.152844 80.440032)
+ (xy 191.157335 80.438172)
+ (xy 191.157338 80.438171)
+ (xy 191.161301 80.436529)
+ (xy 191.415224 80.288148)
+ (xy 191.64666 80.106679)
+ (xy 191.851327 79.89548)
+ (xy 191.85386 79.892032)
+ (xy 191.853864 79.892027)
+ (xy 192.022899 79.661913)
+ (xy 192.025437 79.658458)
+ (xy 192.050581 79.612149)
+ (xy 192.163718 79.403775)
+ (xy 192.163719 79.403773)
+ (xy 192.165768 79.399999)
+ (xy 192.247258 79.184344)
+ (xy 192.268207 79.128905)
+ (xy 192.268208 79.128901)
+ (xy 192.269725 79.124887)
+ (xy 192.314841 78.927899)
+ (xy 192.334424 78.842395)
+ (xy 192.334425 78.842391)
+ (xy 192.335382 78.838211)
+ (xy 192.33822 78.806418)
+ (xy 192.361306 78.547742)
+ (xy 192.361526 78.545278)
+ (xy 192.362 78.5)
+ (xy 192.361831 78.497519)
+ (xy 192.342289 78.21086)
+ (xy 192.342288 78.210854)
+ (xy 192.341997 78.206583)
+ (xy 192.282357 77.918595)
+ (xy 192.184186 77.641366)
+ (xy 192.099705 77.477688)
+ (xy 192.051263 77.383833)
+ (xy 192.051263 77.383832)
+ (xy 192.049298 77.380026)
+ (xy 192.04419 77.372757)
+ (xy 191.958862 77.251348)
+ (xy 191.88019 77.139409)
+ (xy 191.749024 76.998258)
+ (xy 191.682912 76.927113)
+ (xy 191.682909 76.927111)
+ (xy 191.679991 76.92397)
+ (xy 191.452406 76.737694)
+ (xy 191.201646 76.584028)
+ (xy 191.197729 76.582309)
+ (xy 191.197726 76.582307)
+ (xy 191.069345 76.525952)
+ (xy 190.932351 76.465816)
+ (xy 190.928223 76.46464)
+ (xy 190.92822 76.464639)
+ (xy 190.834702 76.438)
+ (xy 190.649505 76.385245)
+ (xy 190.645263 76.384641)
+ (xy 190.645257 76.38464)
+ (xy 190.362592 76.344411)
+ (xy 190.358341 76.343806)
+ (xy 190.203328 76.342994)
+ (xy 190.068533 76.342288)
+ (xy 190.068526 76.342288)
+ (xy 190.064247 76.342266)
+ (xy 190.060002 76.342825)
+ (xy 190.06 76.342825)
+ (xy 189.994726 76.351419)
+ (xy 189.772665 76.380654)
+ (xy 189.48899 76.458258)
+ (xy 189.485042 76.459942)
+ (xy 189.222425 76.571958)
+ (xy 189.222421 76.57196)
+ (xy 189.218473 76.573644)
+ (xy 189.109394 76.638926)
+ (xy 188.969799 76.722471)
+ (xy 188.969795 76.722474)
+ (xy 188.966117 76.724675)
+ (xy 188.962774 76.727353)
+ (xy 188.96277 76.727356)
+ (xy 188.915225 76.765447)
+ (xy 188.736594 76.908558)
+ (xy 188.73365 76.91166)
+ (xy 188.733646 76.911664)
+ (xy 188.543393 77.112149)
+ (xy 188.53415 77.121889)
+ (xy 188.531651 77.125367)
+ (xy 188.53165 77.125368)
+ (xy 188.496566 77.174193)
+ (xy 188.362532 77.360722)
+ (xy 188.224915 77.620635)
+ (xy 188.22344 77.624666)
+ (xy 188.146606 77.834625)
+ (xy 188.123845 77.896821)
+ (xy 188.061193 78.184168)
+ (xy 188.060857 78.188438)
+ (xy 188.041633 78.432715)
+ (xy 188.038119 78.47736)
+ (xy 188.055048 78.77097)
+ (xy 188.055873 78.775175)
+ (xy 188.055874 78.775183)
+ (xy 188.078036 78.888144)
+ (xy 188.111668 79.059567)
+ (xy 188.113055 79.063617)
+ (xy 188.113056 79.063622)
+ (xy 188.190955 79.291144)
+ (xy 188.206932 79.337809)
+ (xy 188.339076 79.600548)
+ (xy 188.341502 79.604077)
+ (xy 188.341505 79.604083)
+ (xy 188.503224 79.839385)
+ (xy 188.505655 79.842922)
+ (xy 188.508542 79.846095)
+ (xy 188.508543 79.846096)
+ (xy 188.588621 79.934101)
+ (xy 188.703586 80.060446)
+ (xy 188.75888 80.106679)
+ (xy 188.925916 80.246343)
+ (xy 188.925921 80.246347)
+ (xy 188.929208 80.249095)
+ (xy 188.991464 80.288148)
+ (xy 189.025921 80.309763)
+ (xy 189.072999 80.362906)
+ (xy 189.083871 80.433065)
+ (xy 189.055087 80.497965)
+ (xy 188.995785 80.537)
+ (xy 188.958965 80.5425)
+ (xy 188.389916 80.5425)
+ (xy 188.297392 80.502029)
+ (xy 188.245572 80.445971)
+ (xy 188.245571 80.44597)
+ (xy 188.239175 80.439051)
+ (xy 188.231031 80.43432)
+ (xy 188.231029 80.434319)
+ (xy 188.128399 80.374706)
+ (xy 188.128395 80.374704)
+ (xy 188.120258 80.369978)
+ (xy 188.028189 80.348638)
+ (xy 187.995465 80.341053)
+ (xy 187.995463 80.341053)
+ (xy 187.986287 80.338926)
+ (xy 187.976891 80.339591)
+ (xy 187.976888 80.339591)
+ (xy 187.858511 80.347972)
+ (xy 187.858509 80.347973)
+ (xy 187.849109 80.348638)
+ (xy 187.72085 80.398258)
+ (xy 187.612852 80.483397)
+ (xy 187.534662 80.596528)
+ (xy 187.531823 80.605505)
+ (xy 187.531822 80.605507)
+ (xy 187.511417 80.670028)
+ (xy 187.493194 80.727649)
+ (xy 187.492114 80.865167)
+ (xy 187.494813 80.874191)
+ (xy 187.494813 80.874193)
+ (xy 187.50612 80.912)
+ (xy 187.531517 80.996923)
+ (xy 187.53675 81.004754)
+ (xy 187.602009 81.10242)
+ (xy 187.607921 81.111268)
+ (xy 187.615222 81.117212)
+ (xy 187.615223 81.117213)
+ (xy 187.648277 81.144123)
+ (xy 187.714568 81.198093)
+ (xy 187.723299 81.201629)
+ (xy 187.7233 81.20163)
+ (xy 187.764336 81.218251)
+ (xy 187.842032 81.249721)
+ (xy 187.851417 81.250534)
+ (xy 187.851418 81.250534)
+ (xy 187.969661 81.260775)
+ (xy 187.969664 81.260775)
+ (xy 187.979041 81.261587)
+ (xy 187.988242 81.259606)
+ (xy 187.988244 81.259606)
+ (xy 188.104278 81.234625)
+ (xy 188.104281 81.234624)
+ (xy 188.113482 81.232643)
+ (xy 188.121694 81.228044)
+ (xy 188.121697 81.228043)
+ (xy 188.225254 81.170048)
+ (xy 188.23347 81.165447)
+ (xy 188.279233 81.117475)
+ (xy 188.299214 81.096529)
+ (xy 188.390384 81.0575)
+ (xy 190.743419 81.0575)
+ (xy 190.832514 81.094405)
+ (xy 192.142199 82.404091)
+ (xy 193.450645 83.712537)
+ (xy 193.466315 83.73163)
+ (xy 193.466768 83.732308)
+ (xy 193.46677 83.73231)
+ (xy 193.473662 83.742625)
+ (xy 193.494971 83.756863)
+ (xy 193.558823 83.799527)
+ (xy 193.659278 83.81951)
+ (xy 193.671448 83.817089)
+ (xy 193.67145 83.817089)
+ (xy 193.672249 83.81693)
+ (xy 193.696831 83.814509)
+ (xy 197.253173 83.814511)
+ (xy 197.277755 83.816932)
+ (xy 197.278549 83.81709)
+ (xy 197.278551 83.81709)
+ (xy 197.290721 83.819511)
+ (xy 197.302891 83.81709)
+ (xy 197.303686 83.816932)
+ (xy 197.315856 83.814511)
+ (xy 197.315866 83.814509)
+ (xy 197.379004 83.80195)
+ (xy 197.379005 83.80195)
+ (xy 197.391174 83.799529)
+ (xy 197.476336 83.742627)
+ (xy 197.483228 83.732312)
+ (xy 197.48323 83.73231)
+ (xy 197.483685 83.731629)
+ (xy 197.499355 83.712536)
+ (xy 197.646021 83.56587)
+ (xy 209.188099 83.56587)
+ (xy 209.188615 83.572014)
+ (xy 209.202942 83.742627)
+ (xy 209.204626 83.762685)
+ (xy 209.259066 83.952542)
+ (xy 209.269565 83.972971)
+ (xy 209.346529 84.122727)
+ (xy 209.346532 84.122732)
+ (xy 209.349347 84.128209)
+ (xy 209.472028 84.282994)
+ (xy 209.476722 84.286988)
+ (xy 209.476722 84.286989)
+ (xy 209.552364 84.351365)
+ (xy 209.622438 84.411003)
+ (xy 209.794847 84.507359)
+ (xy 209.982688 84.568392)
+ (xy 210.178806 84.591778)
+ (xy 210.184941 84.591306)
+ (xy 210.184943 84.591306)
+ (xy 210.369589 84.577098)
+ (xy 210.369592 84.577097)
+ (xy 210.375732 84.576625)
+ (xy 210.565964 84.523512)
+ (xy 210.742257 84.43446)
+ (xy 210.777392 84.40701)
+ (xy 210.893039 84.316656)
+ (xy 210.897895 84.312862)
+ (xy 211.026951 84.16335)
+ (xy 211.04417 84.13304)
+ (xy 211.121465 83.996974)
+ (xy 211.121466 83.996973)
+ (xy 211.124508 83.991617)
+ (xy 211.130977 83.972172)
+ (xy 211.182565 83.81709)
+ (xy 211.186851 83.804207)
+ (xy 211.211605 83.608257)
+ (xy 211.212 83.58)
+ (xy 211.192727 83.383435)
+ (xy 211.135641 83.194357)
+ (xy 211.10335 83.133626)
+ (xy 211.04581 83.02541)
+ (xy 211.045808 83.025407)
+ (xy 211.042916 83.019968)
+ (xy 210.918086 82.86691)
+ (xy 210.765903 82.741014)
+ (xy 210.592166 82.647074)
+ (xy 210.403491 82.58867)
+ (xy 210.397366 82.588026)
+ (xy 210.397365 82.588026)
+ (xy 210.213193 82.568669)
+ (xy 210.213192 82.568669)
+ (xy 210.207065 82.568025)
+ (xy 210.086743 82.578975)
+ (xy 210.016511 82.585366)
+ (xy 210.01651 82.585366)
+ (xy 210.01037 82.585925)
+ (xy 209.974344 82.596528)
+ (xy 209.826809 82.63995)
+ (xy 209.826806 82.639951)
+ (xy 209.820898 82.64169)
+ (xy 209.645866 82.733195)
+ (xy 209.641068 82.737053)
+ (xy 209.641066 82.737054)
+ (xy 209.578322 82.787502)
+ (xy 209.491941 82.856954)
+ (xy 209.487982 82.861672)
+ (xy 209.487981 82.861673)
+ (xy 209.468159 82.885296)
+ (xy 209.364985 83.008253)
+ (xy 209.362022 83.013642)
+ (xy 209.362019 83.013647)
+ (xy 209.286318 83.151348)
+ (xy 209.269835 83.181331)
+ (xy 209.210115 83.369593)
+ (xy 209.209429 83.37571)
+ (xy 209.209428 83.375714)
+ (xy 209.192626 83.525511)
+ (xy 209.188099 83.56587)
+ (xy 197.646021 83.56587)
+ (xy 200.092649 81.119242)
+ (xy 214.02049 81.119242)
+ (xy 214.03313 81.201845)
+ (xy 214.052463 81.328179)
+ (xy 214.054429 81.341029)
+ (xy 214.127106 81.553302)
+ (xy 214.236224 81.749349)
+ (xy 214.239776 81.753689)
+ (xy 214.239779 81.753693)
+ (xy 214.320652 81.8525)
+ (xy 214.378335 81.922975)
+ (xy 214.398302 81.940028)
+ (xy 214.544682 82.065049)
+ (xy 214.544686 82.065052)
+ (xy 214.548947 82.068691)
+ (xy 214.742667 82.181892)
+ (xy 214.747933 82.183819)
+ (xy 214.747937 82.183821)
+ (xy 214.948106 82.257072)
+ (xy 214.948109 82.257073)
+ (xy 214.95337 82.258998)
+ (xy 214.958886 82.259961)
+ (xy 214.958891 82.259962)
+ (xy 215.124023 82.288782)
+ (xy 215.174399 82.297574)
+ (xy 215.180005 82.297545)
+ (xy 215.180009 82.297545)
+ (xy 215.283025 82.297005)
+ (xy 215.398765 82.296399)
+ (xy 215.407949 82.294697)
+ (xy 215.509071 82.275955)
+ (xy 215.619377 82.255511)
+ (xy 215.624626 82.253528)
+ (xy 215.624628 82.253527)
+ (xy 215.789719 82.191144)
+ (xy 215.829262 82.176202)
+ (xy 216.021785 82.060979)
+ (xy 216.026005 82.057297)
+ (xy 216.02601 82.057294)
+ (xy 216.186631 81.917175)
+ (xy 216.190861 81.913485)
+ (xy 216.228371 81.866665)
+ (xy 216.327641 81.742757)
+ (xy 216.327644 81.742752)
+ (xy 216.331147 81.73838)
+ (xy 216.438206 81.541201)
+ (xy 216.508657 81.328179)
+ (xy 216.513548 81.293816)
+ (xy 216.531162 81.170048)
+ (xy 216.54027 81.106048)
+ (xy 216.541495 81.059292)
+ (xy 216.541905 81.043631)
+ (xy 216.541905 81.043629)
+ (xy 216.542 81.04)
+ (xy 216.53893 81.005595)
+ (xy 216.529476 80.899668)
+ (xy 216.522055 80.816519)
+ (xy 216.517489 80.799826)
+ (xy 216.491929 80.706398)
+ (xy 216.493247 80.635414)
+ (xy 216.524368 80.584055)
+ (xy 217.293233 79.815191)
+ (xy 218.087523 79.020901)
+ (xy 218.091332 79.017247)
+ (xy 218.12597 78.985396)
+ (xy 218.133414 78.978551)
+ (xy 218.156674 78.941036)
+ (xy 218.163376 78.931285)
+ (xy 218.190057 78.896134)
+ (xy 218.195713 78.88185)
+ (xy 218.205775 78.861843)
+ (xy 218.213869 78.848789)
+ (xy 218.216266 78.840538)
+ (xy 218.216268 78.840534)
+ (xy 218.22618 78.806418)
+ (xy 218.230024 78.795191)
+ (xy 218.243099 78.762166)
+ (xy 218.2431 78.76216)
+ (xy 218.246262 78.754175)
+ (xy 218.247867 78.738904)
+ (xy 218.25218 78.716923)
+ (xy 218.254623 78.708514)
+ (xy 218.256466 78.702172)
+ (xy 218.2575 78.688091)
+ (xy 218.2575 78.653863)
+ (xy 218.25819 78.640692)
+ (xy 218.261324 78.610876)
+ (xy 218.262222 78.602332)
+ (xy 218.259263 78.584838)
+ (xy 218.2575 78.563836)
+ (xy 218.2575 78.513325)
+ (xy 218.278734 78.443324)
+ (xy 218.299668 78.411995)
+ (xy 218.312 78.35)
+ (xy 218.312 77.55)
+ (xy 218.302997 77.504738)
+ (xy 218.302089 77.500174)
+ (xy 218.302088 77.500172)
+ (xy 218.299668 77.488005)
+ (xy 218.292776 77.477691)
+ (xy 218.292775 77.477688)
+ (xy 218.271444 77.445765)
+ (xy 218.264551 77.435449)
+ (xy 218.254235 77.428556)
+ (xy 218.222312 77.407225)
+ (xy 218.222309 77.407224)
+ (xy 218.211995 77.400332)
+ (xy 218.199828 77.397912)
+ (xy 218.199826 77.397911)
+ (xy 218.169568 77.391892)
+ (xy 218.15 77.388)
+ (xy 217.25 77.388)
+ (xy 217.230432 77.391892)
+ (xy 217.200174 77.397911)
+ (xy 217.200172 77.397912)
+ (xy 217.188005 77.400332)
+ (xy 217.177691 77.407224)
+ (xy 217.177688 77.407225)
+ (xy 217.145765 77.428556)
+ (xy 217.135449 77.435449)
+ (xy 217.128556 77.445765)
+ (xy 217.107225 77.477688)
+ (xy 217.107224 77.477691)
+ (xy 217.100332 77.488005)
+ (xy 217.097912 77.500172)
+ (xy 217.097911 77.500174)
+ (xy 217.097003 77.504738)
+ (xy 217.088 77.55)
+ (xy 217.088 78.35)
+ (xy 217.089207 78.356067)
+ (xy 217.090387 78.362001)
+ (xy 217.084057 78.432715)
+ (xy 217.055902 78.475674)
+ (xy 215.736563 79.795014)
+ (xy 215.674251 79.829039)
+ (xy 215.618053 79.828437)
+ (xy 215.469822 79.792849)
+ (xy 215.469811 79.792847)
+ (xy 215.464357 79.791538)
+ (xy 215.379591 79.786651)
+ (xy 215.245967 79.778946)
+ (xy 215.245964 79.778946)
+ (xy 215.24036 79.778623)
+ (xy 215.017615 79.805578)
+ (xy 214.803165 79.871551)
+ (xy 214.798185 79.874121)
+ (xy 214.798181 79.874123)
+ (xy 214.654037 79.948522)
+ (xy 214.603787 79.974458)
+ (xy 214.425783 80.111045)
+ (xy 214.42201 80.115191)
+ (xy 214.422005 80.115196)
+ (xy 214.326695 80.219941)
+ (xy 214.274779 80.276996)
+ (xy 214.227705 80.352039)
+ (xy 214.168782 80.445971)
+ (xy 214.15555 80.467064)
+ (xy 214.071863 80.675242)
+ (xy 214.026364 80.894949)
+ (xy 214.026217 80.900559)
+ (xy 214.026217 80.90056)
+ (xy 214.025398 80.93182)
+ (xy 214.02049 81.119242)
+ (xy 200.092649 81.119242)
+ (xy 202.734531 78.47736)
+ (xy 208.038119 78.47736)
+ (xy 208.055048 78.77097)
+ (xy 208.055873 78.775175)
+ (xy 208.055874 78.775183)
+ (xy 208.078036 78.888144)
+ (xy 208.111668 79.059567)
+ (xy 208.113055 79.063617)
+ (xy 208.113056 79.063622)
+ (xy 208.190955 79.291144)
+ (xy 208.206932 79.337809)
+ (xy 208.339076 79.600548)
+ (xy 208.341502 79.604077)
+ (xy 208.341505 79.604083)
+ (xy 208.503224 79.839385)
+ (xy 208.505655 79.842922)
+ (xy 208.508542 79.846095)
+ (xy 208.508543 79.846096)
+ (xy 208.588621 79.934101)
+ (xy 208.703586 80.060446)
+ (xy 208.75888 80.106679)
+ (xy 208.925916 80.246343)
+ (xy 208.925921 80.246347)
+ (xy 208.929208 80.249095)
+ (xy 209.025921 80.309763)
+ (xy 209.174705 80.403095)
+ (xy 209.174709 80.403097)
+ (xy 209.178345 80.405378)
+ (xy 209.255095 80.440032)
+ (xy 209.442475 80.524638)
+ (xy 209.442479 80.52464)
+ (xy 209.446387 80.526404)
+ (xy 209.450507 80.527624)
+ (xy 209.450506 80.527624)
+ (xy 209.724261 80.608714)
+ (xy 209.724265 80.608715)
+ (xy 209.728374 80.609932)
+ (xy 209.732608 80.61058)
+ (xy 209.732613 80.610581)
+ (xy 209.961052 80.645537)
+ (xy 210.019089 80.654418)
+ (xy 210.168859 80.656771)
+ (xy 210.308859 80.65897)
+ (xy 210.308865 80.65897)
+ (xy 210.31315 80.659037)
+ (xy 210.605118 80.623705)
+ (xy 210.760447 80.582955)
+ (xy 210.885447 80.550162)
+ (xy 210.885448 80.550162)
+ (xy 210.88959 80.549075)
+ (xy 211.161301 80.436529)
+ (xy 211.415224 80.288148)
+ (xy 211.64666 80.106679)
+ (xy 211.851327 79.89548)
+ (xy 211.85386 79.892032)
+ (xy 211.853864 79.892027)
+ (xy 212.022899 79.661913)
+ (xy 212.025437 79.658458)
+ (xy 212.050581 79.612149)
+ (xy 212.163718 79.403775)
+ (xy 212.163719 79.403773)
+ (xy 212.165768 79.399999)
+ (xy 212.247258 79.184344)
+ (xy 212.268207 79.128905)
+ (xy 212.268208 79.128901)
+ (xy 212.269725 79.124887)
+ (xy 212.314841 78.927899)
+ (xy 212.334424 78.842395)
+ (xy 212.334425 78.842391)
+ (xy 212.335382 78.838211)
+ (xy 212.33822 78.806418)
+ (xy 212.361306 78.547742)
+ (xy 212.361526 78.545278)
+ (xy 212.362 78.5)
+ (xy 212.361831 78.497519)
+ (xy 212.342289 78.21086)
+ (xy 212.342288 78.210854)
+ (xy 212.341997 78.206583)
+ (xy 212.282357 77.918595)
+ (xy 212.184186 77.641366)
+ (xy 212.099705 77.477688)
+ (xy 212.051263 77.383833)
+ (xy 212.051263 77.383832)
+ (xy 212.049298 77.380026)
+ (xy 212.04419 77.372757)
+ (xy 211.958862 77.251348)
+ (xy 211.88019 77.139409)
+ (xy 211.749024 76.998258)
+ (xy 211.682912 76.927113)
+ (xy 211.682909 76.927111)
+ (xy 211.679991 76.92397)
+ (xy 211.452406 76.737694)
+ (xy 211.201646 76.584028)
+ (xy 211.197729 76.582309)
+ (xy 211.197726 76.582307)
+ (xy 211.069345 76.525952)
+ (xy 210.932351 76.465816)
+ (xy 210.928223 76.46464)
+ (xy 210.92822 76.464639)
+ (xy 210.834702 76.438)
+ (xy 210.649505 76.385245)
+ (xy 210.645263 76.384641)
+ (xy 210.645257 76.38464)
+ (xy 210.362592 76.344411)
+ (xy 210.358341 76.343806)
+ (xy 210.203328 76.342994)
+ (xy 210.068533 76.342288)
+ (xy 210.068526 76.342288)
+ (xy 210.064247 76.342266)
+ (xy 210.060002 76.342825)
+ (xy 210.06 76.342825)
+ (xy 209.994726 76.351419)
+ (xy 209.772665 76.380654)
+ (xy 209.48899 76.458258)
+ (xy 209.485042 76.459942)
+ (xy 209.222425 76.571958)
+ (xy 209.222421 76.57196)
+ (xy 209.218473 76.573644)
+ (xy 209.109394 76.638926)
+ (xy 208.969799 76.722471)
+ (xy 208.969795 76.722474)
+ (xy 208.966117 76.724675)
+ (xy 208.962774 76.727353)
+ (xy 208.96277 76.727356)
+ (xy 208.915225 76.765447)
+ (xy 208.736594 76.908558)
+ (xy 208.73365 76.91166)
+ (xy 208.733646 76.911664)
+ (xy 208.543393 77.112149)
+ (xy 208.53415 77.121889)
+ (xy 208.531651 77.125367)
+ (xy 208.53165 77.125368)
+ (xy 208.496566 77.174193)
+ (xy 208.362532 77.360722)
+ (xy 208.224915 77.620635)
+ (xy 208.22344 77.624666)
+ (xy 208.146606 77.834625)
+ (xy 208.123845 77.896821)
+ (xy 208.061193 78.184168)
+ (xy 208.060857 78.188438)
+ (xy 208.041633 78.432715)
+ (xy 208.038119 78.47736)
+ (xy 202.734531 78.47736)
+ (xy 205.210476 76.001416)
+ (xy 205.299571 75.964511)
+ (xy 211.138162 75.964511)
+ (xy 211.227257 76.001416)
+ (xy 211.808147 76.582307)
+ (xy 212.381365 77.155525)
+ (xy 212.397035 77.174618)
+ (xy 212.39749 77.175299)
+ (xy 212.397492 77.175301)
+ (xy 212.404384 77.185616)
+ (xy 212.414699 77.192508)
+ (xy 212.414701 77.19251)
+ (xy 212.447439 77.214384)
+ (xy 212.447441 77.214385)
+ (xy 212.479228 77.235625)
+ (xy 212.47923 77.235626)
+ (xy 212.489545 77.242518)
+ (xy 212.501712 77.244938)
+ (xy 212.501714 77.244939)
+ (xy 212.577829 77.260079)
+ (xy 212.59 77.2625)
+ (xy 212.602965 77.259921)
+ (xy 212.627547 77.2575)
+ (xy 214.962 77.2575)
+ (xy 215.030121 77.277502)
+ (xy 215.076614 77.331158)
+ (xy 215.088 77.3835)
+ (xy 215.088 77.4)
+ (xy 215.090417 77.412149)
+ (xy 215.097447 77.44749)
+ (xy 215.100332 77.461995)
+ (xy 215.107224 77.472309)
+ (xy 215.107225 77.472312)
+ (xy 215.128556 77.504235)
+ (xy 215.135449 77.514551)
+ (xy 215.145765 77.521444)
+ (xy 215.177688 77.542775)
+ (xy 215.177691 77.542776)
+ (xy 215.188005 77.549668)
+ (xy 215.200172 77.552088)
+ (xy 215.200174 77.552089)
+ (xy 215.220777 77.556187)
+ (xy 215.25 77.562)
+ (xy 216.15 77.562)
+ (xy 216.179223 77.556187)
+ (xy 216.199826 77.552089)
+ (xy 216.199828 77.552088)
+ (xy 216.211995 77.549668)
+ (xy 216.222309 77.542776)
+ (xy 216.222312 77.542775)
+ (xy 216.254235 77.521444)
+ (xy 216.264551 77.514551)
+ (xy 216.271444 77.504235)
+ (xy 216.292775 77.472312)
+ (xy 216.292776 77.472309)
+ (xy 216.299668 77.461995)
+ (xy 216.302554 77.44749)
+ (xy 216.309583 77.412149)
+ (xy 216.312 77.4)
+ (xy 216.312 76.6)
+ (xy 216.303835 76.558951)
+ (xy 216.302089 76.550174)
+ (xy 216.302088 76.550172)
+ (xy 216.299668 76.538005)
+ (xy 216.292776 76.527691)
+ (xy 216.292775 76.527688)
+ (xy 216.271444 76.495765)
+ (xy 216.264551 76.485449)
+ (xy 216.253717 76.47821)
+ (xy 216.222312 76.457225)
+ (xy 216.222309 76.457224)
+ (xy 216.211995 76.450332)
+ (xy 216.199828 76.447912)
+ (xy 216.199826 76.447911)
+ (xy 216.169568 76.441892)
+ (xy 216.15 76.438)
+ (xy 215.25 76.438)
+ (xy 215.230432 76.441892)
+ (xy 215.200174 76.447911)
+ (xy 215.200172 76.447912)
+ (xy 215.188005 76.450332)
+ (xy 215.177691 76.457224)
+ (xy 215.177688 76.457225)
+ (xy 215.146283 76.47821)
+ (xy 215.135449 76.485449)
+ (xy 215.128556 76.495765)
+ (xy 215.107225 76.527688)
+ (xy 215.107224 76.527691)
+ (xy 215.100332 76.538005)
+ (xy 215.097912 76.550172)
+ (xy 215.097911 76.550174)
+ (xy 215.096165 76.558951)
+ (xy 215.088 76.6)
+ (xy 215.088 76.6165)
+ (xy 215.067998 76.684621)
+ (xy 215.014342 76.731114)
+ (xy 214.962 76.7425)
+ (xy 212.74885 76.7425)
+ (xy 212.659755 76.705595)
+ (xy 211.891052 75.936892)
+ (xy 211.857026 75.87458)
+ (xy 211.862091 75.803765)
+ (xy 211.904638 75.746929)
+ (xy 211.971158 75.722118)
+ (xy 212.043716 75.739009)
+ (xy 212.202667 75.831892)
+ (xy 212.207933 75.833819)
+ (xy 212.207937 75.833821)
+ (xy 212.408106 75.907072)
+ (xy 212.408109 75.907073)
+ (xy 212.41337 75.908998)
+ (xy 212.418886 75.909961)
+ (xy 212.418891 75.909962)
+ (xy 212.588659 75.939591)
+ (xy 212.634399 75.947574)
+ (xy 212.640005 75.947545)
+ (xy 212.640009 75.947545)
+ (xy 212.743025 75.947005)
+ (xy 212.858765 75.946399)
+ (xy 212.88761 75.941053)
+ (xy 212.969071 75.925955)
+ (xy 213.079377 75.905511)
+ (xy 213.084626 75.903528)
+ (xy 213.084628 75.903527)
+ (xy 213.161769 75.874378)
+ (xy 213.289262 75.826202)
+ (xy 213.481785 75.710979)
+ (xy 213.486005 75.707297)
+ (xy 213.48601 75.707294)
+ (xy 213.646631 75.567175)
+ (xy 213.650861 75.563485)
+ (xy 213.681278 75.525518)
+ (xy 213.787641 75.392757)
+ (xy 213.787644 75.392752)
+ (xy 213.791147 75.38838)
+ (xy 213.898206 75.191201)
+ (xy 213.968657 74.978179)
+ (xy 213.970661 74.964102)
+ (xy 213.991627 74.816777)
+ (xy 214.00027 74.756048)
+ (xy 214.002 74.69)
+ (xy 213.982055 74.466519)
+ (xy 213.932566 74.285616)
+ (xy 213.924332 74.255518)
+ (xy 213.924331 74.255514)
+ (xy 213.92285 74.250102)
+ (xy 213.826256 74.04759)
+ (xy 213.822984 74.043036)
+ (xy 213.698604 73.869941)
+ (xy 213.698599 73.869936)
+ (xy 213.695328 73.865383)
+ (xy 213.534202 73.709242)
+ (xy 213.347973 73.584101)
+ (xy 213.205481 73.521551)
+ (xy 213.147669 73.496173)
+ (xy 213.147667 73.496172)
+ (xy 213.142527 73.493916)
+ (xy 212.982838 73.455578)
+ (xy 212.929814 73.442848)
+ (xy 212.929813 73.442848)
+ (xy 212.924357 73.441538)
+ (xy 212.839591 73.436651)
+ (xy 212.705967 73.428946)
+ (xy 212.705964 73.428946)
+ (xy 212.70036 73.428623)
+ (xy 212.477615 73.455578)
+ (xy 212.263165 73.521551)
+ (xy 212.258185 73.524121)
+ (xy 212.258181 73.524123)
+ (xy 212.076059 73.618124)
+ (xy 212.063787 73.624458)
+ (xy 211.885783 73.761045)
+ (xy 211.88201 73.765191)
+ (xy 211.882005 73.765196)
+ (xy 211.764806 73.893997)
+ (xy 211.734779 73.926996)
+ (xy 211.680284 74.013869)
+ (xy 211.618619 74.112172)
+ (xy 211.61555 74.117064)
+ (xy 211.531863 74.325242)
+ (xy 211.486364 74.544949)
+ (xy 211.486217 74.550559)
+ (xy 211.486217 74.55056)
+ (xy 211.485713 74.5698)
+ (xy 211.463934 74.637373)
+ (xy 211.409079 74.682445)
+ (xy 211.359756 74.6925)
+ (xy 204.943216 74.6925)
+ (xy 204.928406 74.691627)
+ (xy 204.904825 74.688836)
+ (xy 204.895472 74.687729)
+ (xy 204.836843 74.698436)
+ (xy 204.832979 74.699079)
+ (xy 204.774006 74.707946)
+ (xy 204.767398 74.711119)
+ (xy 204.760187 74.712436)
+ (xy 204.707266 74.739926)
+ (xy 204.703778 74.741669)
+ (xy 204.650036 74.767475)
+ (xy 204.644734 74.772376)
+ (xy 204.644522 74.772519)
+ (xy 204.638148 74.77583)
+ (xy 204.631422 74.781575)
+ (xy 204.592085 74.820912)
+ (xy 204.588519 74.824341)
+ (xy 204.55673 74.853727)
+ (xy 204.549051 74.860825)
+ (xy 204.54552 74.866904)
+ (xy 204.540592 74.872405)
+ (xy 200.710826 78.702172)
+ (xy 196.907403 82.505595)
+ (xy 196.818308 82.5425)
+ (xy 195.442171 82.5425)
+ (xy 195.37405 82.522498)
+ (xy 195.327557 82.468842)
+ (xy 195.317453 82.398568)
+ (xy 195.346947 82.333988)
+ (xy 195.419209 82.29261)
+ (xy 195.515656 82.274734)
+ (xy 195.619377 82.255511)
+ (xy 195.624626 82.253528)
+ (xy 195.624628 82.253527)
+ (xy 195.789719 82.191144)
+ (xy 195.829262 82.176202)
+ (xy 196.021785 82.060979)
+ (xy 196.026005 82.057297)
+ (xy 196.02601 82.057294)
+ (xy 196.186631 81.917175)
+ (xy 196.190861 81.913485)
+ (xy 196.228371 81.866665)
+ (xy 196.327641 81.742757)
+ (xy 196.327644 81.742752)
+ (xy 196.331147 81.73838)
+ (xy 196.438206 81.541201)
+ (xy 196.508657 81.328179)
+ (xy 196.513548 81.293816)
+ (xy 196.531162 81.170048)
+ (xy 196.54027 81.106048)
+ (xy 196.541495 81.059292)
+ (xy 196.541905 81.043631)
+ (xy 196.541905 81.043629)
+ (xy 196.542 81.04)
+ (xy 196.53893 81.005595)
+ (xy 196.529476 80.899668)
+ (xy 196.522055 80.816519)
+ (xy 196.48198 80.670028)
+ (xy 196.464332 80.605518)
+ (xy 196.464331 80.605514)
+ (xy 196.46285 80.600102)
+ (xy 196.366256 80.39759)
+ (xy 196.362984 80.393036)
+ (xy 196.238604 80.219941)
+ (xy 196.238599 80.219936)
+ (xy 196.235328 80.215383)
+ (xy 196.074202 80.059242)
+ (xy 195.893221 79.937628)
+ (xy 195.847839 79.883035)
+ (xy 195.8375 79.833049)
+ (xy 195.8375 75.370271)
+ (xy 197.256996 75.370271)
+ (xy 197.263275 75.442049)
+ (xy 197.264549 75.45028)
+ (xy 197.32816 75.73486)
+ (xy 197.330516 75.742864)
+ (xy 197.431205 76.016525)
+ (xy 197.434595 76.024141)
+ (xy 197.570589 76.282076)
+ (xy 197.574967 76.289192)
+ (xy 197.74388 76.526875)
+ (xy 197.749151 76.533338)
+ (xy 197.94802 76.7466)
+ (xy 197.954104 76.752312)
+ (xy 198.179425 76.937393)
+ (xy 198.186206 76.942248)
+ (xy 198.434038 77.09591)
+ (xy 198.441398 77.099823)
+ (xy 198.707364 77.219353)
+ (xy 198.715187 77.222263)
+ (xy 198.994637 77.305571)
+ (xy 199.002758 77.307416)
+ (xy 199.291433 77.353137)
+ (xy 199.298408 77.353845)
+ (xy 199.388477 77.357936)
+ (xy 199.39131 77.358)
+ (xy 199.727885 77.358)
+ (xy 199.743124 77.353525)
+ (xy 199.744329 77.352135)
+ (xy 199.746 77.344452)
+ (xy 199.746 77.339885)
+ (xy 200.253999 77.339885)
+ (xy 200.258474 77.355124)
+ (xy 200.259864 77.356329)
+ (xy 200.267547 77.358)
+ (xy 200.573181 77.358)
+ (xy 200.577373 77.357861)
+ (xy 200.795125 77.343398)
+ (xy 200.803394 77.342295)
+ (xy 201.089231 77.284659)
+ (xy 201.097292 77.282469)
+ (xy 201.372998 77.187536)
+ (xy 201.380685 77.184305)
+ (xy 201.64141 77.053743)
+ (xy 201.648619 77.049513)
+ (xy 201.889783 76.885619)
+ (xy 201.896358 76.880482)
+ (xy 202.113735 76.686125)
+ (xy 202.119575 76.680161)
+ (xy 202.309336 76.458762)
+ (xy 202.314329 76.452088)
+ (xy 202.473144 76.207536)
+ (xy 202.477218 76.200246)
+ (xy 202.602288 75.936848)
+ (xy 202.605364 75.92908)
+ (xy 202.694501 75.651449)
+ (xy 202.696518 75.64336)
+ (xy 202.745375 75.37183)
+ (xy 202.743943 75.358571)
+ (xy 202.729333 75.354)
+ (xy 200.272115 75.353999)
+ (xy 200.256876 75.358474)
+ (xy 200.255671 75.359864)
+ (xy 200.254 75.367547)
+ (xy 200.253999 77.339885)
+ (xy 199.746 77.339885)
+ (xy 199.746001 75.372115)
+ (xy 199.741526 75.356876)
+ (xy 199.740136 75.355671)
+ (xy 199.732453 75.354)
+ (xy 197.273687 75.353999)
+ (xy 197.259059 75.358294)
+ (xy 197.256996 75.370271)
+ (xy 195.8375 75.370271)
+ (xy 195.8375 74.82817)
+ (xy 197.254625 74.82817)
+ (xy 197.256057 74.841429)
+ (xy 197.270667 74.846)
+ (xy 199.727885 74.846001)
+ (xy 199.743124 74.841526)
+ (xy 199.744329 74.840136)
+ (xy 199.746 74.832453)
+ (xy 199.746001 72.860115)
+ (xy 199.741526 72.844876)
+ (xy 199.740136 72.843671)
+ (xy 199.732453 72.842)
+ (xy 199.426819 72.842)
+ (xy 199.422627 72.842139)
+ (xy 199.204875 72.856602)
+ (xy 199.196606 72.857705)
+ (xy 198.910769 72.915341)
+ (xy 198.902708 72.917531)
+ (xy 198.627002 73.012464)
+ (xy 198.619315 73.015695)
+ (xy 198.35859 73.146257)
+ (xy 198.351381 73.150487)
+ (xy 198.110217 73.314381)
+ (xy 198.103642 73.319518)
+ (xy 197.886265 73.513875)
+ (xy 197.880425 73.519839)
+ (xy 197.690664 73.741238)
+ (xy 197.685671 73.747912)
+ (xy 197.526856 73.992464)
+ (xy 197.522782 73.999754)
+ (xy 197.397712 74.263152)
+ (xy 197.394636 74.27092)
+ (xy 197.305499 74.548551)
+ (xy 197.303482 74.55664)
+ (xy 197.254625 74.82817)
+ (xy 195.8375 74.82817)
+ (xy 195.8375 70.653114)
+ (xy 195.874405 70.564018)
+ (xy 196.38952 70.048904)
+ (xy 196.478615 70.012)
+ (xy 196.65 70.012)
+ (xy 196.669568 70.008108)
+ (xy 196.699826 70.002089)
+ (xy 196.699828 70.002088)
+ (xy 196.711995 69.999668)
+ (xy 196.722309 69.992776)
+ (xy 196.722312 69.992775)
+ (xy 196.754235 69.971444)
+ (xy 196.764551 69.964551)
+ (xy 196.775348 69.948392)
+ (xy 196.792775 69.922312)
+ (xy 196.792776 69.922309)
+ (xy 196.799668 69.911995)
+ (xy 196.802434 69.898093)
+ (xy 196.808927 69.865447)
+ (xy 196.812 69.85)
+ (xy 196.812 69.05)
+ (xy 196.80618 69.020743)
+ (xy 196.802089 69.000174)
+ (xy 196.802088 69.000172)
+ (xy 196.799668 68.988005)
+ (xy 196.792776 68.977691)
+ (xy 196.792775 68.977688)
+ (xy 196.771444 68.945765)
+ (xy 196.764551 68.935449)
+ (xy 196.753467 68.928043)
+ (xy 196.722312 68.907225)
+ (xy 196.722309 68.907224)
+ (xy 196.711995 68.900332)
+ (xy 196.699828 68.897912)
+ (xy 196.699826 68.897911)
+ (xy 196.669568 68.891892)
+ (xy 196.65 68.888)
+ (xy 195.75 68.888)
+ (xy 195.730432 68.891892)
+ (xy 195.700174 68.897911)
+ (xy 195.700172 68.897912)
+ (xy 195.688005 68.900332)
+ (xy 195.677691 68.907224)
+ (xy 195.677688 68.907225)
+ (xy 195.646533 68.928043)
+ (xy 195.635449 68.935449)
+ (xy 195.628556 68.945765)
+ (xy 195.607225 68.977688)
+ (xy 195.607224 68.977691)
+ (xy 195.600332 68.988005)
+ (xy 195.597912 69.000172)
+ (xy 195.597911 69.000174)
+ (xy 195.59382 69.020743)
+ (xy 195.588 69.05)
+ (xy 195.588 69.221385)
+ (xy 195.551096 69.31048)
+ (xy 194.892477 69.9691)
+ (xy 194.888667 69.972754)
+ (xy 194.846586 70.011449)
+ (xy 194.84206 70.018749)
+ (xy 194.842059 70.01875)
+ (xy 194.823332 70.048953)
+ (xy 194.81661 70.058734)
+ (xy 194.789943 70.093867)
+ (xy 194.786781 70.101853)
+ (xy 194.784287 70.108151)
+ (xy 194.774227 70.128154)
+ (xy 194.766131 70.141212)
+ (xy 194.763734 70.149463)
+ (xy 194.763732 70.149467)
+ (xy 194.75382 70.183583)
+ (xy 194.749976 70.19481)
+ (xy 194.736901 70.227835)
+ (xy 194.7369 70.227841)
+ (xy 194.733738 70.235826)
+ (xy 194.732841 70.244365)
+ (xy 194.73284 70.244367)
+ (xy 194.732133 70.251097)
+ (xy 194.72782 70.273076)
+ (xy 194.723534 70.287829)
+ (xy 194.723051 70.294411)
+ (xy 194.72305 70.294415)
+ (xy 194.722824 70.297502)
+ (xy 194.7225 70.30191)
+ (xy 194.7225 70.336137)
+ (xy 194.72181 70.349307)
+ (xy 194.717778 70.387668)
+ (xy 194.720564 70.404137)
+ (xy 194.720736 70.405156)
+ (xy 194.7225 70.426169)
+ (xy 194.7225 70.489074)
+ (xy 194.702498 70.557195)
+ (xy 194.648842 70.603688)
+ (xy 194.578568 70.613792)
+ (xy 194.513988 70.584298)
+ (xy 194.481618 70.540824)
+ (xy 194.476092 70.528556)
+ (xy 194.390078 70.337611)
+ (xy 194.261458 70.146566)
+ (xy 194.251594 70.136225)
+ (xy 194.106175 69.983786)
+ (xy 194.102489 69.979922)
+ (xy 194.095138 69.974452)
+ (xy 193.947424 69.864551)
+ (xy 193.917714 69.842446)
+ (xy 193.712417 69.738068)
+ (xy 193.49247 69.669772)
+ (xy 193.487181 69.669071)
+ (xy 193.269444 69.640212)
+ (xy 193.269439 69.640212)
+ (xy 193.264159 69.639512)
+ (xy 193.25883 69.639712)
+ (xy 193.258828 69.639712)
+ (xy 193.149087 69.643832)
+ (xy 193.034014 69.648152)
+ (xy 192.808615 69.695446)
+ (xy 192.803659 69.697403)
+ (xy 192.803653 69.697405)
+ (xy 192.674988 69.748218)
+ (xy 192.594407 69.780041)
+ (xy 192.397515 69.899518)
+ (xy 192.393485 69.903015)
+ (xy 192.247656 70.029559)
+ (xy 192.223568 70.050461)
+ (xy 192.212122 70.06442)
+ (xy 192.080924 70.224427)
+ (xy 192.08092 70.224433)
+ (xy 192.07754 70.228555)
+ (xy 192.074901 70.233191)
+ (xy 192.074899 70.233194)
+ (xy 191.98846 70.385045)
+ (xy 191.963607 70.428706)
+ (xy 191.885026 70.645193)
+ (xy 191.884077 70.650442)
+ (xy 191.884076 70.650445)
+ (xy 191.845641 70.863)
+ (xy 191.844045 70.871824)
+ (xy 191.843281 70.951427)
+ (xy 191.841995 71.085387)
+ (xy 191.841834 71.102121)
+ (xy 191.842683 71.107391)
+ (xy 191.842683 71.107393)
+ (xy 191.875752 71.312702)
+ (xy 191.878457 71.329498)
+ (xy 191.880182 71.33455)
+ (xy 191.880182 71.334551)
+ (xy 191.8881 71.357744)
+ (xy 191.952868 71.547453)
+ (xy 192.062937 71.749755)
+ (xy 192.066235 71.753939)
+ (xy 192.066238 71.753943)
+ (xy 192.202216 71.92643)
+ (xy 192.20222 71.926434)
+ (xy 192.205519 71.930619)
+ (xy 192.209479 71.934191)
+ (xy 192.20948 71.934192)
+ (xy 192.233248 71.95563)
+ (xy 192.376536 72.084874)
+ (xy 192.465106 72.140974)
+ (xy 192.566583 72.205249)
+ (xy 192.571098 72.208109)
+ (xy 192.576025 72.210165)
+ (xy 192.576027 72.210166)
+ (xy 192.776626 72.293872)
+ (xy 192.783643 72.2968)
+ (xy 192.788847 72.297997)
+ (xy 192.788848 72.297997)
+ (xy 193.00289 72.347216)
+ (xy 193.002895 72.347217)
+ (xy 193.008093 72.348412)
+ (xy 193.013421 72.348715)
+ (xy 193.013424 72.348715)
+ (xy 193.171689 72.357702)
+ (xy 193.238029 72.361469)
+ (xy 193.243336 72.360869)
+ (xy 193.243338 72.360869)
+ (xy 193.38152 72.345247)
+ (xy 193.466879 72.335597)
+ (xy 193.471994 72.334116)
+ (xy 193.471998 72.334115)
+ (xy 193.587164 72.300765)
+ (xy 193.688097 72.271537)
+ (xy 193.895359 72.171119)
+ (xy 193.968295 72.118998)
+ (xy 194.078393 72.040321)
+ (xy 194.078395 72.040319)
+ (xy 194.082739 72.037215)
+ (xy 194.194096 71.924882)
+ (xy 194.241126 71.87744)
+ (xy 194.241127 71.877439)
+ (xy 194.244879 71.873654)
+ (xy 194.36557 71.701609)
+ (xy 194.374078 71.689481)
+ (xy 194.374079 71.689479)
+ (xy 194.377142 71.685113)
+ (xy 194.475748 71.476982)
+ (xy 194.477189 71.471838)
+ (xy 194.478479 71.468388)
+ (xy 194.521071 71.411586)
+ (xy 194.58761 71.386828)
+ (xy 194.656973 71.401974)
+ (xy 194.707135 71.452216)
+ (xy 194.7225 71.512514)
+ (xy 194.722501 79.836425)
+ (xy 194.702499 79.904546)
+ (xy 194.654291 79.948391)
+ (xy 194.603787 79.974458)
+ (xy 194.425783 80.111045)
+ (xy 194.42201 80.115191)
+ (xy 194.422005 80.115196)
+ (xy 194.326695 80.219941)
+ (xy 194.274779 80.276996)
+ (xy 194.227705 80.352039)
+ (xy 194.168782 80.445971)
+ (xy 194.15555 80.467064)
+ (xy 194.071863 80.675242)
+ (xy 194.026364 80.894949)
+ (xy 194.026217 80.900559)
+ (xy 194.026217 80.90056)
+ (xy 194.025398 80.93182)
+ (xy 194.02049 81.119242)
+ (xy 194.03313 81.201845)
+ (xy 194.052463 81.328179)
+ (xy 194.054429 81.341029)
+ (xy 194.127106 81.553302)
+ (xy 194.236224 81.749349)
+ (xy 194.239776 81.753689)
+ (xy 194.239779 81.753693)
+ (xy 194.320652 81.8525)
+ (xy 194.378335 81.922975)
+ (xy 194.398302 81.940028)
+ (xy 194.544682 82.065049)
+ (xy 194.544686 82.065052)
+ (xy 194.548947 82.068691)
+ (xy 194.742667 82.181892)
+ (xy 194.747933 82.183819)
+ (xy 194.747937 82.183821)
+ (xy 194.948106 82.257072)
+ (xy 194.948109 82.257073)
+ (xy 194.95337 82.258998)
+ (xy 194.958886 82.259961)
+ (xy 194.958891 82.259962)
+ (xy 195.144616 82.292376)
+ (xy 195.208284 82.323792)
+ (xy 195.244859 82.384643)
+ (xy 195.24273 82.455607)
+ (xy 195.202573 82.514156)
+ (xy 195.122953 82.5425)
+ (xy 194.131694 82.5425)
+ (xy 194.042599 82.505596)
+ (xy 193.674405 82.137403)
+ (xy 193.6375 82.048307)
+ (xy 193.6375 76.718851)
+ (xy 193.638373 76.704042)
+ (xy 193.641164 76.680459)
+ (xy 193.642271 76.671106)
+ (xy 193.638046 76.647972)
+ (xy 193.631568 76.6125)
+ (xy 193.630918 76.608596)
+ (xy 193.623454 76.558951)
+ (xy 193.623454 76.55895)
+ (xy 193.622054 76.54964)
+ (xy 193.618881 76.543032)
+ (xy 193.617564 76.535822)
+ (xy 193.613223 76.527465)
+ (xy 193.613221 76.527459)
+ (xy 193.590088 76.482926)
+ (xy 193.588319 76.479386)
+ (xy 193.587921 76.478556)
+ (xy 193.577122 76.456067)
+ (xy 193.566603 76.434161)
+ (xy 193.566601 76.434158)
+ (xy 193.562525 76.42567)
+ (xy 193.55762 76.420364)
+ (xy 193.557482 76.420159)
+ (xy 193.55417 76.413783)
+ (xy 193.548425 76.407057)
+ (xy 193.5091 76.367732)
+ (xy 193.505671 76.364166)
+ (xy 193.47557 76.331603)
+ (xy 193.469175 76.324685)
+ (xy 193.463095 76.321154)
+ (xy 193.457592 76.316224)
+ (xy 193.234405 76.093037)
+ (xy 193.1975 76.003942)
+ (xy 193.1975 75.94796)
+ (xy 193.217502 75.879839)
+ (xy 193.278963 75.830094)
+ (xy 193.284012 75.828186)
+ (xy 193.284014 75.828185)
+ (xy 193.289262 75.826202)
+ (xy 193.481785 75.710979)
+ (xy 193.486005 75.707297)
+ (xy 193.48601 75.707294)
+ (xy 193.646631 75.567175)
+ (xy 193.650861 75.563485)
+ (xy 193.681278 75.525518)
+ (xy 193.787641 75.392757)
+ (xy 193.787644 75.392752)
+ (xy 193.791147 75.38838)
+ (xy 193.898206 75.191201)
+ (xy 193.968657 74.978179)
+ (xy 193.970661 74.964102)
+ (xy 193.991627 74.816777)
+ (xy 194.00027 74.756048)
+ (xy 194.002 74.69)
+ (xy 193.982055 74.466519)
+ (xy 193.932566 74.285616)
+ (xy 193.924332 74.255518)
+ (xy 193.924331 74.255514)
+ (xy 193.92285 74.250102)
+ (xy 193.826256 74.04759)
+ (xy 193.822984 74.043036)
+ (xy 193.698604 73.869941)
+ (xy 193.698599 73.869936)
+ (xy 193.695328 73.865383)
+ (xy 193.534202 73.709242)
+ (xy 193.347973 73.584101)
+ (xy 193.205481 73.521551)
+ (xy 193.147669 73.496173)
+ (xy 193.147667 73.496172)
+ (xy 193.142527 73.493916)
+ (xy 192.982838 73.455578)
+ (xy 192.929814 73.442848)
+ (xy 192.929813 73.442848)
+ (xy 192.924357 73.441538)
+ (xy 192.839591 73.436651)
+ (xy 192.705967 73.428946)
+ (xy 192.705964 73.428946)
+ (xy 192.70036 73.428623)
+ (xy 192.477615 73.455578)
+ (xy 192.263165 73.521551)
+ (xy 192.258185 73.524121)
+ (xy 192.258181 73.524123)
+ (xy 192.076059 73.618124)
+ (xy 192.063787 73.624458)
+ (xy 191.885783 73.761045)
+ (xy 191.88201 73.765191)
+ (xy 191.882005 73.765196)
+ (xy 191.764806 73.893997)
+ (xy 191.734779 73.926996)
+ (xy 191.680284 74.013869)
+ (xy 191.618619 74.112172)
+ (xy 191.61555 74.117064)
+ (xy 191.531863 74.325242)
+ (xy 191.486364 74.544949)
+ (xy 191.486217 74.550559)
+ (xy 191.486217 74.55056)
+ (xy 191.481523 74.729799)
+ (xy 191.459744 74.797372)
+ (xy 191.40489 74.842445)
+ (xy 191.355566 74.8525)
+ (xy 188.673217 74.8525)
+ (xy 188.658408 74.851627)
+ (xy 188.634825 74.848836)
+ (xy 188.625472 74.847729)
+ (xy 188.616208 74.849421)
+ (xy 188.616207 74.849421)
+ (xy 188.566866 74.858432)
+ (xy 188.562962 74.859082)
+ (xy 188.513317 74.866546)
+ (xy 188.513316 74.866546)
+ (xy 188.504006 74.867946)
+ (xy 188.497398 74.871119)
+ (xy 188.490188 74.872436)
+ (xy 188.481831 74.876777)
+ (xy 188.481825 74.876779)
+ (xy 188.437292 74.899912)
+ (xy 188.433765 74.901675)
+ (xy 188.425601 74.905595)
+ (xy 188.388527 74.923397)
+ (xy 188.388524 74.923399)
+ (xy 188.380036 74.927475)
+ (xy 188.37473 74.93238)
+ (xy 188.374525 74.932518)
+ (xy 188.368149 74.93583)
+ (xy 188.361423 74.941575)
+ (xy 188.322098 74.9809)
+ (xy 188.318532 74.984329)
+ (xy 188.279051 75.020825)
+ (xy 188.27552 75.026905)
+ (xy 188.27059 75.032408)
+ (xy 186.349997 76.953001)
+ (xy 186.338908 76.962856)
+ (xy 186.312852 76.983397)
+ (xy 186.307498 76.991144)
+ (xy 186.307496 76.991146)
+ (xy 186.278955 77.032443)
+ (xy 186.276684 77.035622)
+ (xy 186.241259 77.083583)
+ (xy 186.238831 77.090497)
+ (xy 186.234662 77.096529)
+ (xy 186.23182 77.105516)
+ (xy 186.216693 77.153347)
+ (xy 186.21544 77.157103)
+ (xy 186.205427 77.185616)
+ (xy 186.195692 77.213337)
+ (xy 186.195408 77.220551)
+ (xy 186.195359 77.220802)
+ (xy 186.193194 77.22765)
+ (xy 186.1925 77.236468)
+ (xy 186.1925 77.2921)
+ (xy 186.192403 77.297047)
+ (xy 186.190293 77.350751)
+ (xy 186.192094 77.357545)
+ (xy 186.1925 77.364917)
+ (xy 186.192501 78.678307)
+ (xy 186.155596 78.767402)
+ (xy 183.917403 81.005595)
+ (xy 183.828308 81.0425)
+ (xy 183.471379 81.0425)
+ (xy 183.403258 81.022498)
+ (xy 183.356765 80.968842)
+ (xy 183.346661 80.898568)
+ (xy 183.366614 80.846499)
+ (xy 183.382773 80.822315)
+ (xy 183.382774 80.822312)
+ (xy 183.389668 80.811995)
+ (xy 183.402 80.75)
+ (xy 183.402 77.75)
+ (xy 183.389668 77.688005)
+ (xy 183.382776 77.677691)
+ (xy 183.382775 77.677688)
+ (xy 183.361444 77.645765)
+ (xy 183.354551 77.635449)
+ (xy 183.338413 77.624666)
+ (xy 183.312312 77.607225)
+ (xy 183.312309 77.607224)
+ (xy 183.301995 77.600332)
+ (xy 183.289828 77.597912)
+ (xy 183.289826 77.597911)
+ (xy 183.24 77.588)
+ (xy 183.240267 77.586657)
+ (xy 183.180917 77.562692)
+ (xy 183.139907 77.504738)
+ (xy 183.13674 77.433812)
+ (xy 183.16793 77.376909)
+ (xy 183.171891 77.372757)
+ (xy 183.178394 77.36594)
+ (xy 183.229328 77.264006)
+ (xy 183.235653 77.251348)
+ (xy 183.235653 77.251347)
+ (xy 183.239864 77.24292)
+ (xy 183.242897 77.224701)
+ (xy 183.25441 77.155525)
+ (xy 183.262443 77.107265)
+ (xy 183.2625 77.1)
+ (xy 183.247204 76.998258)
+ (xy 183.243454 76.973316)
+ (xy 183.243453 76.973314)
+ (xy 183.242054 76.964006)
+ (xy 183.182525 76.840036)
+ (xy 183.10499 76.756159)
+ (xy 183.095572 76.745971)
+ (xy 183.095571 76.74597)
+ (xy 183.089175 76.739051)
+ (xy 183.081031 76.73432)
+ (xy 183.081029 76.734319)
+ (xy 182.978399 76.674706)
+ (xy 182.978395 76.674704)
+ (xy 182.970258 76.669978)
+ (xy 182.925023 76.659493)
+ (xy 182.845465 76.641053)
+ (xy 182.845463 76.641053)
+ (xy 182.836287 76.638926)
+ (xy 182.826891 76.639591)
+ (xy 182.826888 76.639591)
+ (xy 182.708511 76.647972)
+ (xy 182.708509 76.647973)
+ (xy 182.699109 76.648638)
+ (xy 182.57085 76.698258)
+ (xy 182.462852 76.783397)
+ (xy 182.384662 76.896528)
+ (xy 182.381823 76.905505)
+ (xy 182.381822 76.905507)
+ (xy 182.362105 76.967852)
+ (xy 182.343194 77.027649)
+ (xy 182.342114 77.165167)
+ (xy 182.344813 77.174191)
+ (xy 182.344813 77.174193)
+ (xy 182.357778 77.217545)
+ (xy 182.381517 77.296923)
+ (xy 182.386748 77.304752)
+ (xy 182.386751 77.304758)
+ (xy 182.445044 77.391998)
+ (xy 182.466259 77.45975)
+ (xy 182.447476 77.528217)
+ (xy 182.394659 77.575661)
+ (xy 182.340279 77.588)
+ (xy 182.24 77.588)
+ (xy 182.220432 77.591892)
+ (xy 182.190174 77.597911)
+ (xy 182.190172 77.597912)
+ (xy 182.178005 77.600332)
+ (xy 182.167691 77.607224)
+ (xy 182.167688 77.607225)
+ (xy 182.141587 77.624666)
+ (xy 182.125449 77.635449)
+ (xy 182.118556 77.645765)
+ (xy 182.097225 77.677688)
+ (xy 182.097224 77.677691)
+ (xy 182.090332 77.688005)
+ (xy 182.078 77.75)
+ (xy 182.078 80.75)
+ (xy 182.090332 80.811995)
+ (xy 182.097226 80.822312)
+ (xy 182.097227 80.822315)
+ (xy 182.113386 80.846499)
+ (xy 182.134601 80.914251)
+ (xy 182.115818 80.982718)
+ (xy 182.063 81.030161)
+ (xy 182.008621 81.0425)
+ (xy 180.931379 81.0425)
+ (xy 180.863258 81.022498)
+ (xy 180.816765 80.968842)
+ (xy 180.806661 80.898568)
+ (xy 180.826614 80.846499)
+ (xy 180.842773 80.822315)
+ (xy 180.842774 80.822312)
+ (xy 180.849668 80.811995)
+ (xy 180.862 80.75)
+ (xy 180.862 80.697569)
+ (xy 180.882002 80.629448)
+ (xy 180.935658 80.582955)
+ (xy 181.005932 80.572851)
+ (xy 181.05214 80.589116)
+ (xy 181.057263 80.592145)
+ (xy 181.064568 80.598093)
+ (xy 181.192032 80.649721)
+ (xy 181.201417 80.650534)
+ (xy 181.201418 80.650534)
+ (xy 181.319661 80.660775)
+ (xy 181.319664 80.660775)
+ (xy 181.329041 80.661587)
+ (xy 181.338242 80.659606)
+ (xy 181.338244 80.659606)
+ (xy 181.454278 80.634625)
+ (xy 181.454281 80.634624)
+ (xy 181.463482 80.632643)
+ (xy 181.471694 80.628044)
+ (xy 181.471697 80.628043)
+ (xy 181.575254 80.570048)
+ (xy 181.58347 80.565447)
+ (xy 181.678394 80.46594)
+ (xy 181.727406 80.367852)
+ (xy 181.735653 80.351348)
+ (xy 181.735653 80.351347)
+ (xy 181.739864 80.34292)
+ (xy 181.762443 80.207265)
+ (xy 181.7625 80.2)
+ (xy 181.742054 80.064006)
+ (xy 181.682525 79.940036)
+ (xy 181.60624 79.857512)
+ (xy 181.595572 79.845971)
+ (xy 181.595571 79.84597)
+ (xy 181.589175 79.839051)
+ (xy 181.581031 79.83432)
+ (xy 181.581029 79.834319)
+ (xy 181.478399 79.774706)
+ (xy 181.478395 79.774704)
+ (xy 181.470258 79.769978)
+ (xy 181.378189 79.748638)
+ (xy 181.345465 79.741053)
+ (xy 181.345463 79.741053)
+ (xy 181.336287 79.738926)
+ (xy 181.326891 79.739591)
+ (xy 181.326888 79.739591)
+ (xy 181.208511 79.747972)
+ (xy 181.208509 79.747973)
+ (xy 181.199109 79.748638)
+ (xy 181.07085 79.798258)
+ (xy 181.063451 79.804091)
+ (xy 181.05527 79.808757)
+ (xy 181.054171 79.80683)
+ (xy 181.000131 79.828542)
+ (xy 180.930401 79.815191)
+ (xy 180.878958 79.766261)
+ (xy 180.862 79.703127)
+ (xy 180.862 77.75)
+ (xy 180.849668 77.688005)
+ (xy 180.842776 77.677691)
+ (xy 180.842775 77.677688)
+ (xy 180.821444 77.645765)
+ (xy 180.814551 77.635449)
+ (xy 180.798413 77.624666)
+ (xy 180.772312 77.607225)
+ (xy 180.772309 77.607224)
+ (xy 180.761995 77.600332)
+ (xy 180.749828 77.597912)
+ (xy 180.749826 77.597911)
+ (xy 180.719568 77.591892)
+ (xy 180.7 77.588)
+ (xy 180.4835 77.588)
+ (xy 180.415379 77.567998)
+ (xy 180.368886 77.514342)
+ (xy 180.3575 77.462)
+ (xy 180.3575 76.84313)
+ (xy 180.39233 76.756159)
+ (xy 180.412081 76.735455)
+ (xy 180.478394 76.66594)
+ (xy 180.524512 76.573644)
+ (xy 180.535653 76.551348)
+ (xy 180.535653 76.551347)
+ (xy 180.539864 76.54292)
+ (xy 180.562443 76.407265)
+ (xy 180.5625 76.4)
+ (xy 180.542054 76.264006)
+ (xy 180.482525 76.140036)
+ (xy 180.389175 76.039051)
+ (xy 180.381031 76.03432)
+ (xy 180.381029 76.034319)
+ (xy 180.278399 75.974706)
+ (xy 180.278395 75.974704)
+ (xy 180.270258 75.969978)
+ (xy 180.225023 75.959493)
+ (xy 180.145465 75.941053)
+ (xy 180.145463 75.941053)
+ (xy 180.136287 75.938926)
+ (xy 180.126891 75.939591)
+ (xy 180.126888 75.939591)
+ (xy 180.008511 75.947972)
+ (xy 180.008509 75.947973)
+ (xy 179.999109 75.948638)
+ (xy 179.87085 75.998258)
+ (xy 179.762852 76.083397)
+ (xy 179.684662 76.196528)
+ (xy 179.681823 76.205505)
+ (xy 179.681822 76.205507)
+ (xy 179.662585 76.266335)
+ (xy 179.643194 76.327649)
+ (xy 179.642114 76.465167)
+ (xy 179.644813 76.474191)
+ (xy 179.644813 76.474193)
+ (xy 179.667536 76.550174)
+ (xy 179.681517 76.596923)
+ (xy 179.693626 76.615045)
+ (xy 179.749228 76.698258)
+ (xy 179.757921 76.711268)
+ (xy 179.765222 76.717212)
+ (xy 179.765223 76.717213)
+ (xy 179.796051 76.742311)
+ (xy 179.83625 76.800831)
+ (xy 179.8425 76.840023)
+ (xy 179.8425 77.462)
+ (xy 179.822498 77.530121)
+ (xy 179.768842 77.576614)
+ (xy 179.7165 77.588)
+ (xy 179.7 77.588)
+ (xy 179.680432 77.591892)
+ (xy 179.650174 77.597911)
+ (xy 179.650172 77.597912)
+ (xy 179.638005 77.600332)
+ (xy 179.627691 77.607224)
+ (xy 179.627688 77.607225)
+ (xy 179.601587 77.624666)
+ (xy 179.585449 77.635449)
+ (xy 179.578556 77.645765)
+ (xy 179.557225 77.677688)
+ (xy 179.557224 77.677691)
+ (xy 179.550332 77.688005)
+ (xy 179.538 77.75)
+ (xy 179.538 80.75)
+ (xy 179.550332 80.811995)
+ (xy 179.557226 80.822312)
+ (xy 179.557227 80.822315)
+ (xy 179.573386 80.846499)
+ (xy 179.594601 80.914251)
+ (xy 179.575818 80.982718)
+ (xy 179.523 81.030161)
+ (xy 179.468621 81.0425)
+ (xy 178.391379 81.0425)
+ (xy 178.323258 81.022498)
+ (xy 178.276765 80.968842)
+ (xy 178.266661 80.898568)
+ (xy 178.286614 80.846499)
+ (xy 178.302773 80.822315)
+ (xy 178.302774 80.822312)
+ (xy 178.309668 80.811995)
+ (xy 178.322 80.75)
+ (xy 178.322 77.96729)
+ (xy 178.342002 77.899169)
+ (xy 178.395658 77.852676)
+ (xy 178.477414 77.844771)
+ (xy 178.4833 77.846184)
+ (xy 178.492032 77.849721)
+ (xy 178.501417 77.850534)
+ (xy 178.501418 77.850534)
+ (xy 178.619661 77.860775)
+ (xy 178.619664 77.860775)
+ (xy 178.629041 77.861587)
+ (xy 178.638242 77.859606)
+ (xy 178.638244 77.859606)
+ (xy 178.754278 77.834625)
+ (xy 178.754281 77.834624)
+ (xy 178.763482 77.832643)
+ (xy 178.771694 77.828044)
+ (xy 178.771697 77.828043)
+ (xy 178.875254 77.770048)
+ (xy 178.88347 77.765447)
+ (xy 178.978394 77.66594)
+ (xy 179.027333 77.567998)
+ (xy 179.035653 77.551348)
+ (xy 179.035653 77.551347)
+ (xy 179.039864 77.54292)
+ (xy 179.062443 77.407265)
+ (xy 179.0625 77.4)
+ (xy 179.050518 77.320303)
+ (xy 179.043454 77.273316)
+ (xy 179.043453 77.273314)
+ (xy 179.042054 77.264006)
+ (xy 179.004412 77.185616)
+ (xy 178.9866 77.148522)
+ (xy 178.9866 77.148521)
+ (xy 178.982525 77.140036)
+ (xy 178.889175 77.039051)
+ (xy 178.881031 77.03432)
+ (xy 178.881029 77.034319)
+ (xy 178.778399 76.974706)
+ (xy 178.778395 76.974704)
+ (xy 178.770258 76.969978)
+ (xy 178.719702 76.95826)
+ (xy 178.645465 76.941053)
+ (xy 178.645463 76.941053)
+ (xy 178.636287 76.938926)
+ (xy 178.626891 76.939591)
+ (xy 178.626888 76.939591)
+ (xy 178.508511 76.947972)
+ (xy 178.508509 76.947973)
+ (xy 178.499109 76.948638)
+ (xy 178.37085 76.998258)
+ (xy 178.262852 77.083397)
+ (xy 178.257495 77.091148)
+ (xy 178.251051 77.09801)
+ (xy 178.249351 77.096413)
+ (xy 178.204397 77.132803)
+ (xy 178.155923 77.1425)
+ (xy 177.937547 77.1425)
+ (xy 177.912965 77.140079)
+ (xy 177.912171 77.139921)
+ (xy 177.912169 77.139921)
+ (xy 177.899999 77.1375)
+ (xy 177.874864 77.1425)
+ (xy 177.811716 77.155061)
+ (xy 177.811715 77.155061)
+ (xy 177.799546 77.157482)
+ (xy 177.789228 77.164376)
+ (xy 177.77288 77.175299)
+ (xy 177.714384 77.214384)
+ (xy 177.707492 77.224699)
+ (xy 177.70749 77.224701)
+ (xy 177.707037 77.225379)
+ (xy 177.691368 77.244472)
+ (xy 177.504473 77.431368)
+ (xy 177.485377 77.447039)
+ (xy 177.474384 77.454384)
+ (xy 177.422523 77.532003)
+ (xy 177.36805 77.577529)
+ (xy 177.317759 77.588)
+ (xy 177.16 77.588)
+ (xy 177.140432 77.591892)
+ (xy 177.110174 77.597911)
+ (xy 177.110172 77.597912)
+ (xy 177.098005 77.600332)
+ (xy 177.087691 77.607224)
+ (xy 177.087688 77.607225)
+ (xy 177.061587 77.624666)
+ (xy 177.045449 77.635449)
+ (xy 177.038556 77.645765)
+ (xy 177.017225 77.677688)
+ (xy 177.017224 77.677691)
+ (xy 177.010332 77.688005)
+ (xy 176.998 77.75)
+ (xy 176.998 80.75)
+ (xy 177.010332 80.811995)
+ (xy 177.017226 80.822312)
+ (xy 177.017227 80.822315)
+ (xy 177.033386 80.846499)
+ (xy 177.054601 80.914251)
+ (xy 177.035818 80.982718)
+ (xy 176.983 81.030161)
+ (xy 176.928621 81.0425)
+ (xy 168.503217 81.0425)
+ (xy 168.488408 81.041627)
+ (xy 168.478977 81.040511)
+ (xy 168.455472 81.037729)
+ (xy 168.446208 81.039421)
+ (xy 168.446207 81.039421)
+ (xy 168.396866 81.048432)
+ (xy 168.392962 81.049082)
+ (xy 168.343317 81.056546)
+ (xy 168.343316 81.056546)
+ (xy 168.334006 81.057946)
+ (xy 168.327398 81.061119)
+ (xy 168.320188 81.062436)
+ (xy 168.311831 81.066777)
+ (xy 168.311825 81.066779)
+ (xy 168.267292 81.089912)
+ (xy 168.263765 81.091675)
+ (xy 168.258079 81.094405)
+ (xy 168.220176 81.112606)
+ (xy 168.210036 81.117475)
+ (xy 168.209869 81.117629)
+ (xy 168.146901 81.137887)
+ (xy 168.093021 81.127302)
+ (xy 168.067669 81.116173)
+ (xy 168.067667 81.116172)
+ (xy 168.062527 81.113916)
+ (xy 167.902838 81.075578)
+ (xy 167.849814 81.062848)
+ (xy 167.849813 81.062848)
+ (xy 167.844357 81.061538)
+ (xy 167.776246 81.057611)
+ (xy 167.709391 81.033721)
+ (xy 167.666064 80.977478)
+ (xy 167.6575 80.93182)
+ (xy 167.6575 78.47736)
+ (xy 168.038119 78.47736)
+ (xy 168.055048 78.77097)
+ (xy 168.055873 78.775175)
+ (xy 168.055874 78.775183)
+ (xy 168.078036 78.888144)
+ (xy 168.111668 79.059567)
+ (xy 168.113055 79.063617)
+ (xy 168.113056 79.063622)
+ (xy 168.190955 79.291144)
+ (xy 168.206932 79.337809)
+ (xy 168.339076 79.600548)
+ (xy 168.341502 79.604077)
+ (xy 168.341505 79.604083)
+ (xy 168.503224 79.839385)
+ (xy 168.505655 79.842922)
+ (xy 168.508542 79.846095)
+ (xy 168.508543 79.846096)
+ (xy 168.588621 79.934101)
+ (xy 168.703586 80.060446)
+ (xy 168.75888 80.106679)
+ (xy 168.925916 80.246343)
+ (xy 168.925921 80.246347)
+ (xy 168.929208 80.249095)
+ (xy 169.025921 80.309763)
+ (xy 169.174705 80.403095)
+ (xy 169.174709 80.403097)
+ (xy 169.178345 80.405378)
+ (xy 169.255095 80.440032)
+ (xy 169.442475 80.524638)
+ (xy 169.442479 80.52464)
+ (xy 169.446387 80.526404)
+ (xy 169.450507 80.527624)
+ (xy 169.450506 80.527624)
+ (xy 169.724261 80.608714)
+ (xy 169.724265 80.608715)
+ (xy 169.728374 80.609932)
+ (xy 169.732608 80.61058)
+ (xy 169.732613 80.610581)
+ (xy 169.961052 80.645537)
+ (xy 170.019089 80.654418)
+ (xy 170.168859 80.656771)
+ (xy 170.308859 80.65897)
+ (xy 170.308865 80.65897)
+ (xy 170.31315 80.659037)
+ (xy 170.605118 80.623705)
+ (xy 170.760447 80.582955)
+ (xy 170.885447 80.550162)
+ (xy 170.885448 80.550162)
+ (xy 170.88959 80.549075)
+ (xy 171.161301 80.436529)
+ (xy 171.415224 80.288148)
+ (xy 171.64666 80.106679)
+ (xy 171.851327 79.89548)
+ (xy 171.85386 79.892032)
+ (xy 171.853864 79.892027)
+ (xy 172.022899 79.661913)
+ (xy 172.025437 79.658458)
+ (xy 172.050581 79.612149)
+ (xy 172.163718 79.403775)
+ (xy 172.163719 79.403773)
+ (xy 172.165768 79.399999)
+ (xy 172.247258 79.184344)
+ (xy 172.268207 79.128905)
+ (xy 172.268208 79.128901)
+ (xy 172.269725 79.124887)
+ (xy 172.314841 78.927899)
+ (xy 172.334424 78.842395)
+ (xy 172.334425 78.842391)
+ (xy 172.335382 78.838211)
+ (xy 172.33822 78.806418)
+ (xy 172.361306 78.547742)
+ (xy 172.361526 78.545278)
+ (xy 172.362 78.5)
+ (xy 172.361831 78.497519)
+ (xy 172.342289 78.21086)
+ (xy 172.342288 78.210854)
+ (xy 172.341997 78.206583)
+ (xy 172.282357 77.918595)
+ (xy 172.184186 77.641366)
+ (xy 172.099705 77.477688)
+ (xy 172.051263 77.383833)
+ (xy 172.051263 77.383832)
+ (xy 172.049298 77.380026)
+ (xy 172.04419 77.372757)
+ (xy 171.958862 77.251348)
+ (xy 171.88019 77.139409)
+ (xy 171.749024 76.998258)
+ (xy 171.682912 76.927113)
+ (xy 171.682909 76.927111)
+ (xy 171.679991 76.92397)
+ (xy 171.452406 76.737694)
+ (xy 171.201646 76.584028)
+ (xy 171.197729 76.582309)
+ (xy 171.197726 76.582307)
+ (xy 171.069345 76.525952)
+ (xy 170.932351 76.465816)
+ (xy 170.928223 76.46464)
+ (xy 170.92822 76.464639)
+ (xy 170.834702 76.438)
+ (xy 170.649505 76.385245)
+ (xy 170.645263 76.384641)
+ (xy 170.645257 76.38464)
+ (xy 170.362592 76.344411)
+ (xy 170.358341 76.343806)
+ (xy 170.203328 76.342994)
+ (xy 170.068533 76.342288)
+ (xy 170.068526 76.342288)
+ (xy 170.064247 76.342266)
+ (xy 170.060002 76.342825)
+ (xy 170.06 76.342825)
+ (xy 169.994726 76.351419)
+ (xy 169.772665 76.380654)
+ (xy 169.48899 76.458258)
+ (xy 169.485042 76.459942)
+ (xy 169.222425 76.571958)
+ (xy 169.222421 76.57196)
+ (xy 169.218473 76.573644)
+ (xy 169.109394 76.638926)
+ (xy 168.969799 76.722471)
+ (xy 168.969795 76.722474)
+ (xy 168.966117 76.724675)
+ (xy 168.962774 76.727353)
+ (xy 168.96277 76.727356)
+ (xy 168.915225 76.765447)
+ (xy 168.736594 76.908558)
+ (xy 168.73365 76.91166)
+ (xy 168.733646 76.911664)
+ (xy 168.543393 77.112149)
+ (xy 168.53415 77.121889)
+ (xy 168.531651 77.125367)
+ (xy 168.53165 77.125368)
+ (xy 168.496566 77.174193)
+ (xy 168.362532 77.360722)
+ (xy 168.224915 77.620635)
+ (xy 168.22344 77.624666)
+ (xy 168.146606 77.834625)
+ (xy 168.123845 77.896821)
+ (xy 168.061193 78.184168)
+ (xy 168.060857 78.188438)
+ (xy 168.041633 78.432715)
+ (xy 168.038119 78.47736)
+ (xy 167.6575 78.47736)
+ (xy 167.6575 73.40587)
+ (xy 169.188099 73.40587)
+ (xy 169.188615 73.412014)
+ (xy 169.20216 73.573315)
+ (xy 169.204626 73.602685)
+ (xy 169.211848 73.627871)
+ (xy 169.251225 73.765196)
+ (xy 169.259066 73.792542)
+ (xy 169.261885 73.798027)
+ (xy 169.346529 73.962727)
+ (xy 169.346532 73.962732)
+ (xy 169.349347 73.968209)
+ (xy 169.353176 73.97304)
+ (xy 169.368571 73.992464)
+ (xy 169.472028 74.122994)
+ (xy 169.476722 74.126988)
+ (xy 169.476722 74.126989)
+ (xy 169.592583 74.225594)
+ (xy 169.622438 74.251003)
+ (xy 169.627816 74.254009)
+ (xy 169.627818 74.25401)
+ (xy 169.644176 74.263152)
+ (xy 169.794847 74.347359)
+ (xy 169.982688 74.408392)
+ (xy 170.178806 74.431778)
+ (xy 170.184941 74.431306)
+ (xy 170.184943 74.431306)
+ (xy 170.369589 74.417098)
+ (xy 170.369592 74.417097)
+ (xy 170.375732 74.416625)
+ (xy 170.565964 74.363512)
+ (xy 170.742257 74.27446)
+ (xy 170.777392 74.24701)
+ (xy 170.893039 74.156656)
+ (xy 170.897895 74.152862)
+ (xy 170.903527 74.146338)
+ (xy 170.906799 74.142547)
+ (xy 173.512 74.142547)
+ (xy 173.512 74.15976)
+ (xy 173.512238 74.165224)
+ (xy 173.522843 74.286435)
+ (xy 173.525242 74.298967)
+ (xy 173.571273 74.452724)
+ (xy 173.577051 74.466118)
+ (xy 173.656835 74.604308)
+ (xy 173.665546 74.616009)
+ (xy 173.77505 74.732077)
+ (xy 173.786224 74.741452)
+ (xy 173.919542 74.829137)
+ (xy 173.932573 74.835681)
+ (xy 174.08253 74.890261)
+ (xy 174.096707 74.893621)
+ (xy 174.228004 74.908968)
+ (xy 174.242454 74.906498)
+ (xy 174.246 74.893804)
+ (xy 174.246 74.893441)
+ (xy 174.753999 74.893441)
+ (xy 174.758294 74.908069)
+ (xy 174.770271 74.910132)
+ (xy 174.861435 74.902157)
+ (xy 174.873966 74.899759)
+ (xy 175.027724 74.853727)
+ (xy 175.041118 74.847949)
+ (xy 175.179308 74.768165)
+ (xy 175.191009 74.759454)
+ (xy 175.307077 74.64995)
+ (xy 175.316452 74.638776)
+ (xy 175.404137 74.505458)
+ (xy 175.410681 74.492427)
+ (xy 175.465261 74.34247)
+ (xy 175.468621 74.328292)
+ (xy 175.487574 74.166144)
+ (xy 175.488 74.158831)
+ (xy 175.488 74.147115)
+ (xy 175.483525 74.131876)
+ (xy 175.482135 74.130671)
+ (xy 175.474452 74.129)
+ (xy 174.772115 74.128999)
+ (xy 174.756876 74.133474)
+ (xy 174.755671 74.134864)
+ (xy 174.754 74.142547)
+ (xy 174.753999 74.893441)
+ (xy 174.246 74.893441)
+ (xy 174.246001 74.147115)
+ (xy 174.241526 74.131876)
+ (xy 174.240136 74.130671)
+ (xy 174.232453 74.129)
+ (xy 173.530115 74.128999)
+ (xy 173.514876 74.133474)
+ (xy 173.513671 74.134864)
+ (xy 173.512 74.142547)
+ (xy 170.906799 74.142547)
+ (xy 170.9406 74.103388)
+ (xy 171.026951 74.00335)
+ (xy 171.034503 73.990057)
+ (xy 171.121465 73.836974)
+ (xy 171.121466 73.836973)
+ (xy 171.124508 73.831617)
+ (xy 171.133928 73.803301)
+ (xy 171.184904 73.650059)
+ (xy 171.186851 73.644207)
+ (xy 171.211605 73.448257)
+ (xy 171.211875 73.428946)
+ (xy 171.211951 73.423522)
+ (xy 171.211951 73.423518)
+ (xy 171.212 73.42)
+ (xy 171.192727 73.223435)
+ (xy 171.135641 73.034357)
+ (xy 171.107083 72.980647)
+ (xy 171.04581 72.86541)
+ (xy 171.045808 72.865407)
+ (xy 171.042916 72.859968)
+ (xy 170.918086 72.70691)
+ (xy 170.765903 72.581014)
+ (xy 170.592166 72.487074)
+ (xy 170.403491 72.42867)
+ (xy 170.397366 72.428026)
+ (xy 170.397365 72.428026)
+ (xy 170.213193 72.408669)
+ (xy 170.213192 72.408669)
+ (xy 170.207065 72.408025)
+ (xy 170.086743 72.418975)
+ (xy 170.016511 72.425366)
+ (xy 170.01651 72.425366)
+ (xy 170.01037 72.425925)
+ (xy 169.986576 72.432928)
+ (xy 169.826809 72.47995)
+ (xy 169.826806 72.479951)
+ (xy 169.820898 72.48169)
+ (xy 169.645866 72.573195)
+ (xy 169.641068 72.577053)
+ (xy 169.641066 72.577054)
+ (xy 169.636141 72.581014)
+ (xy 169.491941 72.696954)
+ (xy 169.487982 72.701672)
+ (xy 169.487981 72.701673)
+ (xy 169.387713 72.821167)
+ (xy 169.364985 72.848253)
+ (xy 169.362022 72.853642)
+ (xy 169.362019 72.853647)
+ (xy 169.293801 72.977737)
+ (xy 169.269835 73.021331)
+ (xy 169.210115 73.209593)
+ (xy 169.209429 73.21571)
+ (xy 169.209428 73.215714)
+ (xy 169.197154 73.325139)
+ (xy 169.188099 73.40587)
+ (xy 167.6575 73.40587)
+ (xy 167.6575 72.365324)
+ (xy 167.677502 72.297203)
+ (xy 167.728562 72.251932)
+ (xy 167.890554 72.173447)
+ (xy 167.895359 72.171119)
+ (xy 167.968295 72.118998)
+ (xy 168.078393 72.040321)
+ (xy 168.078395 72.040319)
+ (xy 168.082739 72.037215)
+ (xy 168.194096 71.924882)
+ (xy 168.241126 71.87744)
+ (xy 168.241127 71.877439)
+ (xy 168.244879 71.873654)
+ (xy 168.36557 71.701609)
+ (xy 168.374078 71.689481)
+ (xy 168.374079 71.689479)
+ (xy 168.377142 71.685113)
+ (xy 168.475748 71.476982)
+ (xy 168.495814 71.405356)
+ (xy 168.511458 71.349511)
+ (xy 168.549095 71.289311)
+ (xy 168.632787 71.2575)
+ (xy 169.325054 71.2575)
+ (xy 169.349636 71.259921)
+ (xy 169.35043 71.260079)
+ (xy 169.350432 71.260079)
+ (xy 169.362602 71.2625)
+ (xy 169.374772 71.260079)
+ (xy 169.375567 71.259921)
+ (xy 169.387737 71.2575)
+ (xy 169.387738 71.2575)
+ (xy 169.463056 71.242518)
+ (xy 169.482007 71.229856)
+ (xy 169.537903 71.192508)
+ (xy 169.548218 71.185616)
+ (xy 169.55511 71.175301)
+ (xy 169.555112 71.175299)
+ (xy 169.555569 71.174615)
+ (xy 169.571239 71.155522)
+ (xy 170.955525 69.771237)
+ (xy 170.974618 69.755567)
+ (xy 170.975299 69.755112)
+ (xy 170.975301 69.75511)
+ (xy 170.985616 69.748218)
+ (xy 171.026421 69.687148)
+ (xy 171.042518 69.663057)
+ (xy 171.0625 69.562602)
+ (xy 171.059921 69.549637)
+ (xy 171.0575 69.525055)
+ (xy 171.0575 69.077241)
+ (xy 171.077502 69.00912)
+ (xy 171.131158 68.962627)
+ (xy 171.208081 68.953662)
+ (xy 171.243931 68.960793)
+ (xy 171.243933 68.960793)
+ (xy 171.25 68.962)
+ (xy 171.985879 68.962)
+ (xy 172.054 68.982002)
+ (xy 172.100493 69.035658)
+ (xy 172.110597 69.105932)
+ (xy 172.081103 69.170512)
+ (xy 172.031341 69.205512)
+ (xy 171.92085 69.248258)
+ (xy 171.812852 69.333397)
+ (xy 171.734662 69.446528)
+ (xy 171.731823 69.455505)
+ (xy 171.731822 69.455507)
+ (xy 171.704162 69.542967)
+ (xy 171.693194 69.577649)
+ (xy 171.692114 69.715167)
+ (xy 171.694813 69.724191)
+ (xy 171.694813 69.724193)
+ (xy 171.718859 69.804596)
+ (xy 171.731517 69.846923)
+ (xy 171.756527 69.884352)
+ (xy 171.80209 69.952541)
+ (xy 171.807921 69.961268)
+ (xy 171.815222 69.967212)
+ (xy 171.815223 69.967213)
+ (xy 171.907262 70.042145)
+ (xy 171.914568 70.048093)
+ (xy 171.923299 70.051629)
+ (xy 171.9233 70.05163)
+ (xy 171.963921 70.068083)
+ (xy 172.042032 70.099721)
+ (xy 172.051417 70.100534)
+ (xy 172.051418 70.100534)
+ (xy 172.179041 70.111587)
+ (xy 172.178694 70.115598)
+ (xy 172.263472 70.147631)
+ (xy 172.998393 70.882553)
+ (xy 173.730595 71.614755)
+ (xy 173.7675 71.70385)
+ (xy 173.767501 72.087448)
+ (xy 173.76508 72.11203)
+ (xy 173.7625 72.125)
+ (xy 173.782482 72.225454)
+ (xy 173.789376 72.235772)
+ (xy 173.839384 72.310616)
+ (xy 173.834398 72.313948)
+ (xy 173.863 72.382999)
+ (xy 173.863 72.4125)
+ (xy 173.882553 72.535952)
+ (xy 173.939298 72.64732)
+ (xy 173.9919 72.699922)
+ (xy 174.025926 72.762234)
+ (xy 174.020861 72.833049)
+ (xy 173.962788 72.894129)
+ (xy 173.965242 72.898379)
+ (xy 173.820692 72.981835)
+ (xy 173.808991 72.990546)
+ (xy 173.692923 73.10005)
+ (xy 173.683548 73.111224)
+ (xy 173.595863 73.244542)
+ (xy 173.589319 73.257573)
+ (xy 173.534739 73.40753)
+ (xy 173.531379 73.421708)
+ (xy 173.512426 73.583856)
+ (xy 173.512 73.591169)
+ (xy 173.512 73.602885)
+ (xy 173.516475 73.618124)
+ (xy 173.517865 73.619329)
+ (xy 173.525548 73.621)
+ (xy 174.245998 73.621001)
+ (xy 174.246004 73.621)
+ (xy 175.469885 73.621001)
+ (xy 175.485124 73.616526)
+ (xy 175.486329 73.615136)
+ (xy 175.488 73.607453)
+ (xy 175.488 73.59024)
+ (xy 175.487762 73.584776)
+ (xy 175.477157 73.463565)
+ (xy 175.474758 73.451033)
+ (xy 175.428727 73.297276)
+ (xy 175.422949 73.283882)
+ (xy 175.343165 73.145692)
+ (xy 175.334454 73.133991)
+ (xy 175.22495 73.017923)
+ (xy 175.213776 73.008548)
+ (xy 175.080458 72.920863)
+ (xy 175.067427 72.914319)
+ (xy 175.052597 72.908921)
+ (xy 174.995426 72.866827)
+ (xy 174.970088 72.800505)
+ (xy 174.984629 72.731014)
+ (xy 175.006597 72.701425)
+ (xy 175.060702 72.64732)
+ (xy 175.117447 72.535952)
+ (xy 175.137 72.4125)
+ (xy 175.137 72.211583)
+ (xy 175.173905 72.122488)
+ (xy 175.594784 71.701609)
+ (xy 175.657096 71.667583)
+ (xy 175.707807 71.6783)
+ (xy 175.707885 71.676985)
+ (xy 175.74223 71.679031)
+ (xy 175.755558 71.67165)
+ (xy 176.637243 70.789965)
+ (xy 176.699555 70.755939)
+ (xy 176.77037 70.761004)
+ (xy 176.815433 70.789965)
+ (xy 176.849375 70.823907)
+ (xy 176.883401 70.886219)
+ (xy 176.878336 70.957034)
+ (xy 176.849375 71.002097)
+ (xy 175.967961 71.883511)
+ (xy 175.96108 71.896112)
+ (xy 175.969217 71.907118)
+ (xy 176.010362 71.938689)
+ (xy 176.024543 71.946876)
+ (xy 176.151096 71.999296)
+ (xy 176.166916 72.003535)
+ (xy 176.302725 72.021415)
+ (xy 176.319101 72.021415)
+ (xy 176.45491 72.003535)
+ (xy 176.478706 71.997159)
+ (xy 176.479594 72.000472)
+ (xy 176.563993 72.000472)
+ (xy 176.57377 72.007005)
+ (xy 176.585941 72.009426)
+ (xy 176.590641 72.010361)
+ (xy 176.653551 72.043268)
+ (xy 176.689639 72.109359)
+ (xy 176.690353 72.112946)
+ (xy 176.692995 72.12623)
+ (xy 176.699887 72.136545)
+ (xy 176.699888 72.136547)
+ (xy 176.740931 72.197972)
+ (xy 176.740934 72.197976)
+ (xy 176.74437 72.203118)
+ (xy 176.850436 72.309184)
+ (xy 176.855578 72.31262)
+ (xy 176.855582 72.312623)
+ (xy 176.917007 72.353666)
+ (xy 176.917009 72.353667)
+ (xy 176.927324 72.360559)
+ (xy 176.939491 72.362979)
+ (xy 176.939493 72.36298)
+ (xy 176.944195 72.363915)
+ (xy 177.007105 72.396823)
+ (xy 177.043192 72.462912)
+ (xy 177.046548 72.479783)
+ (xy 177.05344 72.490098)
+ (xy 177.053441 72.4901)
+ (xy 177.094484 72.551525)
+ (xy 177.094487 72.551529)
+ (xy 177.097923 72.556671)
+ (xy 177.203989 72.662737)
+ (xy 177.209131 72.666173)
+ (xy 177.209135 72.666176)
+ (xy 177.27056 72.707219)
+ (xy 177.270562 72.70722)
+ (xy 177.280877 72.714112)
+ (xy 177.293044 72.716532)
+ (xy 177.293046 72.716533)
+ (xy 177.297748 72.717468)
+ (xy 177.360658 72.750376)
+ (xy 177.396745 72.816465)
+ (xy 177.400101 72.833336)
+ (xy 177.406993 72.843651)
+ (xy 177.406994 72.843653)
+ (xy 177.448037 72.905078)
+ (xy 177.44804 72.905082)
+ (xy 177.451476 72.910224)
+ (xy 177.557542 73.01629)
+ (xy 177.562689 73.019729)
+ (xy 177.56269 73.01973)
+ (xy 177.571889 73.025877)
+ (xy 177.617415 73.080355)
+ (xy 177.626261 73.150799)
+ (xy 177.590979 73.219735)
+ (xy 175.944476 74.866239)
+ (xy 175.925383 74.881909)
+ (xy 175.924876 74.882248)
+ (xy 175.914385 74.889258)
+ (xy 175.907491 74.899576)
+ (xy 175.907489 74.899578)
+ (xy 175.880229 74.940378)
+ (xy 175.879429 74.941575)
+ (xy 175.857483 74.97442)
+ (xy 175.8375 75.074874)
+ (xy 175.839921 75.087044)
+ (xy 175.839921 75.087046)
+ (xy 175.84008 75.087845)
+ (xy 175.842501 75.112427)
+ (xy 175.8425 79.159493)
+ (xy 175.822498 79.227614)
+ (xy 175.794507 79.258442)
+ (xy 175.762852 79.283397)
+ (xy 175.684662 79.396528)
+ (xy 175.681823 79.405505)
+ (xy 175.681822 79.405507)
+ (xy 175.660377 79.473316)
+ (xy 175.643194 79.527649)
+ (xy 175.642114 79.665167)
+ (xy 175.681517 79.796923)
+ (xy 175.70989 79.839385)
+ (xy 175.747372 79.89548)
+ (xy 175.757921 79.911268)
+ (xy 175.765222 79.917212)
+ (xy 175.765223 79.917213)
+ (xy 175.796283 79.9425)
+ (xy 175.864568 79.998093)
+ (xy 175.992032 80.049721)
+ (xy 176.001417 80.050534)
+ (xy 176.001418 80.050534)
+ (xy 176.119661 80.060775)
+ (xy 176.119664 80.060775)
+ (xy 176.129041 80.061587)
+ (xy 176.138242 80.059606)
+ (xy 176.138244 80.059606)
+ (xy 176.254278 80.034625)
+ (xy 176.254281 80.034624)
+ (xy 176.263482 80.032643)
+ (xy 176.271694 80.028044)
+ (xy 176.271697 80.028043)
+ (xy 176.361285 79.977871)
+ (xy 176.38347 79.965447)
+ (xy 176.478394 79.86594)
+ (xy 176.528201 79.766261)
+ (xy 176.535653 79.751348)
+ (xy 176.535653 79.751347)
+ (xy 176.539864 79.74292)
+ (xy 176.562443 79.607265)
+ (xy 176.5625 79.6)
+ (xy 176.542054 79.464006)
+ (xy 176.482525 79.340036)
+ (xy 176.390974 79.240998)
+ (xy 176.3575 79.15547)
+ (xy 176.3575 75.233723)
+ (xy 176.394405 75.144628)
+ (xy 177.627406 73.911628)
+ (xy 177.689718 73.877602)
+ (xy 177.760534 73.882667)
+ (xy 177.817369 73.925214)
+ (xy 177.842501 74.000723)
+ (xy 177.842501 74.062452)
+ (xy 177.840079 74.087036)
+ (xy 177.8375 74.1)
+ (xy 177.839921 74.11217)
+ (xy 177.839921 74.112172)
+ (xy 177.844159 74.133474)
+ (xy 177.849933 74.1625)
+ (xy 177.857483 74.200454)
+ (xy 177.914385 74.285616)
+ (xy 177.9247 74.292508)
+ (xy 177.924702 74.29251)
+ (xy 177.925383 74.292965)
+ (xy 177.944476 74.308635)
+ (xy 178.991365 75.355525)
+ (xy 179.007035 75.374618)
+ (xy 179.00749 75.375299)
+ (xy 179.007492 75.375301)
+ (xy 179.014384 75.385616)
+ (xy 179.099546 75.442518)
+ (xy 179.111717 75.444939)
+ (xy 179.174864 75.4575)
+ (xy 179.174865 75.4575)
+ (xy 179.2 75.4625)
+ (xy 179.21217 75.460079)
+ (xy 179.212172 75.460079)
+ (xy 179.212966 75.459921)
+ (xy 179.237548 75.4575)
+ (xy 185.967224 75.4575)
+ (xy 185.992031 75.460009)
+ (xy 185.99225 75.46001)
+ (xy 186.00441 75.462496)
+ (xy 186.026673 75.45819)
+ (xy 186.030189 75.45751)
+ (xy 186.030258 75.4575)
+ (xy 186.030936 75.4575)
+ (xy 186.055126 75.452689)
+ (xy 186.055711 75.452574)
+ (xy 186.074845 75.448873)
+ (xy 186.104968 75.443046)
+ (xy 186.105558 75.442657)
+ (xy 186.106254 75.442518)
+ (xy 186.148165 75.414515)
+ (xy 186.148719 75.414147)
+ (xy 186.167469 75.401762)
+ (xy 186.169045 75.400721)
+ (xy 186.169525 75.400246)
+ (xy 186.169571 75.400212)
+ (xy 186.180728 75.392757)
+ (xy 186.191416 75.385616)
+ (xy 186.19831 75.375298)
+ (xy 186.198469 75.375139)
+ (xy 186.214338 75.355906)
+ (xy 187.821812 73.765372)
+ (xy 187.840432 73.750175)
+ (xy 187.852267 73.742267)
+ (xy 187.859162 73.731948)
+ (xy 187.85932 73.73179)
+ (xy 187.875187 73.712561)
+ (xy 188.185144 73.40587)
+ (xy 189.188099 73.40587)
+ (xy 189.188615 73.412014)
+ (xy 189.20216 73.573315)
+ (xy 189.204626 73.602685)
+ (xy 189.211848 73.627871)
+ (xy 189.251225 73.765196)
+ (xy 189.259066 73.792542)
+ (xy 189.261885 73.798027)
+ (xy 189.346529 73.962727)
+ (xy 189.346532 73.962732)
+ (xy 189.349347 73.968209)
+ (xy 189.353176 73.97304)
+ (xy 189.368571 73.992464)
+ (xy 189.472028 74.122994)
+ (xy 189.476722 74.126988)
+ (xy 189.476722 74.126989)
+ (xy 189.592583 74.225594)
+ (xy 189.622438 74.251003)
+ (xy 189.627816 74.254009)
+ (xy 189.627818 74.25401)
+ (xy 189.644176 74.263152)
+ (xy 189.794847 74.347359)
+ (xy 189.982688 74.408392)
+ (xy 190.178806 74.431778)
+ (xy 190.184941 74.431306)
+ (xy 190.184943 74.431306)
+ (xy 190.369589 74.417098)
+ (xy 190.369592 74.417097)
+ (xy 190.375732 74.416625)
+ (xy 190.565964 74.363512)
+ (xy 190.742257 74.27446)
+ (xy 190.777392 74.24701)
+ (xy 190.893039 74.156656)
+ (xy 190.897895 74.152862)
+ (xy 190.903527 74.146338)
+ (xy 190.9406 74.103388)
+ (xy 191.026951 74.00335)
+ (xy 191.034503 73.990057)
+ (xy 191.121465 73.836974)
+ (xy 191.121466 73.836973)
+ (xy 191.124508 73.831617)
+ (xy 191.133928 73.803301)
+ (xy 191.184904 73.650059)
+ (xy 191.186851 73.644207)
+ (xy 191.211605 73.448257)
+ (xy 191.211875 73.428946)
+ (xy 191.211951 73.423522)
+ (xy 191.211951 73.423518)
+ (xy 191.212 73.42)
+ (xy 191.192727 73.223435)
+ (xy 191.135641 73.034357)
+ (xy 191.107083 72.980647)
+ (xy 191.04581 72.86541)
+ (xy 191.045808 72.865407)
+ (xy 191.042916 72.859968)
+ (xy 190.918086 72.70691)
+ (xy 190.765903 72.581014)
+ (xy 190.592166 72.487074)
+ (xy 190.403491 72.42867)
+ (xy 190.397366 72.428026)
+ (xy 190.397365 72.428026)
+ (xy 190.213193 72.408669)
+ (xy 190.213192 72.408669)
+ (xy 190.207065 72.408025)
+ (xy 190.086743 72.418975)
+ (xy 190.016511 72.425366)
+ (xy 190.01651 72.425366)
+ (xy 190.01037 72.425925)
+ (xy 189.986576 72.432928)
+ (xy 189.826809 72.47995)
+ (xy 189.826806 72.479951)
+ (xy 189.820898 72.48169)
+ (xy 189.645866 72.573195)
+ (xy 189.641068 72.577053)
+ (xy 189.641066 72.577054)
+ (xy 189.636141 72.581014)
+ (xy 189.491941 72.696954)
+ (xy 189.487982 72.701672)
+ (xy 189.487981 72.701673)
+ (xy 189.387713 72.821167)
+ (xy 189.364985 72.848253)
+ (xy 189.362022 72.853642)
+ (xy 189.362019 72.853647)
+ (xy 189.293801 72.977737)
+ (xy 189.269835 73.021331)
+ (xy 189.210115 73.209593)
+ (xy 189.209429 73.21571)
+ (xy 189.209428 73.215714)
+ (xy 189.197154 73.325139)
+ (xy 189.188099 73.40587)
+ (xy 188.185144 73.40587)
+ (xy 190.35126 71.262581)
+ (xy 190.366506 71.250489)
+ (xy 190.369808 71.247368)
+ (xy 190.38032 71.240764)
+ (xy 190.39651 71.21795)
+ (xy 190.396967 71.217355)
+ (xy 190.39898 71.215363)
+ (xy 190.410893 71.197737)
+ (xy 190.412485 71.195439)
+ (xy 190.433328 71.166068)
+ (xy 190.439595 71.157237)
+ (xy 190.440273 71.154266)
+ (xy 190.441982 71.151738)
+ (xy 190.444785 71.138026)
+ (xy 190.451369 71.105822)
+ (xy 190.451978 71.10301)
+ (xy 190.459985 71.067944)
+ (xy 190.462396 71.057385)
+ (xy 190.461885 71.054378)
+ (xy 190.462496 71.051391)
+ (xy 190.457057 71.023269)
+ (xy 190.45361 71.00545)
+ (xy 190.453095 71.002617)
+ (xy 190.447059 70.967074)
+ (xy 190.445248 70.956409)
+ (xy 190.443625 70.953825)
+ (xy 190.443046 70.950832)
+ (xy 190.435889 70.939997)
+ (xy 190.435376 70.93922)
+ (xy 190.434259 70.935503)
+ (xy 190.433861 70.934727)
+ (xy 190.43152 70.92899)
+ (xy 190.432217 70.928706)
+ (xy 190.41451 70.869773)
+ (xy 190.41451 70.024292)
+ (xy 190.434512 69.956171)
+ (xy 190.488168 69.909678)
+ (xy 190.587812 69.907508)
+ (xy 190.617308 69.919455)
+ (xy 190.692032 69.949721)
+ (xy 190.701417 69.950534)
+ (xy 190.701418 69.950534)
+ (xy 190.819661 69.960775)
+ (xy 190.819664 69.960775)
+ (xy 190.829041 69.961587)
+ (xy 190.838242 69.959606)
+ (xy 190.838244 69.959606)
+ (xy 190.954278 69.934625)
+ (xy 190.954281 69.934624)
+ (xy 190.963482 69.932643)
+ (xy 190.971694 69.928044)
+ (xy 190.971697 69.928043)
+ (xy 191.070317 69.872813)
+ (xy 191.08347 69.865447)
+ (xy 191.178394 69.76594)
+ (xy 191.210646 69.701395)
+ (xy 191.235653 69.651348)
+ (xy 191.235653 69.651347)
+ (xy 191.239864 69.64292)
+ (xy 191.262443 69.507265)
+ (xy 191.2625 69.5)
+ (xy 191.261763 69.495096)
+ (xy 191.2591 69.477381)
+ (xy 191.268753 69.407044)
+ (xy 191.294605 69.369554)
+ (xy 191.580797 69.083363)
+ (xy 191.869756 68.794404)
+ (xy 191.958851 68.7575)
+ (xy 193.462 68.7575)
+ (xy 193.530121 68.777502)
+ (xy 193.576614 68.831158)
+ (xy 193.588 68.8835)
+ (xy 193.588 68.9)
+ (xy 193.591017 68.915167)
+ (xy 193.597567 68.948093)
+ (xy 193.600332 68.961995)
+ (xy 193.607224 68.972309)
+ (xy 193.607225 68.972312)
+ (xy 193.628117 69.003578)
+ (xy 193.635449 69.014551)
+ (xy 193.645765 69.021444)
+ (xy 193.677688 69.042775)
+ (xy 193.677691 69.042776)
+ (xy 193.688005 69.049668)
+ (xy 193.700172 69.052088)
+ (xy 193.700174 69.052089)
+ (xy 193.720777 69.056187)
+ (xy 193.75 69.062)
+ (xy 194.65 69.062)
+ (xy 194.679223 69.056187)
+ (xy 194.699826 69.052089)
+ (xy 194.699828 69.052088)
+ (xy 194.711995 69.049668)
+ (xy 194.722309 69.042776)
+ (xy 194.722312 69.042775)
+ (xy 194.754235 69.021444)
+ (xy 194.764551 69.014551)
+ (xy 194.771883 69.003578)
+ (xy 194.792775 68.972312)
+ (xy 194.792776 68.972309)
+ (xy 194.799668 68.961995)
+ (xy 194.802434 68.948093)
+ (xy 194.808983 68.915167)
+ (xy 194.812 68.9)
+ (xy 194.812 68.1)
+ (xy 194.807567 68.077713)
+ (xy 194.802089 68.050174)
+ (xy 194.802088 68.050172)
+ (xy 194.799668 68.038005)
+ (xy 194.792776 68.027691)
+ (xy 194.792775 68.027688)
+ (xy 194.771444 67.995765)
+ (xy 194.764551 67.985449)
+ (xy 194.744055 67.971754)
+ (xy 194.722312 67.957225)
+ (xy 194.722309 67.957224)
+ (xy 194.711995 67.950332)
+ (xy 194.699828 67.947912)
+ (xy 194.699826 67.947911)
+ (xy 194.669568 67.941892)
+ (xy 194.65 67.938)
+ (xy 193.75 67.938)
+ (xy 193.730432 67.941892)
+ (xy 193.700174 67.947911)
+ (xy 193.700172 67.947912)
+ (xy 193.688005 67.950332)
+ (xy 193.677691 67.957224)
+ (xy 193.677688 67.957225)
+ (xy 193.655945 67.971754)
+ (xy 193.635449 67.985449)
+ (xy 193.628556 67.995765)
+ (xy 193.607225 68.027688)
+ (xy 193.607224 68.027691)
+ (xy 193.600332 68.038005)
+ (xy 193.597912 68.050172)
+ (xy 193.597911 68.050174)
+ (xy 193.592433 68.077713)
+ (xy 193.588 68.1)
+ (xy 193.588 68.1165)
+ (xy 193.567998 68.184621)
+ (xy 193.514342 68.231114)
+ (xy 193.462 68.2425)
+ (xy 191.837547 68.2425)
+ (xy 191.812965 68.240079)
+ (xy 191.812171 68.239921)
+ (xy 191.8 68.2375)
+ (xy 191.699545 68.257482)
+ (xy 191.663913 68.281291)
+ (xy 191.663913 68.28129)
+ (xy 191.66391 68.281293)
+ (xy 191.624704 68.307488)
+ (xy 191.624702 68.30749)
+ (xy 191.614384 68.314384)
+ (xy 191.607488 68.324705)
+ (xy 191.607037 68.325379)
+ (xy 191.591368 68.344472)
+ (xy 191.242917 68.692924)
+ (xy 190.932263 69.003578)
+ (xy 190.86995 69.037603)
+ (xy 190.839502 69.039671)
+ (xy 190.836287 69.038926)
+ (xy 190.826892 69.039591)
+ (xy 190.826891 69.039591)
+ (xy 190.708511 69.047972)
+ (xy 190.708509 69.047973)
+ (xy 190.699109 69.048638)
+ (xy 190.585971 69.092408)
+ (xy 190.515224 69.098333)
+ (xy 190.452503 69.065067)
+ (xy 190.41451 68.974896)
+ (xy 190.41451 65.994558)
+ (xy 190.416931 65.969976)
+ (xy 190.417089 65.969182)
+ (xy 190.417089 65.96918)
+ (xy 190.41951 65.95701)
+ (xy 190.41451 65.931874)
+ (xy 190.399528 65.856556)
+ (xy 190.342626 65.771394)
+ (xy 190.332311 65.764502)
+ (xy 190.332309 65.7645)
+ (xy 190.331628 65.764045)
+ (xy 190.312535 65.748375)
+ (xy 189.739854 65.175694)
+ (xy 189.164405 64.600246)
+ (xy 189.1275 64.511151)
+ (xy 189.1275 64.21013)
+ (xy 189.147502 64.142009)
+ (xy 189.201158 64.095516)
+ (xy 189.271432 64.085412)
+ (xy 189.352245 64.131866)
+ (xy 189.468195 64.278159)
+ (xy 189.468199 64.278163)
+ (xy 189.472028 64.282994)
+ (xy 189.476722 64.286988)
+ (xy 189.476722 64.286989)
+ (xy 189.603018 64.394475)
+ (xy 189.622438 64.411003)
+ (xy 189.627816 64.414009)
+ (xy 189.627818 64.41401)
+ (xy 189.662507 64.433397)
+ (xy 189.794847 64.507359)
+ (xy 189.982688 64.568392)
+ (xy 190.178806 64.591778)
+ (xy 190.184941 64.591306)
+ (xy 190.184943 64.591306)
+ (xy 190.369589 64.577098)
+ (xy 190.369592 64.577097)
+ (xy 190.375732 64.576625)
+ (xy 190.383759 64.574384)
+ (xy 190.412039 64.566488)
+ (xy 190.565964 64.523512)
+ (xy 190.742257 64.43446)
+ (xy 190.757169 64.42281)
+ (xy 190.893039 64.316656)
+ (xy 190.897895 64.312862)
+ (xy 190.921179 64.285888)
+ (xy 190.995709 64.199544)
+ (xy 191.026951 64.16335)
+ (xy 191.03007 64.157861)
+ (xy 191.121465 63.996974)
+ (xy 191.121466 63.996973)
+ (xy 191.124508 63.991617)
+ (xy 191.126941 63.984305)
+ (xy 191.160474 63.8835)
+ (xy 191.186851 63.804207)
+ (xy 191.194958 63.740036)
+ (xy 191.211163 63.611758)
+ (xy 191.211163 63.611753)
+ (xy 191.211605 63.608257)
+ (xy 191.212 63.58)
+ (xy 191.192727 63.383435)
+ (xy 191.135641 63.194357)
+ (xy 191.127531 63.179105)
+ (xy 191.04581 63.02541)
+ (xy 191.045808 63.025407)
+ (xy 191.042916 63.019968)
+ (xy 190.918086 62.86691)
+ (xy 190.765903 62.741014)
+ (xy 190.592166 62.647074)
+ (xy 190.403491 62.58867)
+ (xy 190.397366 62.588026)
+ (xy 190.397365 62.588026)
+ (xy 190.213193 62.568669)
+ (xy 190.213192 62.568669)
+ (xy 190.207065 62.568025)
+ (xy 190.086743 62.578975)
+ (xy 190.016511 62.585366)
+ (xy 190.01651 62.585366)
+ (xy 190.01037 62.585925)
+ (xy 190.000425 62.588852)
+ (xy 189.826809 62.63995)
+ (xy 189.826806 62.639951)
+ (xy 189.820898 62.64169)
+ (xy 189.645866 62.733195)
+ (xy 189.64107 62.737051)
+ (xy 189.641061 62.737057)
+ (xy 189.632242 62.744148)
+ (xy 189.56662 62.771243)
+ (xy 189.496765 62.758559)
+ (xy 189.444858 62.710122)
+ (xy 189.427377 62.641311)
+ (xy 189.436509 62.599448)
+ (xy 189.442518 62.590454)
+ (xy 189.4575 62.515136)
+ (xy 189.4575 62.515135)
+ (xy 189.4625 62.490001)
+ (xy 189.460079 62.477831)
+ (xy 189.460079 62.477828)
+ (xy 189.459921 62.477035)
+ (xy 189.4575 62.452453)
+ (xy 189.4575 61.267548)
+ (xy 189.459921 61.242966)
+ (xy 189.460079 61.242172)
+ (xy 189.460079 61.24217)
+ (xy 189.4625 61.23)
+ (xy 189.457348 61.204101)
+ (xy 189.447449 61.154333)
+ (xy 189.444939 61.141717)
+ (xy 189.442518 61.129546)
+ (xy 189.385616 61.044384)
+ (xy 189.375301 61.037492)
+ (xy 189.375299 61.03749)
+ (xy 189.374618 61.037035)
+ (xy 189.355525 61.021365)
+ (xy 188.789067 60.454907)
+ (xy 188.755041 60.392595)
+ (xy 188.760106 60.32178)
+ (xy 188.802653 60.264944)
+ (xy 188.869173 60.240133)
+ (xy 188.945117 60.259075)
+ (xy 189.00497 60.29662)
+ (xy 189.174705 60.403095)
+ (xy 189.174709 60.403097)
+ (xy 189.178345 60.405378)
+ (xy 189.283687 60.452942)
+ (xy 189.442475 60.524638)
+ (xy 189.442479 60.52464)
+ (xy 189.446387 60.526404)
+ (xy 189.450507 60.527624)
+ (xy 189.450506 60.527624)
+ (xy 189.724261 60.608714)
+ (xy 189.724265 60.608715)
+ (xy 189.728374 60.609932)
+ (xy 189.732608 60.61058)
+ (xy 189.732613 60.610581)
+ (xy 189.991302 60.650166)
+ (xy 190.019089 60.654418)
+ (xy 190.168859 60.656771)
+ (xy 190.308859 60.65897)
+ (xy 190.308865 60.65897)
+ (xy 190.31315 60.659037)
+ (xy 190.605118 60.623705)
+ (xy 190.747354 60.58639)
+ (xy 190.885447 60.550162)
+ (xy 190.885448 60.550162)
+ (xy 190.88959 60.549075)
+ (xy 191.161301 60.436529)
+ (xy 191.415224 60.288148)
+ (xy 191.64666 60.106679)
+ (xy 191.851327 59.89548)
+ (xy 191.85386 59.892032)
+ (xy 191.853864 59.892027)
+ (xy 192.022899 59.661913)
+ (xy 192.025437 59.658458)
+ (xy 192.029609 59.650774)
+ (xy 192.163718 59.403775)
+ (xy 192.163719 59.403773)
+ (xy 192.165768 59.399999)
+ (xy 192.269725 59.124887)
+ (xy 192.308311 58.95641)
+ (xy 192.334424 58.842395)
+ (xy 192.334425 58.842391)
+ (xy 192.335382 58.838211)
+ (xy 192.348155 58.695101)
+ (xy 192.359024 58.573316)
+ (xy 192.361526 58.545278)
+ (xy 192.361593 58.538934)
+ (xy 192.361974 58.502485)
+ (xy 192.362 58.5)
+ (xy 192.360844 58.483044)
+ (xy 192.342289 58.21086)
+ (xy 192.342288 58.210854)
+ (xy 192.341997 58.206583)
+ (xy 192.282357 57.918595)
+ (xy 192.184186 57.641366)
+ (xy 192.102213 57.482547)
+ (xy 192.051263 57.383833)
+ (xy 192.051263 57.383832)
+ (xy 192.049298 57.380026)
+ (xy 192.038405 57.364526)
+ (xy 191.906671 57.177088)
+ (xy 191.88019 57.139409)
+ (xy 191.766861 57.017453)
+ (xy 191.682912 56.927113)
+ (xy 191.682909 56.927111)
+ (xy 191.679991 56.92397)
+ (xy 191.452406 56.737694)
+ (xy 191.201646 56.584028)
+ (xy 191.197729 56.582309)
+ (xy 191.197726 56.582307)
+ (xy 191.048669 56.516876)
+ (xy 190.932351 56.465816)
+ (xy 190.928223 56.46464)
+ (xy 190.92822 56.464639)
+ (xy 190.840654 56.439695)
+ (xy 190.649505 56.385245)
+ (xy 190.645263 56.384641)
+ (xy 190.645257 56.38464)
+ (xy 190.362592 56.344411)
+ (xy 190.358341 56.343806)
+ (xy 190.203328 56.342994)
+ (xy 190.068533 56.342288)
+ (xy 190.068526 56.342288)
+ (xy 190.064247 56.342266)
+ (xy 190.060002 56.342825)
+ (xy 190.06 56.342825)
+ (xy 190.036925 56.345863)
+ (xy 189.772665 56.380654)
+ (xy 189.48899 56.458258)
+ (xy 189.485042 56.459942)
+ (xy 189.222425 56.571958)
+ (xy 189.222421 56.57196)
+ (xy 189.218473 56.573644)
+ (xy 189.10303 56.642735)
+ (xy 188.969799 56.722471)
+ (xy 188.969795 56.722474)
+ (xy 188.966117 56.724675)
+ (xy 188.962774 56.727353)
+ (xy 188.96277 56.727356)
+ (xy 188.94466 56.741865)
+ (xy 188.911655 56.768307)
+ (xy 188.845987 56.795289)
+ (xy 188.776154 56.782484)
+ (xy 188.72433 56.733958)
+ (xy 188.706969 56.665117)
+ (xy 188.71187 56.642735)
+ (xy 188.710508 56.642464)
+ (xy 188.72549 56.567146)
+ (xy 188.72549 56.567144)
+ (xy 188.73049 56.542011)
+ (xy 188.728069 56.529841)
+ (xy 188.728069 56.529838)
+ (xy 188.727911 56.529045)
+ (xy 188.72549 56.504463)
+ (xy 188.72549 54.769242)
+ (xy 191.48049 54.769242)
+ (xy 191.493476 54.854101)
+ (xy 191.512463 54.978179)
+ (xy 191.514429 54.991029)
+ (xy 191.536766 55.05627)
+ (xy 191.562515 55.131476)
+ (xy 191.587106 55.203302)
+ (xy 191.696224 55.399349)
+ (xy 191.699776 55.403689)
+ (xy 191.699779 55.403693)
+ (xy 191.790312 55.514302)
+ (xy 191.838335 55.572975)
+ (xy 192.008947 55.718691)
+ (xy 192.080072 55.760253)
+ (xy 192.128794 55.811891)
+ (xy 192.1425 55.86904)
+ (xy 192.1425 56.366782)
+ (xy 192.141627 56.381591)
+ (xy 192.137729 56.414527)
+ (xy 192.139421 56.423791)
+ (xy 192.139421 56.423792)
+ (xy 192.148432 56.473133)
+ (xy 192.149082 56.477037)
+ (xy 192.155072 56.516876)
+ (xy 192.157946 56.535993)
+ (xy 192.161119 56.542601)
+ (xy 192.162436 56.549811)
+ (xy 192.166777 56.558168)
+ (xy 192.166779 56.558174)
+ (xy 192.189912 56.602707)
+ (xy 192.191675 56.606234)
+ (xy 192.194924 56.613)
+ (xy 192.213397 56.651472)
+ (xy 192.213399 56.651475)
+ (xy 192.217475 56.659963)
+ (xy 192.22238 56.665269)
+ (xy 192.222518 56.665474)
+ (xy 192.22583 56.67185)
+ (xy 192.231575 56.678576)
+ (xy 192.270893 56.717894)
+ (xy 192.274323 56.72146)
+ (xy 192.310825 56.760949)
+ (xy 192.316905 56.76448)
+ (xy 192.322407 56.769408)
+ (xy 192.705597 57.1526)
+ (xy 192.742501 57.241695)
+ (xy 192.7425 64.635846)
+ (xy 192.722498 64.703967)
+ (xy 192.662782 64.753038)
+ (xy 192.641897 64.761286)
+ (xy 192.594407 64.780041)
+ (xy 192.397515 64.899518)
+ (xy 192.393485 64.903015)
+ (xy 192.230206 65.044701)
+ (xy 192.223568 65.050461)
+ (xy 192.194316 65.086136)
+ (xy 192.080924 65.224427)
+ (xy 192.08092 65.224433)
+ (xy 192.07754 65.228555)
+ (xy 192.074901 65.233191)
+ (xy 192.074899 65.233194)
+ (xy 191.988884 65.384301)
+ (xy 191.963607 65.428706)
+ (xy 191.885026 65.645193)
+ (xy 191.884077 65.650442)
+ (xy 191.884076 65.650445)
+ (xy 191.844995 65.86657)
+ (xy 191.844045 65.871824)
+ (xy 191.843174 65.9625)
+ (xy 191.841924 66.092776)
+ (xy 191.841834 66.102121)
+ (xy 191.842683 66.107391)
+ (xy 191.842683 66.107393)
+ (xy 191.87757 66.323988)
+ (xy 191.878457 66.329498)
+ (xy 191.880182 66.33455)
+ (xy 191.880182 66.334551)
+ (xy 191.889677 66.362361)
+ (xy 191.952868 66.547453)
+ (xy 192.062937 66.749755)
+ (xy 192.066235 66.753939)
+ (xy 192.066238 66.753943)
+ (xy 192.202216 66.92643)
+ (xy 192.20222 66.926434)
+ (xy 192.205519 66.930619)
+ (xy 192.209479 66.934191)
+ (xy 192.20948 66.934192)
+ (xy 192.244053 66.965376)
+ (xy 192.376536 67.084874)
+ (xy 192.485188 67.153694)
+ (xy 192.553618 67.197037)
+ (xy 192.571098 67.208109)
+ (xy 192.576025 67.210165)
+ (xy 192.576027 67.210166)
+ (xy 192.778713 67.294743)
+ (xy 192.783643 67.2968)
+ (xy 192.788847 67.297997)
+ (xy 192.788848 67.297997)
+ (xy 193.00289 67.347216)
+ (xy 193.002895 67.347217)
+ (xy 193.008093 67.348412)
+ (xy 193.013421 67.348715)
+ (xy 193.013424 67.348715)
+ (xy 193.171689 67.357702)
+ (xy 193.238029 67.361469)
+ (xy 193.243336 67.360869)
+ (xy 193.243338 67.360869)
+ (xy 193.366695 67.346923)
+ (xy 193.466879 67.335597)
+ (xy 193.471994 67.334116)
+ (xy 193.471998 67.334115)
+ (xy 193.573503 67.304721)
+ (xy 193.688097 67.271537)
+ (xy 193.895359 67.171119)
+ (xy 194.000518 67.095971)
+ (xy 194.078393 67.040321)
+ (xy 194.078395 67.040319)
+ (xy 194.082739 67.037215)
+ (xy 194.148998 66.970375)
+ (xy 194.241126 66.87744)
+ (xy 194.241127 66.877439)
+ (xy 194.244879 66.873654)
+ (xy 194.267685 66.841144)
+ (xy 194.374078 66.689481)
+ (xy 194.374079 66.689479)
+ (xy 194.377142 66.685113)
+ (xy 194.475748 66.476982)
+ (xy 194.477189 66.471838)
+ (xy 194.478479 66.468388)
+ (xy 194.521071 66.411586)
+ (xy 194.58761 66.386828)
+ (xy 194.656973 66.401974)
+ (xy 194.707135 66.452216)
+ (xy 194.7225 66.512514)
+ (xy 194.7225 66.620591)
+ (xy 194.722389 66.625868)
+ (xy 194.719997 66.682936)
+ (xy 194.721959 66.6913)
+ (xy 194.730071 66.725888)
+ (xy 194.732234 66.737559)
+ (xy 194.738221 66.781266)
+ (xy 194.741633 66.78915)
+ (xy 194.74432 66.795359)
+ (xy 194.751355 66.816629)
+ (xy 194.754862 66.831582)
+ (xy 194.772525 66.863712)
+ (xy 194.776113 66.870238)
+ (xy 194.781335 66.880897)
+ (xy 194.795446 66.913506)
+ (xy 194.795448 66.91351)
+ (xy 194.798858 66.921389)
+ (xy 194.808524 66.933325)
+ (xy 194.821015 66.951916)
+ (xy 194.828415 66.965376)
+ (xy 194.837641 66.976064)
+ (xy 194.861836 67.000259)
+ (xy 194.870662 67.010061)
+ (xy 194.885639 67.028556)
+ (xy 194.894942 67.040045)
+ (xy 194.90941 67.050327)
+ (xy 194.925509 67.063932)
+ (xy 195.551096 67.68952)
+ (xy 195.588 67.778615)
+ (xy 195.588 67.95)
+ (xy 195.591057 67.965366)
+ (xy 195.597104 67.995766)
+ (xy 195.600332 68.011995)
+ (xy 195.607224 68.022309)
+ (xy 195.607225 68.022312)
+ (xy 195.628556 68.054235)
+ (xy 195.635449 68.064551)
+ (xy 195.645765 68.071444)
+ (xy 195.677688 68.092775)
+ (xy 195.677691 68.092776)
+ (xy 195.688005 68.099668)
+ (xy 195.700172 68.102088)
+ (xy 195.700174 68.102089)
+ (xy 195.720777 68.106187)
+ (xy 195.75 68.112)
+ (xy 196.215556 68.112)
+ (xy 196.217668 68.112222)
+ (xy 196.218582 68.112067)
+ (xy 196.218981 68.112171)
+ (xy 196.218981 68.112)
+ (xy 196.65 68.112)
+ (xy 196.679223 68.106187)
+ (xy 196.699826 68.102089)
+ (xy 196.699828 68.102088)
+ (xy 196.711995 68.099668)
+ (xy 196.722309 68.092776)
+ (xy 196.722312 68.092775)
+ (xy 196.754235 68.071444)
+ (xy 196.764551 68.064551)
+ (xy 196.771444 68.054235)
+ (xy 196.792775 68.022312)
+ (xy 196.792776 68.022309)
+ (xy 196.799668 68.011995)
+ (xy 196.802897 67.995766)
+ (xy 196.808943 67.965366)
+ (xy 196.812 67.95)
+ (xy 196.812 67.15)
+ (xy 196.803328 67.106405)
+ (xy 196.802089 67.100174)
+ (xy 196.802088 67.100172)
+ (xy 196.799668 67.088005)
+ (xy 196.792776 67.077691)
+ (xy 196.792775 67.077688)
+ (xy 196.771444 67.045765)
+ (xy 196.764551 67.035449)
+ (xy 196.746393 67.023316)
+ (xy 196.722312 67.007225)
+ (xy 196.722309 67.007224)
+ (xy 196.711995 67.000332)
+ (xy 196.699828 66.997912)
+ (xy 196.699826 66.997911)
+ (xy 196.669568 66.991892)
+ (xy 196.65 66.988)
+ (xy 196.478615 66.988)
+ (xy 196.38952 66.951096)
+ (xy 195.874405 66.435982)
+ (xy 195.8375 66.346886)
+ (xy 195.8375 62.242705)
+ (xy 195.857502 62.174584)
+ (xy 195.898793 62.134589)
+ (xy 195.960999 62.097359)
+ (xy 196.021785 62.060979)
+ (xy 196.190861 61.913485)
+ (xy 196.221278 61.875518)
+ (xy 196.268167 61.816992)
+ (xy 196.326369 61.776335)
+ (xy 196.397313 61.773599)
+ (xy 196.458474 61.809652)
+ (xy 196.492501 61.895773)
+ (xy 196.4925 63.321971)
+ (xy 196.4925 64.740591)
+ (xy 196.492389 64.745868)
+ (xy 196.489997 64.802936)
+ (xy 196.491959 64.8113)
+ (xy 196.500071 64.845888)
+ (xy 196.502234 64.857559)
+ (xy 196.503268 64.865104)
+ (xy 196.508221 64.901266)
+ (xy 196.514243 64.91518)
+ (xy 196.51432 64.915359)
+ (xy 196.521355 64.936629)
+ (xy 196.524862 64.951582)
+ (xy 196.54605 64.990123)
+ (xy 196.546113 64.990238)
+ (xy 196.551333 65.000895)
+ (xy 196.565444 65.033502)
+ (xy 196.565447 65.033507)
+ (xy 196.568858 65.041389)
+ (xy 196.578524 65.053325)
+ (xy 196.591015 65.071916)
+ (xy 196.598415 65.085376)
+ (xy 196.607641 65.096064)
+ (xy 196.631836 65.120259)
+ (xy 196.640661 65.13006)
+ (xy 196.64787 65.138962)
+ (xy 196.65761 65.15099)
+ (xy 196.664942 65.160045)
+ (xy 196.671947 65.165023)
+ (xy 196.67195 65.165026)
+ (xy 196.679406 65.170324)
+ (xy 196.695512 65.183935)
+ (xy 198.611209 67.099632)
+ (xy 198.645235 67.161944)
+ (xy 198.646563 67.208437)
+ (xy 198.643808 67.225832)
+ (xy 198.638775 67.25761)
+ (xy 198.638 67.2625)
+ (xy 198.638 67.7375)
+ (xy 198.649816 67.8121)
+ (xy 198.655732 67.849453)
+ (xy 198.657553 67.860952)
+ (xy 198.714298 67.97232)
+ (xy 198.730596 67.988618)
+ (xy 198.767501 68.077713)
+ (xy 198.7675 68.922288)
+ (xy 198.730595 69.011383)
+ (xy 198.714298 69.02768)
+ (xy 198.657553 69.139048)
+ (xy 198.656002 69.148838)
+ (xy 198.656002 69.148839)
+ (xy 198.652 69.174107)
+ (xy 198.638 69.2625)
+ (xy 198.638 69.7375)
+ (xy 198.64902 69.807077)
+ (xy 198.65471 69.843)
+ (xy 198.657553 69.860952)
+ (xy 198.714298 69.97232)
+ (xy 198.73811 69.996132)
+ (xy 198.771686 70.056457)
+ (xy 198.775071 70.07089)
+ (xy 198.777234 70.082559)
+ (xy 198.783221 70.126266)
+ (xy 198.789242 70.140178)
+ (xy 198.78932 70.140359)
+ (xy 198.796355 70.161628)
+ (xy 198.799862 70.176582)
+ (xy 198.820309 70.213776)
+ (xy 198.821113 70.215238)
+ (xy 198.826335 70.225897)
+ (xy 198.840446 70.258506)
+ (xy 198.840448 70.25851)
+ (xy 198.843858 70.266389)
+ (xy 198.853524 70.278325)
+ (xy 198.866015 70.296916)
+ (xy 198.873415 70.310376)
+ (xy 198.882641 70.321064)
+ (xy 198.906836 70.345259)
+ (xy 198.915661 70.35506)
+ (xy 198.939942 70.385045)
+ (xy 198.946947 70.390023)
+ (xy 198.94695 70.390026)
+ (xy 198.954406 70.395324)
+ (xy 198.970512 70.408935)
+ (xy 201.295305 72.733729)
+ (xy 201.329331 72.796041)
+ (xy 201.324266 72.866857)
+ (xy 201.281719 72.923692)
+ (xy 201.215199 72.948503)
+ (xy 201.170213 72.943573)
+ (xy 201.005363 72.894429)
+ (xy 200.997242 72.892584)
+ (xy 200.708567 72.846863)
+ (xy 200.701592 72.846155)
+ (xy 200.611523 72.842064)
+ (xy 200.60869 72.842)
+ (xy 200.272115 72.842)
+ (xy 200.256876 72.846475)
+ (xy 200.255671 72.847865)
+ (xy 200.254 72.855548)
+ (xy 200.253999 74.827885)
+ (xy 200.258474 74.843124)
+ (xy 200.259864 74.844329)
+ (xy 200.267547 74.846)
+ (xy 202.726313 74.846001)
+ (xy 202.740941 74.841706)
+ (xy 202.743004 74.829729)
+ (xy 202.736725 74.757951)
+ (xy 202.735451 74.74972)
+ (xy 202.67184 74.46514)
+ (xy 202.669484 74.457136)
+ (xy 202.580028 74.214005)
+ (xy 202.575278 74.143168)
+ (xy 202.609579 74.081007)
+ (xy 202.672042 74.047259)
+ (xy 202.715651 74.047936)
+ (xy 202.715827 74.046262)
+ (xy 202.728461 74.04759)
+ (xy 202.731096 74.047867)
+ (xy 202.753075 74.05218)
+ (xy 202.767828 74.056466)
+ (xy 202.77441 74.056949)
+ (xy 202.774414 74.05695)
+ (xy 202.779601 74.057331)
+ (xy 202.779614 74.057331)
+ (xy 202.781909 74.0575)
+ (xy 202.816137 74.0575)
+ (xy 202.829307 74.05819)
+ (xy 202.867668 74.062222)
+ (xy 202.885162 74.059263)
+ (xy 202.906164 74.0575)
+ (xy 207.891563 74.0575)
+ (xy 207.89684 74.057611)
+ (xy 207.953908 74.060003)
+ (xy 207.996861 74.049929)
+ (xy 208.008521 74.047768)
+ (xy 208.028054 74.045092)
+ (xy 208.043729 74.042945)
+ (xy 208.04373 74.042945)
+ (xy 208.052239 74.041779)
+ (xy 208.06634 74.035677)
+ (xy 208.087602 74.028645)
+ (xy 208.094189 74.0271)
+ (xy 208.102554 74.025138)
+ (xy 208.141211 74.003886)
+ (xy 208.151869 73.998665)
+ (xy 208.184482 73.984552)
+ (xy 208.192362 73.981142)
+ (xy 208.204301 73.971475)
+ (xy 208.222888 73.958985)
+ (xy 208.230559 73.954768)
+ (xy 208.230562 73.954766)
+ (xy 208.236348 73.951585)
+ (xy 208.247036 73.942359)
+ (xy 208.271225 73.91817)
+ (xy 208.281026 73.909344)
+ (xy 208.304341 73.890464)
+ (xy 208.311017 73.885058)
+ (xy 208.321296 73.870594)
+ (xy 208.334907 73.854488)
+ (xy 208.984797 73.204598)
+ (xy 209.047108 73.170573)
+ (xy 209.117923 73.175638)
+ (xy 209.174759 73.218185)
+ (xy 209.199106 73.307739)
+ (xy 209.197154 73.325139)
+ (xy 209.188099 73.40587)
+ (xy 209.188615 73.412014)
+ (xy 209.20216 73.573315)
+ (xy 209.204626 73.602685)
+ (xy 209.211848 73.627871)
+ (xy 209.251225 73.765196)
+ (xy 209.259066 73.792542)
+ (xy 209.261885 73.798027)
+ (xy 209.346529 73.962727)
+ (xy 209.346532 73.962732)
+ (xy 209.349347 73.968209)
+ (xy 209.353176 73.97304)
+ (xy 209.368571 73.992464)
+ (xy 209.472028 74.122994)
+ (xy 209.476722 74.126988)
+ (xy 209.476722 74.126989)
+ (xy 209.592583 74.225594)
+ (xy 209.622438 74.251003)
+ (xy 209.627816 74.254009)
+ (xy 209.627818 74.25401)
+ (xy 209.644176 74.263152)
+ (xy 209.794847 74.347359)
+ (xy 209.982688 74.408392)
+ (xy 210.178806 74.431778)
+ (xy 210.184941 74.431306)
+ (xy 210.184943 74.431306)
+ (xy 210.369589 74.417098)
+ (xy 210.369592 74.417097)
+ (xy 210.375732 74.416625)
+ (xy 210.565964 74.363512)
+ (xy 210.742257 74.27446)
+ (xy 210.777392 74.24701)
+ (xy 210.893039 74.156656)
+ (xy 210.897895 74.152862)
+ (xy 210.903527 74.146338)
+ (xy 210.9406 74.103388)
+ (xy 211.026951 74.00335)
+ (xy 211.034503 73.990057)
+ (xy 211.121465 73.836974)
+ (xy 211.121466 73.836973)
+ (xy 211.124508 73.831617)
+ (xy 211.133928 73.803301)
+ (xy 211.184904 73.650059)
+ (xy 211.186851 73.644207)
+ (xy 211.211605 73.448257)
+ (xy 211.211875 73.428946)
+ (xy 211.211951 73.423522)
+ (xy 211.211951 73.423518)
+ (xy 211.212 73.42)
+ (xy 211.192727 73.223435)
+ (xy 211.135641 73.034357)
+ (xy 211.107083 72.980647)
+ (xy 211.04581 72.86541)
+ (xy 211.045808 72.865407)
+ (xy 211.042916 72.859968)
+ (xy 210.918086 72.70691)
+ (xy 210.765903 72.581014)
+ (xy 210.592166 72.487074)
+ (xy 210.403491 72.42867)
+ (xy 210.397366 72.428026)
+ (xy 210.397365 72.428026)
+ (xy 210.213193 72.408669)
+ (xy 210.213192 72.408669)
+ (xy 210.207065 72.408025)
+ (xy 210.08539 72.419098)
+ (xy 210.015737 72.405353)
+ (xy 209.964573 72.356131)
+ (xy 209.948142 72.287062)
+ (xy 209.984876 72.204522)
+ (xy 210.094993 72.094405)
+ (xy 210.184088 72.0575)
+ (xy 213.062 72.0575)
+ (xy 213.130121 72.077502)
+ (xy 213.176614 72.131158)
+ (xy 213.188 72.1835)
+ (xy 213.188 72.35)
+ (xy 213.200332 72.411995)
+ (xy 213.207224 72.422309)
+ (xy 213.207225 72.422312)
+ (xy 213.212691 72.430492)
+ (xy 213.235449 72.464551)
+ (xy 213.245765 72.471444)
+ (xy 213.277688 72.492775)
+ (xy 213.277691 72.492776)
+ (xy 213.288005 72.499668)
+ (xy 213.300172 72.502088)
+ (xy 213.300174 72.502089)
+ (xy 213.330432 72.508108)
+ (xy 213.35 72.512)
+ (xy 215.05 72.512)
+ (xy 215.069568 72.508108)
+ (xy 215.099826 72.502089)
+ (xy 215.099828 72.502088)
+ (xy 215.111995 72.499668)
+ (xy 215.122309 72.492776)
+ (xy 215.122312 72.492775)
+ (xy 215.154235 72.471444)
+ (xy 215.164551 72.464551)
+ (xy 215.187309 72.430492)
+ (xy 215.192775 72.422312)
+ (xy 215.192776 72.422309)
+ (xy 215.199668 72.411995)
+ (xy 215.212 72.35)
+ (xy 215.212 70.65)
+ (xy 215.203951 70.609536)
+ (xy 215.202089 70.600174)
+ (xy 215.202088 70.600172)
+ (xy 215.199668 70.588005)
+ (xy 215.192776 70.577691)
+ (xy 215.192775 70.577688)
+ (xy 215.171444 70.545765)
+ (xy 215.164551 70.535449)
+ (xy 215.149037 70.525083)
+ (xy 215.122312 70.507225)
+ (xy 215.122309 70.507224)
+ (xy 215.111995 70.500332)
+ (xy 215.099828 70.497912)
+ (xy 215.099826 70.497911)
+ (xy 215.069568 70.491892)
+ (xy 215.05 70.488)
+ (xy 213.35 70.488)
+ (xy 213.330432 70.491892)
+ (xy 213.300174 70.497911)
+ (xy 213.300172 70.497912)
+ (xy 213.288005 70.500332)
+ (xy 213.277691 70.507224)
+ (xy 213.277688 70.507225)
+ (xy 213.250963 70.525083)
+ (xy 213.235449 70.535449)
+ (xy 213.228556 70.545765)
+ (xy 213.207225 70.577688)
+ (xy 213.207224 70.577691)
+ (xy 213.200332 70.588005)
+ (xy 213.197912 70.600172)
+ (xy 213.197911 70.600174)
+ (xy 213.196049 70.609536)
+ (xy 213.188 70.65)
+ (xy 213.188 70.8165)
+ (xy 213.167998 70.884621)
+ (xy 213.114342 70.931114)
+ (xy 213.062 70.9425)
+ (xy 209.91038 70.9425)
+ (xy 209.905103 70.942389)
+ (xy 209.903046 70.942303)
+ (xy 209.848035 70.939997)
+ (xy 209.837364 70.9425)
+ (xy 209.805083 70.950071)
+ (xy 209.793412 70.952234)
+ (xy 209.785315 70.953343)
+ (xy 209.749705 70.958221)
+ (xy 209.739596 70.962596)
+ (xy 209.735612 70.96432)
+ (xy 209.714342 70.971355)
+ (xy 209.699389 70.974862)
+ (xy 209.668922 70.991611)
+ (xy 209.660733 70.996113)
+ (xy 209.650074 71.001335)
+ (xy 209.617465 71.015446)
+ (xy 209.617461 71.015448)
+ (xy 209.609582 71.018858)
+ (xy 209.598687 71.02768)
+ (xy 209.597646 71.028523)
+ (xy 209.579065 71.041008)
+ (xy 209.571384 71.045231)
+ (xy 209.571375 71.045237)
+ (xy 209.565595 71.048415)
+ (xy 209.554907 71.057641)
+ (xy 209.530713 71.081835)
+ (xy 209.520912 71.090661)
+ (xy 209.497601 71.109537)
+ (xy 209.497599 71.109539)
+ (xy 209.490927 71.114942)
+ (xy 209.485954 71.12194)
+ (xy 209.485949 71.121945)
+ (xy 209.480648 71.129405)
+ (xy 209.467037 71.145511)
+ (xy 209.241218 71.37133)
+ (xy 209.178906 71.405356)
+ (xy 209.108091 71.400291)
+ (xy 209.051255 71.357744)
+ (xy 209.028544 71.257654)
+ (xy 209.02997 71.250489)
+ (xy 209.042873 71.185616)
+ (xy 209.054507 71.12713)
+ (xy 209.054507 71.127126)
+ (xy 209.055634 71.121462)
+ (xy 209.05572 71.114942)
+ (xy 209.057727 70.961633)
+ (xy 209.058418 70.908831)
+ (xy 209.052977 70.877163)
+ (xy 209.023385 70.704949)
+ (xy 209.023385 70.704948)
+ (xy 209.022406 70.699252)
+ (xy 208.948804 70.499746)
+ (xy 208.941816 70.488)
+ (xy 208.843034 70.321962)
+ (xy 208.843032 70.321959)
+ (xy 208.840078 70.316994)
+ (xy 208.699868 70.157115)
+ (xy 208.694983 70.153264)
+ (xy 208.571613 70.056006)
+ (xy 208.5305 69.998124)
+ (xy 208.527206 69.927204)
+ (xy 208.562778 69.865762)
+ (xy 208.592423 69.846306)
+ (xy 208.591819 69.845151)
+ (xy 208.605251 69.838128)
+ (xy 208.802037 69.714206)
+ (xy 208.810118 69.708161)
+ (xy 208.984565 69.554365)
+ (xy 208.991576 69.547104)
+ (xy 209.139188 69.3674)
+ (xy 209.144941 69.359122)
+ (xy 209.261931 69.158114)
+ (xy 209.266286 69.149023)
+ (xy 209.349629 68.931907)
+ (xy 209.352477 68.92223)
+ (xy 209.383917 68.771736)
+ (xy 209.382905 68.759066)
+ (xy 209.367472 68.754)
+ (xy 207.954002 68.753999)
+ (xy 207.953996 68.754)
+ (xy 206.029318 68.753999)
+ (xy 206.00811 68.760226)
+ (xy 205.937113 68.760225)
+ (xy 205.880965 68.725797)
+ (xy 205.84995 68.692924)
+ (xy 205.838779 68.683551)
+ (xy 205.717733 68.603937)
+ (xy 205.671811 68.549793)
+ (xy 205.662451 68.479416)
+ (xy 205.692626 68.415151)
+ (xy 205.723972 68.389547)
+ (xy 205.804308 68.343165)
+ (xy 205.816008 68.334455)
+ (xy 205.88692 68.267552)
+ (xy 205.950195 68.235354)
+ (xy 206.012684 68.239486)
+ (xy 206.032528 68.246)
+ (xy 207.445998 68.246001)
+ (xy 207.446004 68.246)
+ (xy 209.370684 68.246001)
+ (xy 209.38477 68.241865)
+ (xy 209.386819 68.228887)
+ (xy 209.384767 68.21115)
+ (xy 209.382808 68.201256)
+ (xy 209.319484 67.977473)
+ (xy 209.315972 67.968029)
+ (xy 209.217683 67.757249)
+ (xy 209.212704 67.748484)
+ (xy 209.081986 67.556139)
+ (xy 209.075654 67.548264)
+ (xy 208.915877 67.379304)
+ (xy 208.908368 67.372543)
+ (xy 208.723625 67.231296)
+ (xy 208.715146 67.225832)
+ (xy 208.585325 67.156223)
+ (xy 208.534742 67.106405)
+ (xy 208.519122 67.037148)
+ (xy 208.543426 66.970441)
+ (xy 208.569477 66.944221)
+ (xy 208.614513 66.910591)
+ (xy 208.67753 66.863534)
+ (xy 208.821877 66.707381)
+ (xy 208.824958 66.702498)
+ (xy 208.824961 66.702494)
+ (xy 208.932269 66.53242)
+ (xy 208.93535 66.527537)
+ (xy 209.008936 66.343093)
+ (xy 209.012009 66.33539)
+ (xy 209.014149 66.330026)
+ (xy 209.043196 66.183993)
+ (xy 209.054507 66.12713)
+ (xy 209.054507 66.127126)
+ (xy 209.055634 66.121462)
+ (xy 209.055819 66.107393)
+ (xy 209.057787 65.95701)
+ (xy 209.058418 65.908831)
+ (xy 209.055107 65.889559)
+ (xy 209.023385 65.704949)
+ (xy 209.023385 65.704948)
+ (xy 209.022406 65.699252)
+ (xy 208.948804 65.499746)
+ (xy 208.943008 65.490004)
+ (xy 208.843034 65.321962)
+ (xy 208.843032 65.321959)
+ (xy 208.840078 65.316994)
+ (xy 208.699868 65.157115)
+ (xy 208.69291 65.15163)
+ (xy 208.53741 65.029043)
+ (xy 208.537408 65.029042)
+ (xy 208.532871 65.025465)
+ (xy 208.527755 65.022774)
+ (xy 208.527753 65.022772)
+ (xy 208.349797 64.929145)
+ (xy 208.349795 64.929144)
+ (xy 208.344678 64.926452)
+ (xy 208.263566 64.901266)
+ (xy 208.147108 64.865104)
+ (xy 208.147103 64.865103)
+ (xy 208.141593 64.863392)
+ (xy 208.112254 64.859919)
+ (xy 207.972987 64.843436)
+ (xy 207.972981 64.843436)
+ (xy 207.9693 64.843)
+ (xy 207.445586 64.843)
+ (xy 207.359503 64.85091)
+ (xy 207.293996 64.856929)
+ (xy 207.293993 64.85693)
+ (xy 207.288242 64.857458)
+ (xy 207.282685 64.859025)
+ (xy 207.282681 64.859026)
+ (xy 207.089135 64.913612)
+ (xy 207.089133 64.913613)
+ (xy 207.083576 64.91518)
+ (xy 207.0784 64.917732)
+ (xy 207.078396 64.917734)
+ (xy 206.953024 64.979561)
+ (xy 206.892857 65.009232)
+ (xy 206.888231 65.012686)
+ (xy 206.88823 65.012687)
+ (xy 206.859174 65.034384)
+ (xy 206.72247 65.136466)
+ (xy 206.578123 65.292619)
+ (xy 206.520632 65.383737)
+ (xy 206.467366 65.430674)
+ (xy 206.414071 65.4425)
+ (xy 205.888 65.4425)
+ (xy 205.819879 65.422498)
+ (xy 205.773386 65.368842)
+ (xy 205.762 65.3165)
+ (xy 205.762 65.2625)
+ (xy 205.747401 65.170324)
+ (xy 205.743998 65.148839)
+ (xy 205.743998 65.148838)
+ (xy 205.742447 65.139048)
+ (xy 205.685702 65.02768)
+ (xy 205.67869 65.020668)
+ (xy 205.672863 65.012648)
+ (xy 205.674526 65.01144)
+ (xy 205.646591 64.960283)
+ (xy 205.651656 64.889468)
+ (xy 205.694203 64.832632)
+ (xy 205.769712 64.8075)
+ (xy 206.262452 64.8075)
+ (xy 206.287034 64.809921)
+ (xy 206.287828 64.810079)
+ (xy 206.28783 64.810079)
+ (xy 206.3 64.8125)
+ (xy 206.31217 64.810079)
+ (xy 206.312965 64.809921)
+ (xy 206.325135 64.8075)
+ (xy 206.325136 64.8075)
+ (xy 206.400454 64.792518)
+ (xy 206.410772 64.785624)
+ (xy 206.475297 64.742511)
+ (xy 206.475298 64.74251)
+ (xy 206.485616 64.735616)
+ (xy 206.492961 64.724623)
+ (xy 206.508632 64.705527)
+ (xy 206.619756 64.594404)
+ (xy 206.708851 64.5575)
+ (xy 208.491151 64.5575)
+ (xy 208.580246 64.594405)
+ (xy 209.213164 65.227324)
+ (xy 209.841365 65.855525)
+ (xy 209.857035 65.874618)
+ (xy 209.85749 65.875299)
+ (xy 209.857492 65.875301)
+ (xy 209.864384 65.885616)
+ (xy 209.874699 65.892508)
+ (xy 209.937705 65.934606)
+ (xy 209.949546 65.942518)
+ (xy 209.961717 65.944939)
+ (xy 210.024864 65.9575)
+ (xy 210.024865 65.9575)
+ (xy 210.05 65.9625)
+ (xy 210.06217 65.960079)
+ (xy 210.062172 65.960079)
+ (xy 210.062966 65.959921)
+ (xy 210.087548 65.9575)
+ (xy 210.781151 65.9575)
+ (xy 210.870246 65.994405)
+ (xy 213.2623 68.38646)
+ (xy 213.296326 68.448772)
+ (xy 213.28362 68.536256)
+ (xy 213.269835 68.561331)
+ (xy 213.263831 68.580257)
+ (xy 213.217664 68.725797)
+ (xy 213.210115 68.749593)
+ (xy 213.209429 68.75571)
+ (xy 213.209428 68.755714)
+ (xy 213.194455 68.889207)
+ (xy 213.188099 68.94587)
+ (xy 213.190128 68.970029)
+ (xy 213.203602 69.130488)
+ (xy 213.204626 69.142685)
+ (xy 213.22067 69.198638)
+ (xy 213.256865 69.324865)
+ (xy 213.259066 69.332542)
+ (xy 213.261885 69.338027)
+ (xy 213.346529 69.502727)
+ (xy 213.346532 69.502732)
+ (xy 213.349347 69.508209)
+ (xy 213.472028 69.662994)
+ (xy 213.476722 69.666988)
+ (xy 213.476722 69.666989)
+ (xy 213.614868 69.78456)
+ (xy 213.622438 69.791003)
+ (xy 213.627816 69.794009)
+ (xy 213.627818 69.79401)
+ (xy 213.687745 69.827502)
+ (xy 213.794847 69.887359)
+ (xy 213.982688 69.948392)
+ (xy 214.178806 69.971778)
+ (xy 214.184941 69.971306)
+ (xy 214.184943 69.971306)
+ (xy 214.369589 69.957098)
+ (xy 214.369592 69.957097)
+ (xy 214.375732 69.956625)
+ (xy 214.39036 69.952541)
+ (xy 214.454528 69.934625)
+ (xy 214.565964 69.903512)
+ (xy 214.742257 69.81446)
+ (xy 214.748816 69.809336)
+ (xy 214.893039 69.696656)
+ (xy 214.897895 69.692862)
+ (xy 214.904151 69.685615)
+ (xy 214.975055 69.603472)
+ (xy 215.026951 69.54335)
+ (xy 215.031345 69.535616)
+ (xy 215.121465 69.376974)
+ (xy 215.121466 69.376973)
+ (xy 215.124508 69.371617)
+ (xy 215.131229 69.351415)
+ (xy 215.155579 69.278213)
+ (xy 215.186851 69.184207)
+ (xy 215.211605 68.988257)
+ (xy 215.212 68.96)
+ (xy 215.192727 68.763435)
+ (xy 215.135641 68.574357)
+ (xy 215.124622 68.553634)
+ (xy 215.04581 68.40541)
+ (xy 215.045808 68.405407)
+ (xy 215.042916 68.399968)
+ (xy 214.918086 68.24691)
+ (xy 214.765903 68.121014)
+ (xy 214.592166 68.027074)
+ (xy 214.585292 68.024946)
+ (xy 214.513593 68.002752)
+ (xy 214.403491 67.96867)
+ (xy 214.397366 67.968026)
+ (xy 214.397365 67.968026)
+ (xy 214.213193 67.948669)
+ (xy 214.213192 67.948669)
+ (xy 214.207065 67.948025)
+ (xy 214.086743 67.958975)
+ (xy 214.016511 67.965366)
+ (xy 214.01651 67.965366)
+ (xy 214.01037 67.965925)
+ (xy 213.820898 68.02169)
+ (xy 213.815435 68.024546)
+ (xy 213.81543 68.024548)
+ (xy 213.774835 68.045771)
+ (xy 213.705199 68.059606)
+ (xy 213.627366 68.023206)
+ (xy 211.148637 65.544478)
+ (xy 211.132967 65.525385)
+ (xy 211.13251 65.524701)
+ (xy 211.132508 65.524699)
+ (xy 211.125616 65.514384)
+ (xy 211.055567 65.46758)
+ (xy 211.050772 65.464376)
+ (xy 211.040454 65.457482)
+ (xy 210.965136 65.4425)
+ (xy 210.965135 65.4425)
+ (xy 210.96143 65.441763)
+ (xy 210.94 65.4375)
+ (xy 210.92783 65.439921)
+ (xy 210.927828 65.439921)
+ (xy 210.927034 65.440079)
+ (xy 210.902452 65.4425)
+ (xy 210.20885 65.4425)
+ (xy 210.119755 65.405595)
+ (xy 208.858637 64.144478)
+ (xy 208.842967 64.125385)
+ (xy 208.84251 64.124701)
+ (xy 208.842508 64.124699)
+ (xy 208.835616 64.114384)
+ (xy 208.775464 64.074193)
+ (xy 208.760772 64.064376)
+ (xy 208.750454 64.057482)
+ (xy 208.675136 64.0425)
+ (xy 208.675135 64.0425)
+ (xy 208.65 64.0375)
+ (xy 208.63783 64.039921)
+ (xy 208.637828 64.039921)
+ (xy 208.637034 64.040079)
+ (xy 208.612452 64.0425)
+ (xy 206.587547 64.0425)
+ (xy 206.562965 64.040079)
+ (xy 206.562171 64.039921)
+ (xy 206.562169 64.039921)
+ (xy 206.549999 64.0375)
+ (xy 206.524864 64.0425)
+ (xy 206.461716 64.055061)
+ (xy 206.461715 64.055061)
+ (xy 206.449546 64.057482)
+ (xy 206.439228 64.064376)
+ (xy 206.424536 64.074193)
+ (xy 206.364384 64.114384)
+ (xy 206.357492 64.124699)
+ (xy 206.35749 64.124701)
+ (xy 206.357037 64.125379)
+ (xy 206.341368 64.144472)
+ (xy 206.272531 64.21331)
+ (xy 206.230246 64.255595)
+ (xy 206.14115 64.2925)
+ (xy 202.787547 64.2925)
+ (xy 202.762965 64.290079)
+ (xy 202.762171 64.289921)
+ (xy 202.75 64.2875)
+ (xy 202.649545 64.307482)
+ (xy 202.615625 64.330147)
+ (xy 202.615625 64.330146)
+ (xy 202.615622 64.330149)
+ (xy 202.574704 64.357488)
+ (xy 202.574702 64.35749)
+ (xy 202.564384 64.364384)
+ (xy 202.557488 64.374705)
+ (xy 202.557037 64.375379)
+ (xy 202.541372 64.394468)
+ (xy 202.531571 64.404269)
+ (xy 202.469263 64.438296)
+ (xy 202.398447 64.433235)
+ (xy 202.349951 64.400708)
+ (xy 202.339175 64.389051)
+ (xy 202.331031 64.38432)
+ (xy 202.331029 64.384319)
+ (xy 202.228399 64.324706)
+ (xy 202.228395 64.324704)
+ (xy 202.220258 64.319978)
+ (xy 202.154075 64.304638)
+ (xy 202.095465 64.291053)
+ (xy 202.095463 64.291053)
+ (xy 202.086287 64.288926)
+ (xy 202.076891 64.289591)
+ (xy 202.076888 64.289591)
+ (xy 201.958511 64.297972)
+ (xy 201.958509 64.297973)
+ (xy 201.949109 64.298638)
+ (xy 201.82085 64.348258)
+ (xy 201.712852 64.433397)
+ (xy 201.634662 64.546528)
+ (xy 201.631823 64.555505)
+ (xy 201.631822 64.555507)
+ (xy 201.606339 64.636085)
+ (xy 201.593194 64.677649)
+ (xy 201.592114 64.815167)
+ (xy 201.594813 64.824191)
+ (xy 201.594813 64.824193)
+ (xy 201.604792 64.857559)
+ (xy 201.631517 64.946923)
+ (xy 201.664433 64.996184)
+ (xy 201.702609 65.053318)
+ (xy 201.707921 65.061268)
+ (xy 201.715222 65.067212)
+ (xy 201.715223 65.067213)
+ (xy 201.803458 65.139048)
+ (xy 201.814568 65.148093)
+ (xy 201.823299 65.151629)
+ (xy 201.8233 65.15163)
+ (xy 201.833571 65.15579)
+ (xy 201.942032 65.199721)
+ (xy 201.951417 65.200534)
+ (xy 201.951418 65.200534)
+ (xy 202.069661 65.210775)
+ (xy 202.069664 65.210775)
+ (xy 202.079041 65.211587)
+ (xy 202.088242 65.209606)
+ (xy 202.088244 65.209606)
+ (xy 202.204278 65.184625)
+ (xy 202.204281 65.184624)
+ (xy 202.213482 65.182643)
+ (xy 202.221694 65.178044)
+ (xy 202.221697 65.178043)
+ (xy 202.325254 65.120048)
+ (xy 202.33347 65.115447)
+ (xy 202.367676 65.079589)
+ (xy 202.399214 65.046529)
+ (xy 202.490384 65.0075)
+ (xy 202.512452 65.0075)
+ (xy 202.537034 65.009921)
+ (xy 202.549999 65.0125)
+ (xy 202.549375 65.015635)
+ (xy 202.598573 65.030081)
+ (xy 202.645066 65.083737)
+ (xy 202.654901 65.15579)
+ (xy 202.650334 65.184625)
+ (xy 202.638 65.2625)
+ (xy 202.638 65.7375)
+ (xy 202.644099 65.776007)
+ (xy 202.655542 65.848253)
+ (xy 202.657553 65.860952)
+ (xy 202.714298 65.97232)
+ (xy 202.730595 65.988617)
+ (xy 202.7675 66.077712)
+ (xy 202.767501 66.481809)
+ (xy 202.767501 66.8165)
+ (xy 202.747499 66.884621)
+ (xy 202.693843 66.931114)
+ (xy 202.641501 66.9425)
+ (xy 201.633855 66.9425)
+ (xy 201.576652 66.928767)
+ (xy 201.554421 66.91744)
+ (xy 201.485952 66.882553)
+ (xy 201.476162 66.881002)
+ (xy 201.476161 66.881002)
+ (xy 201.429766 66.873654)
+ (xy 201.3625 66.863)
+ (xy 200.7875 66.863)
+ (xy 200.720234 66.873654)
+ (xy 200.673839 66.881002)
+ (xy 200.673838 66.881002)
+ (xy 200.664048 66.882553)
+ (xy 200.55268 66.939298)
+ (xy 200.464298 67.02768)
+ (xy 200.407553 67.139048)
+ (xy 200.406002 67.148838)
+ (xy 200.406002 67.148839)
+ (xy 200.402105 67.173447)
+ (xy 200.388 67.2625)
+ (xy 200.388 67.7375)
+ (xy 200.399816 67.8121)
+ (xy 200.405732 67.849453)
+ (xy 200.407553 67.860952)
+ (xy 200.464298 67.97232)
+ (xy 200.55268 68.060702)
+ (xy 200.664048 68.117447)
+ (xy 200.673838 68.118998)
+ (xy 200.673839 68.118998)
+ (xy 200.710147 68.124748)
+ (xy 200.7875 68.137)
+ (xy 201.3625 68.137)
+ (xy 201.439853 68.124748)
+ (xy 201.476161 68.118998)
+ (xy 201.476162 68.118998)
+ (xy 201.485952 68.117447)
+ (xy 201.576652 68.071233)
+ (xy 201.633855 68.0575)
+ (xy 201.694172 68.0575)
+ (xy 201.762293 68.077502)
+ (xy 201.808786 68.131158)
+ (xy 201.81889 68.201432)
+ (xy 201.797825 68.255139)
+ (xy 201.734662 68.346528)
+ (xy 201.731823 68.355505)
+ (xy 201.731822 68.355507)
+ (xy 201.711601 68.419446)
+ (xy 201.693194 68.477649)
+ (xy 201.692114 68.615167)
+ (xy 201.694813 68.624191)
+ (xy 201.694813 68.624193)
+ (xy 201.715244 68.692508)
+ (xy 201.731517 68.746923)
+ (xy 201.736747 68.754751)
+ (xy 201.738257 68.757959)
+ (xy 201.749162 68.828113)
+ (xy 201.720407 68.893026)
+ (xy 201.661123 68.932088)
+ (xy 201.567045 68.923872)
+ (xy 201.554826 68.917646)
+ (xy 201.485952 68.882553)
+ (xy 201.476162 68.881002)
+ (xy 201.476161 68.881002)
+ (xy 201.439853 68.875252)
+ (xy 201.3625 68.863)
+ (xy 200.972927 68.863)
+ (xy 200.904806 68.842998)
+ (xy 200.848327 68.755732)
+ (xy 200.848325 68.755714)
+ (xy 200.845888 68.739505)
+ (xy 200.843454 68.723316)
+ (xy 200.843453 68.723314)
+ (xy 200.842054 68.714006)
+ (xy 200.782525 68.590036)
+ (xy 200.705378 68.506579)
+ (xy 200.695572 68.495971)
+ (xy 200.695571 68.49597)
+ (xy 200.689175 68.489051)
+ (xy 200.681031 68.48432)
+ (xy 200.681029 68.484319)
+ (xy 200.578399 68.424706)
+ (xy 200.578395 68.424704)
+ (xy 200.570258 68.419978)
+ (xy 200.509084 68.405799)
+ (xy 200.445465 68.391053)
+ (xy 200.445463 68.391053)
+ (xy 200.436287 68.388926)
+ (xy 200.426891 68.389591)
+ (xy 200.426888 68.389591)
+ (xy 200.308511 68.397972)
+ (xy 200.308509 68.397973)
+ (xy 200.299109 68.398638)
+ (xy 200.17085 68.448258)
+ (xy 200.089994 68.512)
+ (xy 200.086506 68.51475)
+ (xy 200.020627 68.541215)
+ (xy 199.950897 68.527862)
+ (xy 199.899456 68.47893)
+ (xy 199.8825 68.4158)
+ (xy 199.8825 68.077712)
+ (xy 199.919405 67.988617)
+ (xy 199.935702 67.97232)
+ (xy 199.992447 67.860952)
+ (xy 199.994269 67.849453)
+ (xy 200.000184 67.8121)
+ (xy 200.012 67.7375)
+ (xy 200.012 67.2625)
+ (xy 199.997895 67.173447)
+ (xy 199.993998 67.148839)
+ (xy 199.993998 67.148838)
+ (xy 199.992447 67.139048)
+ (xy 199.935702 67.02768)
+ (xy 199.91189 67.003868)
+ (xy 199.878313 66.943541)
+ (xy 199.874928 66.929107)
+ (xy 199.872766 66.91744)
+ (xy 199.869012 66.890036)
+ (xy 199.866779 66.873733)
+ (xy 199.860677 66.859632)
+ (xy 199.853645 66.83837)
+ (xy 199.852099 66.831777)
+ (xy 199.852098 66.831775)
+ (xy 199.850138 66.823418)
+ (xy 199.843937 66.812137)
+ (xy 199.828883 66.784755)
+ (xy 199.823666 66.774107)
+ (xy 199.806142 66.73361)
+ (xy 199.796476 66.721674)
+ (xy 199.783983 66.703081)
+ (xy 199.776585 66.689623)
+ (xy 199.767359 66.678935)
+ (xy 199.743165 66.654741)
+ (xy 199.734339 66.64494)
+ (xy 199.733746 66.644207)
+ (xy 199.719266 66.626326)
+ (xy 199.715463 66.621629)
+ (xy 199.715461 66.621627)
+ (xy 199.710058 66.614955)
+ (xy 199.70306 66.609982)
+ (xy 199.703055 66.609977)
+ (xy 199.695595 66.604676)
+ (xy 199.679489 66.591065)
+ (xy 198.665478 65.577054)
+ (xy 197.644405 64.555982)
+ (xy 197.6075 64.466887)
+ (xy 197.6075 63.501307)
+ (xy 197.627502 63.433186)
+ (xy 197.681158 63.386693)
+ (xy 197.751432 63.376589)
+ (xy 197.825651 63.415375)
+ (xy 197.94802 63.5466)
+ (xy 197.954104 63.552312)
+ (xy 198.179425 63.737393)
+ (xy 198.186206 63.742248)
+ (xy 198.434038 63.89591)
+ (xy 198.441398 63.899823)
+ (xy 198.707364 64.019353)
+ (xy 198.715187 64.022263)
+ (xy 198.994637 64.105571)
+ (xy 199.002758 64.107416)
+ (xy 199.291433 64.153137)
+ (xy 199.298408 64.153845)
+ (xy 199.388477 64.157936)
+ (xy 199.39131 64.158)
+ (xy 199.727885 64.158)
+ (xy 199.743124 64.153525)
+ (xy 199.744329 64.152135)
+ (xy 199.746 64.144452)
+ (xy 199.746 64.139885)
+ (xy 200.253999 64.139885)
+ (xy 200.258474 64.155124)
+ (xy 200.259864 64.156329)
+ (xy 200.267547 64.158)
+ (xy 200.573181 64.158)
+ (xy 200.577373 64.157861)
+ (xy 200.795125 64.143398)
+ (xy 200.803394 64.142295)
+ (xy 201.089231 64.084659)
+ (xy 201.097292 64.082469)
+ (xy 201.372998 63.987536)
+ (xy 201.380685 63.984305)
+ (xy 201.64141 63.853743)
+ (xy 201.648619 63.849513)
+ (xy 201.889783 63.685619)
+ (xy 201.896358 63.680482)
+ (xy 202.024544 63.56587)
+ (xy 209.188099 63.56587)
+ (xy 209.188615 63.572014)
+ (xy 209.203192 63.745603)
+ (xy 209.204626 63.762685)
+ (xy 209.213909 63.795059)
+ (xy 209.25463 63.93707)
+ (xy 209.259066 63.952542)
+ (xy 209.261885 63.958027)
+ (xy 209.346529 64.122727)
+ (xy 209.346532 64.122732)
+ (xy 209.349347 64.128209)
+ (xy 209.472028 64.282994)
+ (xy 209.476722 64.286988)
+ (xy 209.476722 64.286989)
+ (xy 209.603018 64.394475)
+ (xy 209.622438 64.411003)
+ (xy 209.627816 64.414009)
+ (xy 209.627818 64.41401)
+ (xy 209.662507 64.433397)
+ (xy 209.794847 64.507359)
+ (xy 209.982688 64.568392)
+ (xy 210.178806 64.591778)
+ (xy 210.184941 64.591306)
+ (xy 210.184943 64.591306)
+ (xy 210.369589 64.577098)
+ (xy 210.369592 64.577097)
+ (xy 210.375732 64.576625)
+ (xy 210.383759 64.574384)
+ (xy 210.412039 64.566488)
+ (xy 210.565964 64.523512)
+ (xy 210.742257 64.43446)
+ (xy 210.757169 64.42281)
+ (xy 210.893039 64.316656)
+ (xy 210.897895 64.312862)
+ (xy 210.921179 64.285888)
+ (xy 210.995709 64.199544)
+ (xy 211.026951 64.16335)
+ (xy 211.03007 64.157861)
+ (xy 211.033159 64.152423)
+ (xy 212.868255 64.152423)
+ (xy 212.876638 64.204471)
+ (xy 212.879212 64.214793)
+ (xy 212.95023 64.42281)
+ (xy 212.954497 64.432533)
+ (xy 213.059556 64.625625)
+ (xy 213.065402 64.634491)
+ (xy 213.201486 64.807113)
+ (xy 213.20875 64.814875)
+ (xy 213.371967 64.962094)
+ (xy 213.380444 64.968528)
+ (xy 213.566122 65.086136)
+ (xy 213.575567 65.091053)
+ (xy 213.778406 65.175694)
+ (xy 213.788545 65.17895)
+ (xy 213.915264 65.208089)
+ (xy 213.97717 65.242848)
+ (xy 214.010456 65.305558)
+ (xy 214.004554 65.376309)
+ (xy 213.961338 65.432638)
+ (xy 213.922609 65.451755)
+ (xy 213.820898 65.48169)
+ (xy 213.645866 65.573195)
+ (xy 213.641068 65.577053)
+ (xy 213.641066 65.577054)
+ (xy 213.568904 65.635074)
+ (xy 213.491941 65.696954)
+ (xy 213.487982 65.701672)
+ (xy 213.487981 65.701673)
+ (xy 213.411866 65.792383)
+ (xy 213.364985 65.848253)
+ (xy 213.362022 65.853642)
+ (xy 213.362019 65.853647)
+ (xy 213.283198 65.997023)
+ (xy 213.269835 66.021331)
+ (xy 213.210115 66.209593)
+ (xy 213.209429 66.21571)
+ (xy 213.209428 66.215714)
+ (xy 213.194715 66.346886)
+ (xy 213.188099 66.40587)
+ (xy 213.190412 66.433414)
+ (xy 213.203823 66.593119)
+ (xy 213.204626 66.602685)
+ (xy 213.222651 66.665546)
+ (xy 213.256516 66.783648)
+ (xy 213.259066 66.792542)
+ (xy 213.261885 66.798027)
+ (xy 213.346529 66.962727)
+ (xy 213.346532 66.962732)
+ (xy 213.349347 66.968209)
+ (xy 213.353176 66.97304)
+ (xy 213.374807 67.000332)
+ (xy 213.472028 67.122994)
+ (xy 213.476722 67.126988)
+ (xy 213.476722 67.126989)
+ (xy 213.543031 67.183422)
+ (xy 213.622438 67.251003)
+ (xy 213.627816 67.254009)
+ (xy 213.627818 67.25401)
+ (xy 213.69744 67.29292)
+ (xy 213.794847 67.347359)
+ (xy 213.982688 67.408392)
+ (xy 214.178806 67.431778)
+ (xy 214.184941 67.431306)
+ (xy 214.184943 67.431306)
+ (xy 214.369589 67.417098)
+ (xy 214.369592 67.417097)
+ (xy 214.375732 67.416625)
+ (xy 214.565964 67.363512)
+ (xy 214.742257 67.27446)
+ (xy 214.748208 67.269811)
+ (xy 214.893039 67.156656)
+ (xy 214.897895 67.152862)
+ (xy 214.913722 67.134527)
+ (xy 214.974658 67.063932)
+ (xy 215.026951 67.00335)
+ (xy 215.032449 66.993673)
+ (xy 215.121465 66.836974)
+ (xy 215.121466 66.836973)
+ (xy 215.124508 66.831617)
+ (xy 215.127236 66.823418)
+ (xy 215.166065 66.706691)
+ (xy 215.186851 66.644207)
+ (xy 215.211605 66.448257)
+ (xy 215.212 66.42)
+ (xy 215.192727 66.223435)
+ (xy 215.135641 66.034357)
+ (xy 215.117373 66)
+ (xy 215.04581 65.86541)
+ (xy 215.045808 65.865407)
+ (xy 215.042916 65.859968)
+ (xy 214.918086 65.70691)
+ (xy 214.765903 65.581014)
+ (xy 214.592166 65.487074)
+ (xy 214.48206 65.452991)
+ (xy 214.422901 65.41374)
+ (xy 214.394353 65.348736)
+ (xy 214.405481 65.278617)
+ (xy 214.484272 65.211598)
+ (xy 214.683333 65.153954)
+ (xy 214.693259 65.150143)
+ (xy 214.891065 65.054307)
+ (xy 214.900212 65.048876)
+ (xy 215.079041 64.921083)
+ (xy 215.087149 64.914182)
+ (xy 215.241893 64.758082)
+ (xy 215.248706 64.749933)
+ (xy 215.37494 64.569988)
+ (xy 215.380295 64.560787)
+ (xy 215.474399 64.362156)
+ (xy 215.478123 64.352197)
+ (xy 215.535968 64.145718)
+ (xy 215.53443 64.137351)
+ (xy 215.522137 64.134)
+ (xy 212.883403 64.134)
+ (xy 212.870222 64.13787)
+ (xy 212.868255 64.152423)
+ (xy 211.033159 64.152423)
+ (xy 211.121465 63.996974)
+ (xy 211.121466 63.996973)
+ (xy 211.124508 63.991617)
+ (xy 211.126941 63.984305)
+ (xy 211.160474 63.8835)
+ (xy 211.186851 63.804207)
+ (xy 211.194958 63.740036)
+ (xy 211.211163 63.611758)
+ (xy 211.211163 63.611753)
+ (xy 211.211605 63.608257)
+ (xy 211.211606 63.608174)
+ (xy 212.869008 63.608174)
+ (xy 212.870427 63.621414)
+ (xy 212.885062 63.626)
+ (xy 213.927885 63.626)
+ (xy 213.943124 63.621525)
+ (xy 213.944329 63.620135)
+ (xy 213.946 63.612452)
+ (xy 213.946 62.563717)
+ (xy 213.945329 62.56143)
+ (xy 214.454 62.56143)
+ (xy 214.454 63.607885)
+ (xy 214.458475 63.623124)
+ (xy 214.459865 63.624329)
+ (xy 214.467548 63.626)
+ (xy 215.519079 63.626)
+ (xy 215.53019 63.622738)
+ (xy 215.531741 63.604992)
+ (xy 215.486954 63.432433)
+ (xy 215.483419 63.422395)
+ (xy 215.393147 63.221998)
+ (xy 215.387967 63.212692)
+ (xy 215.265218 63.030366)
+ (xy 215.258557 63.02208)
+ (xy 215.10683 62.86303)
+ (xy 215.098873 62.85599)
+ (xy 214.922523 62.724782)
+ (xy 214.913486 62.719178)
+ (xy 214.71755 62.619559)
+ (xy 214.707699 62.615559)
+ (xy 214.497778 62.550378)
+ (xy 214.487396 62.548095)
+ (xy 214.471959 62.546049)
+ (xy 214.457792 62.548246)
+ (xy 214.454 62.56143)
+ (xy 213.945329 62.56143)
+ (xy 213.942426 62.551545)
+ (xy 213.92625 62.549746)
+ (xy 213.813554 62.573391)
+ (xy 213.803358 62.576451)
+ (xy 213.598932 62.657182)
+ (xy 213.589396 62.661916)
+ (xy 213.401486 62.775942)
+ (xy 213.392896 62.782206)
+ (xy 213.226884 62.926264)
+ (xy 213.219464 62.933895)
+ (xy 213.0801 63.10386)
+ (xy 213.074075 63.112627)
+ (xy 212.965342 63.303644)
+ (xy 212.960877 63.313308)
+ (xy 212.885882 63.519916)
+ (xy 212.883111 63.530184)
+ (xy 212.869008 63.608174)
+ (xy 211.211606 63.608174)
+ (xy 211.212 63.58)
+ (xy 211.192727 63.383435)
+ (xy 211.135641 63.194357)
+ (xy 211.127531 63.179105)
+ (xy 211.04581 63.02541)
+ (xy 211.045808 63.025407)
+ (xy 211.042916 63.019968)
+ (xy 210.918086 62.86691)
+ (xy 210.765903 62.741014)
+ (xy 210.592166 62.647074)
+ (xy 210.403491 62.58867)
+ (xy 210.397366 62.588026)
+ (xy 210.397365 62.588026)
+ (xy 210.213193 62.568669)
+ (xy 210.213192 62.568669)
+ (xy 210.207065 62.568025)
+ (xy 210.086743 62.578975)
+ (xy 210.016511 62.585366)
+ (xy 210.01651 62.585366)
+ (xy 210.01037 62.585925)
+ (xy 210.000425 62.588852)
+ (xy 209.826809 62.63995)
+ (xy 209.826806 62.639951)
+ (xy 209.820898 62.64169)
+ (xy 209.645866 62.733195)
+ (xy 209.641068 62.737053)
+ (xy 209.641066 62.737054)
+ (xy 209.570724 62.793611)
+ (xy 209.491941 62.856954)
+ (xy 209.487982 62.861672)
+ (xy 209.487981 62.861673)
+ (xy 209.369014 63.003451)
+ (xy 209.364985 63.008253)
+ (xy 209.362022 63.013642)
+ (xy 209.362019 63.013647)
+ (xy 209.303369 63.120332)
+ (xy 209.269835 63.181331)
+ (xy 209.267972 63.187204)
+ (xy 209.215558 63.352436)
+ (xy 209.210115 63.369593)
+ (xy 209.209429 63.37571)
+ (xy 209.209428 63.375714)
+ (xy 209.192626 63.525511)
+ (xy 209.188099 63.56587)
+ (xy 202.024544 63.56587)
+ (xy 202.113735 63.486125)
+ (xy 202.119575 63.480161)
+ (xy 202.309336 63.258762)
+ (xy 202.314329 63.252088)
+ (xy 202.473144 63.007536)
+ (xy 202.477218 63.000246)
+ (xy 202.602288 62.736848)
+ (xy 202.605364 62.72908)
+ (xy 202.694501 62.451449)
+ (xy 202.696518 62.44336)
+ (xy 202.745375 62.17183)
+ (xy 202.743943 62.158571)
+ (xy 202.729333 62.154)
+ (xy 200.272115 62.153999)
+ (xy 200.256876 62.158474)
+ (xy 200.255671 62.159864)
+ (xy 200.254 62.167547)
+ (xy 200.253999 64.139885)
+ (xy 199.746 64.139885)
+ (xy 199.746001 62.154)
+ (xy 199.746 62.153996)
+ (xy 199.746 61.627885)
+ (xy 200.253999 61.627885)
+ (xy 200.258474 61.643124)
+ (xy 200.259864 61.644329)
+ (xy 200.267547 61.646)
+ (xy 202.726313 61.646001)
+ (xy 202.740941 61.641706)
+ (xy 202.743004 61.629729)
+ (xy 202.736725 61.557951)
+ (xy 202.735451 61.54972)
+ (xy 202.67184 61.26514)
+ (xy 202.669484 61.257136)
+ (xy 202.618749 61.119242)
+ (xy 214.02049 61.119242)
+ (xy 214.024787 61.14732)
+ (xy 214.053278 61.333505)
+ (xy 214.054429 61.341029)
+ (xy 214.069551 61.385196)
+ (xy 214.12512 61.5475)
+ (xy 214.127106 61.553302)
+ (xy 214.236224 61.749349)
+ (xy 214.239776 61.753689)
+ (xy 214.239779 61.753693)
+ (xy 214.285581 61.809652)
+ (xy 214.378335 61.922975)
+ (xy 214.382603 61.92662)
+ (xy 214.544682 62.065049)
+ (xy 214.544686 62.065052)
+ (xy 214.548947 62.068691)
+ (xy 214.742667 62.181892)
+ (xy 214.747933 62.183819)
+ (xy 214.747937 62.183821)
+ (xy 214.948106 62.257072)
+ (xy 214.948109 62.257073)
+ (xy 214.95337 62.258998)
+ (xy 214.958886 62.259961)
+ (xy 214.958891 62.259962)
+ (xy 215.119686 62.288025)
+ (xy 215.174399 62.297574)
+ (xy 215.180005 62.297545)
+ (xy 215.180009 62.297545)
+ (xy 215.283025 62.297005)
+ (xy 215.398765 62.296399)
+ (xy 215.404285 62.295376)
+ (xy 215.613854 62.256535)
+ (xy 215.613858 62.256534)
+ (xy 215.619377 62.255511)
+ (xy 215.619431 62.2558)
+ (xy 215.687607 62.256706)
+ (xy 215.739602 62.288025)
+ (xy 216.422905 62.971329)
+ (xy 217.105596 63.65402)
+ (xy 217.142501 63.743115)
+ (xy 217.1425 69.615167)
+ (xy 217.1425 75.486675)
+ (xy 217.121266 75.556676)
+ (xy 217.100332 75.588005)
+ (xy 217.088 75.65)
+ (xy 217.088 76.45)
+ (xy 217.089437 76.457225)
+ (xy 217.097104 76.495765)
+ (xy 217.100332 76.511995)
+ (xy 217.107224 76.522309)
+ (xy 217.107225 76.522312)
+ (xy 217.126627 76.551348)
+ (xy 217.135449 76.564551)
+ (xy 217.145765 76.571444)
+ (xy 217.177688 76.592775)
+ (xy 217.177691 76.592776)
+ (xy 217.188005 76.599668)
+ (xy 217.200172 76.602088)
+ (xy 217.200174 76.602089)
+ (xy 217.230432 76.608108)
+ (xy 217.25 76.612)
+ (xy 218.15 76.612)
+ (xy 218.169568 76.608108)
+ (xy 218.199826 76.602089)
+ (xy 218.199828 76.602088)
+ (xy 218.211995 76.599668)
+ (xy 218.222309 76.592776)
+ (xy 218.222312 76.592775)
+ (xy 218.254235 76.571444)
+ (xy 218.264551 76.564551)
+ (xy 218.273373 76.551348)
+ (xy 218.292775 76.522312)
+ (xy 218.292776 76.522309)
+ (xy 218.299668 76.511995)
+ (xy 218.302897 76.495765)
+ (xy 218.310563 76.457225)
+ (xy 218.312 76.45)
+ (xy 218.312 75.65)
+ (xy 218.299668 75.588005)
+ (xy 218.278734 75.556676)
+ (xy 218.2575 75.486675)
+ (xy 218.2575 63.469408)
+ (xy 218.257611 63.464131)
+ (xy 218.258931 63.432643)
+ (xy 218.260003 63.407063)
+ (xy 218.256062 63.390261)
+ (xy 218.249929 63.364113)
+ (xy 218.247765 63.352436)
+ (xy 218.241779 63.308733)
+ (xy 218.235677 63.294632)
+ (xy 218.228645 63.27337)
+ (xy 218.227099 63.266777)
+ (xy 218.227098 63.266775)
+ (xy 218.225138 63.258418)
+ (xy 218.220347 63.249702)
+ (xy 218.203883 63.219755)
+ (xy 218.198666 63.209107)
+ (xy 218.181142 63.16861)
+ (xy 218.171476 63.156674)
+ (xy 218.158983 63.138081)
+ (xy 218.154766 63.13041)
+ (xy 218.151585 63.124623)
+ (xy 218.146017 63.118172)
+ (xy 218.143868 63.115683)
+ (xy 218.143866 63.115681)
+ (xy 218.142359 63.113935)
+ (xy 218.118165 63.089741)
+ (xy 218.109339 63.07994)
+ (xy 218.090463 63.056629)
+ (xy 218.090461 63.056627)
+ (xy 218.085058 63.049955)
+ (xy 218.07806 63.044982)
+ (xy 218.078055 63.044977)
+ (xy 218.070595 63.039676)
+ (xy 218.054489 63.026065)
+ (xy 217.311051 62.282627)
+ (xy 216.525786 61.497363)
+ (xy 216.491761 61.435051)
+ (xy 216.495254 61.368704)
+ (xy 216.499244 61.35664)
+ (xy 216.508657 61.328179)
+ (xy 216.510889 61.3125)
+ (xy 216.528093 61.191608)
+ (xy 216.54027 61.106048)
+ (xy 216.541615 61.054699)
+ (xy 216.541905 61.043631)
+ (xy 216.541905 61.043629)
+ (xy 216.542 61.04)
+ (xy 216.541121 61.030144)
+ (xy 216.529555 60.90056)
+ (xy 216.522055 60.816519)
+ (xy 216.478973 60.659037)
+ (xy 216.464332 60.605518)
+ (xy 216.464331 60.605514)
+ (xy 216.46285 60.600102)
+ (xy 216.366256 60.39759)
+ (xy 216.354048 60.3806)
+ (xy 216.238604 60.219941)
+ (xy 216.238599 60.219936)
+ (xy 216.235328 60.215383)
+ (xy 216.074202 60.059242)
+ (xy 215.887973 59.934101)
+ (xy 215.745481 59.871551)
+ (xy 215.687669 59.846173)
+ (xy 215.687667 59.846172)
+ (xy 215.682527 59.843916)
+ (xy 215.522838 59.805578)
+ (xy 215.469814 59.792848)
+ (xy 215.469813 59.792848)
+ (xy 215.464357 59.791538)
+ (xy 215.379591 59.786651)
+ (xy 215.245967 59.778946)
+ (xy 215.245964 59.778946)
+ (xy 215.24036 59.778623)
+ (xy 215.017615 59.805578)
+ (xy 214.803165 59.871551)
+ (xy 214.798185 59.874121)
+ (xy 214.798181 59.874123)
+ (xy 214.63156 59.960123)
+ (xy 214.603787 59.974458)
+ (xy 214.425783 60.111045)
+ (xy 214.42201 60.115191)
+ (xy 214.422005 60.115196)
+ (xy 214.299228 60.250127)
+ (xy 214.274779 60.276996)
+ (xy 214.245183 60.324177)
+ (xy 214.163307 60.454699)
+ (xy 214.15555 60.467064)
+ (xy 214.071863 60.675242)
+ (xy 214.026364 60.894949)
+ (xy 214.02049 61.119242)
+ (xy 202.618749 61.119242)
+ (xy 202.568795 60.983475)
+ (xy 202.565405 60.975859)
+ (xy 202.429411 60.717924)
+ (xy 202.425033 60.710808)
+ (xy 202.25612 60.473125)
+ (xy 202.250849 60.466662)
+ (xy 202.05198 60.2534)
+ (xy 202.045896 60.247688)
+ (xy 201.820575 60.062607)
+ (xy 201.813794 60.057752)
+ (xy 201.565962 59.90409)
+ (xy 201.558602 59.900177)
+ (xy 201.292636 59.780647)
+ (xy 201.284813 59.777737)
+ (xy 201.005363 59.694429)
+ (xy 200.997242 59.692584)
+ (xy 200.708567 59.646863)
+ (xy 200.701592 59.646155)
+ (xy 200.611523 59.642064)
+ (xy 200.60869 59.642)
+ (xy 200.272115 59.642)
+ (xy 200.256876 59.646475)
+ (xy 200.255671 59.647865)
+ (xy 200.254 59.655548)
+ (xy 200.253999 61.627885)
+ (xy 199.746 61.627885)
+ (xy 199.746001 59.660115)
+ (xy 199.741526 59.644876)
+ (xy 199.740136 59.643671)
+ (xy 199.732453 59.642)
+ (xy 199.426819 59.642)
+ (xy 199.422627 59.642139)
+ (xy 199.204875 59.656602)
+ (xy 199.196606 59.657705)
+ (xy 198.910769 59.715341)
+ (xy 198.902708 59.717531)
+ (xy 198.627002 59.812464)
+ (xy 198.619315 59.815695)
+ (xy 198.35859 59.946257)
+ (xy 198.351381 59.950487)
+ (xy 198.110217 60.114381)
+ (xy 198.103642 60.119518)
+ (xy 197.886265 60.313875)
+ (xy 197.880425 60.319839)
+ (xy 197.829168 60.379642)
+ (xy 197.76965 60.418348)
+ (xy 197.698655 60.418731)
+ (xy 197.638722 60.38067)
+ (xy 197.6075 60.297645)
+ (xy 197.6075 58.874577)
+ (xy 197.611069 58.874577)
+ (xy 197.611032 58.874329)
+ (xy 197.607565 58.874341)
+ (xy 197.607501 58.85651)
+ (xy 197.6075 58.856058)
+ (xy 197.6075 58.763499)
+ (xy 197.607254 58.761703)
+ (xy 197.60715 58.758805)
+ (xy 197.607048 58.730248)
+ (xy 197.607048 58.730246)
+ (xy 197.607017 58.721662)
+ (xy 197.604679 58.713401)
+ (xy 197.604678 58.713397)
+ (xy 197.599249 58.694217)
+ (xy 197.595651 58.676999)
+ (xy 197.592945 58.657247)
+ (xy 197.591779 58.648733)
+ (xy 197.579471 58.620291)
+ (xy 197.573876 58.604582)
+ (xy 197.565433 58.574755)
+ (xy 197.562353 58.568914)
+ (xy 197.559925 58.564309)
+ (xy 197.559917 58.564296)
+ (xy 197.558847 58.562266)
+ (xy 197.557625 58.56031)
+ (xy 197.557617 58.560297)
+ (xy 197.549288 58.54697)
+ (xy 197.540499 58.530232)
+ (xy 197.534554 58.516494)
+ (xy 197.534553 58.516492)
+ (xy 197.531142 58.50861)
+ (xy 197.510432 58.483034)
+ (xy 197.506385 58.47736)
+ (xy 208.038119 58.47736)
+ (xy 208.055048 58.77097)
+ (xy 208.055873 58.775175)
+ (xy 208.055874 58.775183)
+ (xy 208.079759 58.896923)
+ (xy 208.111668 59.059567)
+ (xy 208.113055 59.063617)
+ (xy 208.113056 59.063622)
+ (xy 208.205543 59.333753)
+ (xy 208.206932 59.337809)
+ (xy 208.25498 59.433341)
+ (xy 208.308624 59.54)
+ (xy 208.339076 59.600548)
+ (xy 208.341502 59.604077)
+ (xy 208.341505 59.604083)
+ (xy 208.486942 59.815695)
+ (xy 208.505655 59.842922)
+ (xy 208.508542 59.846095)
+ (xy 208.508543 59.846096)
+ (xy 208.634812 59.984864)
+ (xy 208.703586 60.060446)
+ (xy 208.790885 60.133439)
+ (xy 208.925916 60.246343)
+ (xy 208.925921 60.246347)
+ (xy 208.929208 60.249095)
+ (xy 209.00497 60.29662)
+ (xy 209.174705 60.403095)
+ (xy 209.174709 60.403097)
+ (xy 209.178345 60.405378)
+ (xy 209.283687 60.452942)
+ (xy 209.442475 60.524638)
+ (xy 209.442479 60.52464)
+ (xy 209.446387 60.526404)
+ (xy 209.450507 60.527624)
+ (xy 209.450506 60.527624)
+ (xy 209.724261 60.608714)
+ (xy 209.724265 60.608715)
+ (xy 209.728374 60.609932)
+ (xy 209.732608 60.61058)
+ (xy 209.732613 60.610581)
+ (xy 209.991302 60.650166)
+ (xy 210.019089 60.654418)
+ (xy 210.168859 60.656771)
+ (xy 210.308859 60.65897)
+ (xy 210.308865 60.65897)
+ (xy 210.31315 60.659037)
+ (xy 210.605118 60.623705)
+ (xy 210.747354 60.58639)
+ (xy 210.885447 60.550162)
+ (xy 210.885448 60.550162)
+ (xy 210.88959 60.549075)
+ (xy 211.161301 60.436529)
+ (xy 211.415224 60.288148)
+ (xy 211.64666 60.106679)
+ (xy 211.851327 59.89548)
+ (xy 211.85386 59.892032)
+ (xy 211.853864 59.892027)
+ (xy 212.022899 59.661913)
+ (xy 212.025437 59.658458)
+ (xy 212.029609 59.650774)
+ (xy 212.163718 59.403775)
+ (xy 212.163719 59.403773)
+ (xy 212.165768 59.399999)
+ (xy 212.269725 59.124887)
+ (xy 212.308311 58.95641)
+ (xy 212.334424 58.842395)
+ (xy 212.334425 58.842391)
+ (xy 212.335382 58.838211)
+ (xy 212.348155 58.695101)
+ (xy 212.359024 58.573316)
+ (xy 212.361526 58.545278)
+ (xy 212.361593 58.538934)
+ (xy 212.361974 58.502485)
+ (xy 212.362 58.5)
+ (xy 212.360844 58.483044)
+ (xy 212.342289 58.21086)
+ (xy 212.342288 58.210854)
+ (xy 212.341997 58.206583)
+ (xy 212.282357 57.918595)
+ (xy 212.184186 57.641366)
+ (xy 212.102213 57.482547)
+ (xy 212.051263 57.383833)
+ (xy 212.051263 57.383832)
+ (xy 212.049298 57.380026)
+ (xy 212.038405 57.364526)
+ (xy 211.906671 57.177088)
+ (xy 211.88019 57.139409)
+ (xy 211.766861 57.017453)
+ (xy 211.682912 56.927113)
+ (xy 211.682909 56.927111)
+ (xy 211.679991 56.92397)
+ (xy 211.452406 56.737694)
+ (xy 211.201646 56.584028)
+ (xy 211.197729 56.582309)
+ (xy 211.197726 56.582307)
+ (xy 211.048669 56.516876)
+ (xy 210.932351 56.465816)
+ (xy 210.928223 56.46464)
+ (xy 210.92822 56.464639)
+ (xy 210.840654 56.439695)
+ (xy 210.649505 56.385245)
+ (xy 210.645263 56.384641)
+ (xy 210.645257 56.38464)
+ (xy 210.362592 56.344411)
+ (xy 210.358341 56.343806)
+ (xy 210.203328 56.342994)
+ (xy 210.068533 56.342288)
+ (xy 210.068526 56.342288)
+ (xy 210.064247 56.342266)
+ (xy 210.060002 56.342825)
+ (xy 210.06 56.342825)
+ (xy 210.036925 56.345863)
+ (xy 209.772665 56.380654)
+ (xy 209.48899 56.458258)
+ (xy 209.485042 56.459942)
+ (xy 209.222425 56.571958)
+ (xy 209.222421 56.57196)
+ (xy 209.218473 56.573644)
+ (xy 209.10303 56.642735)
+ (xy 208.969799 56.722471)
+ (xy 208.969795 56.722474)
+ (xy 208.966117 56.724675)
+ (xy 208.962774 56.727353)
+ (xy 208.96277 56.727356)
+ (xy 208.877642 56.795557)
+ (xy 208.736594 56.908558)
+ (xy 208.73365 56.91166)
+ (xy 208.733646 56.911664)
+ (xy 208.553126 57.101892)
+ (xy 208.53415 57.121889)
+ (xy 208.362532 57.360722)
+ (xy 208.224915 57.620635)
+ (xy 208.187062 57.724074)
+ (xy 208.127723 57.886225)
+ (xy 208.123845 57.896821)
+ (xy 208.061193 58.184168)
+ (xy 208.060857 58.188438)
+ (xy 208.040389 58.448522)
+ (xy 208.038119 58.47736)
+ (xy 197.506385 58.47736)
+ (xy 197.501513 58.470531)
+ (xy 197.501021 58.469744)
+ (xy 197.253413 58.073573)
+ (xy 197.179256 57.984584)
+ (xy 197.053571 57.897898)
+ (xy 197.04545 57.895103)
+ (xy 197.045447 57.895101)
+ (xy 196.917331 57.851)
+ (xy 196.91733 57.851)
+ (xy 196.909205 57.848203)
+ (xy 196.900628 57.847694)
+ (xy 196.900627 57.847694)
+ (xy 196.851498 57.84478)
+ (xy 196.756793 57.839162)
+ (xy 196.748398 57.840978)
+ (xy 196.748397 57.840978)
+ (xy 196.615957 57.869626)
+ (xy 196.615954 57.869627)
+ (xy 196.607564 57.871442)
+ (xy 196.599967 57.875448)
+ (xy 196.599968 57.875448)
+ (xy 196.497836 57.929308)
+ (xy 196.472513 57.942662)
+ (xy 196.36159 58.047577)
+ (xy 196.357169 58.054937)
+ (xy 196.357167 58.054939)
+ (xy 196.287387 58.171099)
+ (xy 196.282967 58.178457)
+ (xy 196.242435 58.325659)
+ (xy 196.242466 58.334251)
+ (xy 196.242846 58.440036)
+ (xy 196.242983 58.478337)
+ (xy 196.284567 58.625244)
+ (xy 196.287649 58.631088)
+ (xy 196.290073 58.635686)
+ (xy 196.290079 58.635697)
+ (xy 196.291153 58.637733)
+ (xy 196.292375 58.639687)
+ (xy 196.292376 58.63969)
+ (xy 196.473349 58.929246)
+ (xy 196.492501 58.996026)
+ (xy 196.492501 60.182006)
+ (xy 196.472499 60.250127)
+ (xy 196.418843 60.29662)
+ (xy 196.348569 60.306724)
+ (xy 196.264178 60.255532)
+ (xy 196.238604 60.219942)
+ (xy 196.238603 60.219941)
+ (xy 196.235328 60.215383)
+ (xy 196.074202 60.059242)
+ (xy 195.887973 59.934101)
+ (xy 195.745481 59.871551)
+ (xy 195.687669 59.846173)
+ (xy 195.687667 59.846172)
+ (xy 195.682527 59.843916)
+ (xy 195.522838 59.805578)
+ (xy 195.469814 59.792848)
+ (xy 195.469813 59.792848)
+ (xy 195.464357 59.791538)
+ (xy 195.379591 59.786651)
+ (xy 195.245967 59.778946)
+ (xy 195.245964 59.778946)
+ (xy 195.24036 59.778623)
+ (xy 195.017615 59.805578)
+ (xy 194.803165 59.871551)
+ (xy 194.798185 59.874121)
+ (xy 194.798181 59.874123)
+ (xy 194.63156 59.960123)
+ (xy 194.603787 59.974458)
+ (xy 194.425783 60.111045)
+ (xy 194.42201 60.115191)
+ (xy 194.422005 60.115196)
+ (xy 194.299228 60.250127)
+ (xy 194.274779 60.276996)
+ (xy 194.245183 60.324177)
+ (xy 194.163307 60.454699)
+ (xy 194.15555 60.467064)
+ (xy 194.071863 60.675242)
+ (xy 194.026364 60.894949)
+ (xy 194.02049 61.119242)
+ (xy 194.024787 61.14732)
+ (xy 194.053278 61.333505)
+ (xy 194.054429 61.341029)
+ (xy 194.069551 61.385196)
+ (xy 194.12512 61.5475)
+ (xy 194.127106 61.553302)
+ (xy 194.236224 61.749349)
+ (xy 194.239776 61.753689)
+ (xy 194.239779 61.753693)
+ (xy 194.285581 61.809652)
+ (xy 194.378335 61.922975)
+ (xy 194.382603 61.92662)
+ (xy 194.544682 62.065049)
+ (xy 194.544686 62.065052)
+ (xy 194.548947 62.068691)
+ (xy 194.55379 62.071521)
+ (xy 194.660071 62.133627)
+ (xy 194.708795 62.185265)
+ (xy 194.722501 62.242415)
+ (xy 194.7225 65.489075)
+ (xy 194.702498 65.557196)
+ (xy 194.648842 65.603689)
+ (xy 194.578568 65.613792)
+ (xy 194.513988 65.584299)
+ (xy 194.481618 65.540825)
+ (xy 194.47785 65.532459)
+ (xy 194.390078 65.337611)
+ (xy 194.261458 65.146566)
+ (xy 194.254287 65.139048)
+ (xy 194.106175 64.983786)
+ (xy 194.102489 64.979922)
+ (xy 194.084076 64.966222)
+ (xy 193.948168 64.865104)
+ (xy 193.917714 64.842446)
+ (xy 193.912966 64.840032)
+ (xy 193.912958 64.840027)
+ (xy 193.726396 64.745175)
+ (xy 193.674738 64.696472)
+ (xy 193.6575 64.632858)
+ (xy 193.6575 57.033216)
+ (xy 193.658373 57.018406)
+ (xy 193.661164 56.994824)
+ (xy 193.662271 56.985472)
+ (xy 193.651568 56.926866)
+ (xy 193.650918 56.922962)
+ (xy 193.643454 56.873317)
+ (xy 193.643454 56.873316)
+ (xy 193.642054 56.864006)
+ (xy 193.638881 56.857398)
+ (xy 193.637564 56.850188)
+ (xy 193.633223 56.841831)
+ (xy 193.633221 56.841825)
+ (xy 193.610088 56.797292)
+ (xy 193.608319 56.793752)
+ (xy 193.586603 56.748527)
+ (xy 193.586601 56.748524)
+ (xy 193.582525 56.740036)
+ (xy 193.57762 56.73473)
+ (xy 193.577482 56.734525)
+ (xy 193.57417 56.728149)
+ (xy 193.568425 56.721423)
+ (xy 193.5291 56.682098)
+ (xy 193.525671 56.678532)
+ (xy 193.49557 56.645969)
+ (xy 193.489175 56.639051)
+ (xy 193.483095 56.63552)
+ (xy 193.477592 56.63059)
+ (xy 193.094405 56.247403)
+ (xy 193.0575 56.158308)
+ (xy 193.0575 56.000862)
+ (xy 193.077502 55.932741)
+ (xy 193.138962 55.882996)
+ (xy 193.284015 55.828185)
+ (xy 193.284018 55.828184)
+ (xy 193.289262 55.826202)
+ (xy 193.338844 55.796528)
+ (xy 193.429239 55.742427)
+ (xy 193.481785 55.710979)
+ (xy 193.486005 55.707297)
+ (xy 193.48601 55.707294)
+ (xy 193.646631 55.567175)
+ (xy 193.650861 55.563485)
+ (xy 193.681456 55.525296)
+ (xy 193.787641 55.392757)
+ (xy 193.787644 55.392752)
+ (xy 193.791147 55.38838)
+ (xy 193.898206 55.191201)
+ (xy 193.968657 54.978179)
+ (xy 193.97032 54.9665)
+ (xy 193.971562 54.957771)
+ (xy 195.239321 54.957771)
+ (xy 195.247938 55.05627)
+ (xy 195.250337 55.068802)
+ (xy 195.296784 55.223949)
+ (xy 195.302562 55.237343)
+ (xy 195.383071 55.37679)
+ (xy 195.391782 55.388491)
+ (xy 195.502283 55.505615)
+ (xy 195.513456 55.51499)
+ (xy 195.647984 55.60347)
+ (xy 195.661024 55.61002)
+ (xy 195.812337 55.665093)
+ (xy 195.826517 55.668454)
+ (xy 195.990104 55.687574)
+ (xy 195.99742 55.688)
+ (xy 196.177885 55.688)
+ (xy 196.193124 55.683525)
+ (xy 196.194329 55.682135)
+ (xy 196.196 55.674452)
+ (xy 196.196 55.669885)
+ (xy 196.703999 55.669885)
+ (xy 196.708474 55.685124)
+ (xy 196.709864 55.686329)
+ (xy 196.717547 55.688)
+ (xy 196.90351 55.688)
+ (xy 196.908974 55.687762)
+ (xy 197.03127 55.677062)
+ (xy 197.043802 55.674663)
+ (xy 197.198949 55.628216)
+ (xy 197.212343 55.622438)
+ (xy 197.35179 55.541929)
+ (xy 197.363491 55.533218)
+ (xy 197.480615 55.422717)
+ (xy 197.48999 55.411544)
+ (xy 197.535814 55.341872)
+ (xy 197.589958 55.295949)
+ (xy 197.660335 55.286589)
+ (xy 197.722074 55.314587)
+ (xy 197.729521 55.320835)
+ (xy 197.859207 55.406132)
+ (xy 197.872238 55.412676)
+ (xy 198.018098 55.465765)
+ (xy 198.032289 55.469128)
+ (xy 198.182402 55.486674)
+ (xy 198.193124 55.483525)
+ (xy 198.194329 55.482135)
+ (xy 198.196 55.474452)
+ (xy 198.196 55.469885)
+ (xy 198.703999 55.469885)
+ (xy 198.708474 55.485124)
+ (xy 198.709864 55.486329)
+ (xy 198.714307 55.487295)
+ (xy 198.826929 55.477442)
+ (xy 198.839461 55.475043)
+ (xy 198.989047 55.430261)
+ (xy 199.002441 55.424483)
+ (xy 199.136861 55.346876)
+ (xy 199.148562 55.338165)
+ (xy 199.261464 55.231648)
+ (xy 199.270839 55.220474)
+ (xy 199.356132 55.090793)
+ (xy 199.362676 55.077762)
+ (xy 199.415765 54.931902)
+ (xy 199.419128 54.917711)
+ (xy 199.431777 54.809495)
+ (xy 199.429307 54.795046)
+ (xy 199.416613 54.7915)
+ (xy 198.722115 54.791499)
+ (xy 198.706876 54.795974)
+ (xy 198.705671 54.797364)
+ (xy 198.704 54.805047)
+ (xy 198.703999 55.469885)
+ (xy 198.196 55.469885)
+ (xy 198.196001 54.809615)
+ (xy 198.191526 54.794376)
+ (xy 198.190136 54.793171)
+ (xy 198.182453 54.7915)
+ (xy 197.716115 54.791499)
+ (xy 197.700875 54.795974)
+ (xy 197.699671 54.797364)
+ (xy 197.698 54.805046)
+ (xy 197.698 54.8155)
+ (xy 197.677998 54.883621)
+ (xy 197.624342 54.930114)
+ (xy 197.572 54.9415)
+ (xy 196.722115 54.941499)
+ (xy 196.706876 54.945974)
+ (xy 196.705671 54.947364)
+ (xy 196.704 54.955047)
+ (xy 196.703999 55.669885)
+ (xy 196.196 55.669885)
+ (xy 196.196001 54.959615)
+ (xy 196.191526 54.944376)
+ (xy 196.190136 54.943171)
+ (xy 196.182453 54.9415)
+ (xy 195.256012 54.941499)
+ (xy 195.241383 54.945794)
+ (xy 195.239321 54.957771)
+ (xy 193.971562 54.957771)
+ (xy 193.990288 54.826187)
+ (xy 194.00027 54.756048)
+ (xy 194.001595 54.705449)
+ (xy 194.001905 54.693631)
+ (xy 194.001905 54.693629)
+ (xy 194.002 54.69)
+ (xy 194.000368 54.671708)
+ (xy 193.995433 54.616422)
+ (xy 193.982055 54.466519)
+ (xy 193.930274 54.277238)
+ (xy 193.924332 54.255518)
+ (xy 193.924331 54.255514)
+ (xy 193.92285 54.250102)
+ (xy 193.826256 54.04759)
+ (xy 193.822984 54.043036)
+ (xy 193.698604 53.869941)
+ (xy 193.698599 53.869936)
+ (xy 193.695328 53.865383)
+ (xy 193.534202 53.709242)
+ (xy 193.347973 53.584101)
+ (xy 193.201948 53.52)
+ (xy 193.147669 53.496173)
+ (xy 193.147667 53.496172)
+ (xy 193.142527 53.493916)
+ (xy 192.982838 53.455578)
+ (xy 192.929814 53.442848)
+ (xy 192.929813 53.442848)
+ (xy 192.924357 53.441538)
+ (xy 192.839591 53.436651)
+ (xy 192.705967 53.428946)
+ (xy 192.705964 53.428946)
+ (xy 192.70036 53.428623)
+ (xy 192.477615 53.455578)
+ (xy 192.263165 53.521551)
+ (xy 192.258185 53.524121)
+ (xy 192.258181 53.524123)
+ (xy 192.094494 53.608609)
+ (xy 192.063787 53.624458)
+ (xy 191.885783 53.761045)
+ (xy 191.88201 53.765191)
+ (xy 191.882005 53.765196)
+ (xy 191.766696 53.89192)
+ (xy 191.734779 53.926996)
+ (xy 191.674833 54.022559)
+ (xy 191.618633 54.11215)
+ (xy 191.61555 54.117064)
+ (xy 191.531863 54.325242)
+ (xy 191.486364 54.544949)
+ (xy 191.48049 54.769242)
+ (xy 188.72549 54.769242)
+ (xy 188.72549 53.40587)
+ (xy 189.188099 53.40587)
+ (xy 189.188855 53.414876)
+ (xy 189.20121 53.562)
+ (xy 189.204626 53.602685)
+ (xy 189.222188 53.663932)
+ (xy 189.256583 53.783882)
+ (xy 189.259066 53.792542)
+ (xy 189.261885 53.798027)
+ (xy 189.346529 53.962727)
+ (xy 189.346532 53.962732)
+ (xy 189.349347 53.968209)
+ (xy 189.472028 54.122994)
+ (xy 189.476722 54.126988)
+ (xy 189.476722 54.126989)
+ (xy 189.617736 54.247001)
+ (xy 189.622438 54.251003)
+ (xy 189.627816 54.254009)
+ (xy 189.627818 54.25401)
+ (xy 189.680586 54.283501)
+ (xy 189.794847 54.347359)
+ (xy 189.982688 54.408392)
+ (xy 190.178806 54.431778)
+ (xy 190.184941 54.431306)
+ (xy 190.184943 54.431306)
+ (xy 190.369589 54.417098)
+ (xy 190.369592 54.417097)
+ (xy 190.375732 54.416625)
+ (xy 190.565964 54.363512)
+ (xy 190.742257 54.27446)
+ (xy 190.751513 54.267229)
+ (xy 190.893039 54.156656)
+ (xy 190.897895 54.152862)
+ (xy 190.908132 54.141003)
+ (xy 190.943374 54.100174)
+ (xy 191.026951 54.00335)
+ (xy 191.034986 53.989207)
+ (xy 191.121465 53.836974)
+ (xy 191.121466 53.836973)
+ (xy 191.124508 53.831617)
+ (xy 191.130043 53.81498)
+ (xy 191.168978 53.697936)
+ (xy 191.186851 53.644207)
+ (xy 191.211605 53.448257)
+ (xy 191.211875 53.428946)
+ (xy 191.211951 53.423522)
+ (xy 191.211951 53.423518)
+ (xy 191.212 53.42)
+ (xy 191.192727 53.223435)
+ (xy 191.135641 53.034357)
+ (xy 191.121236 53.007265)
+ (xy 191.04581 52.86541)
+ (xy 191.045808 52.865407)
+ (xy 191.042916 52.859968)
+ (xy 190.918086 52.70691)
+ (xy 190.765903 52.581014)
+ (xy 190.592166 52.487074)
+ (xy 190.403491 52.42867)
+ (xy 190.397366 52.428026)
+ (xy 190.397365 52.428026)
+ (xy 190.213193 52.408669)
+ (xy 190.213192 52.408669)
+ (xy 190.207065 52.408025)
+ (xy 190.086743 52.418975)
+ (xy 190.016511 52.425366)
+ (xy 190.01651 52.425366)
+ (xy 190.01037 52.425925)
+ (xy 189.96935 52.437998)
+ (xy 189.826809 52.47995)
+ (xy 189.826806 52.479951)
+ (xy 189.820898 52.48169)
+ (xy 189.645866 52.573195)
+ (xy 189.641068 52.577053)
+ (xy 189.641066 52.577054)
+ (xy 189.600414 52.609739)
+ (xy 189.491941 52.696954)
+ (xy 189.487982 52.701672)
+ (xy 189.487981 52.701673)
+ (xy 189.370094 52.842164)
+ (xy 189.364985 52.848253)
+ (xy 189.362022 52.853642)
+ (xy 189.362019 52.853647)
+ (xy 189.295944 52.973839)
+ (xy 189.269835 53.021331)
+ (xy 189.210115 53.209593)
+ (xy 189.209429 53.21571)
+ (xy 189.209428 53.215714)
+ (xy 189.19545 53.340337)
+ (xy 189.188099 53.40587)
+ (xy 188.72549 53.40587)
+ (xy 188.72549 51.752936)
+ (xy 193.639997 51.752936)
+ (xy 193.641958 51.761295)
+ (xy 193.641958 51.761299)
+ (xy 193.656915 51.825066)
+ (xy 193.674862 51.901582)
+ (xy 193.748415 52.035376)
+ (xy 193.752732 52.040377)
+ (xy 193.754565 52.0425)
+ (xy 193.757641 52.046064)
+ (xy 193.759282 52.047705)
+ (xy 194.911599 53.200023)
+ (xy 194.915253 53.203832)
+ (xy 194.953949 53.245914)
+ (xy 194.991464 53.269174)
+ (xy 195.001215 53.275876)
+ (xy 195.036366 53.302557)
+ (xy 195.044353 53.305719)
+ (xy 195.044356 53.305721)
+ (xy 195.05065 53.308213)
+ (xy 195.070653 53.318273)
+ (xy 195.083711 53.326369)
+ (xy 195.091962 53.328766)
+ (xy 195.091966 53.328768)
+ (xy 195.126082 53.33868)
+ (xy 195.137309 53.342524)
+ (xy 195.170334 53.355599)
+ (xy 195.17034 53.3556)
+ (xy 195.178325 53.358762)
+ (xy 195.186864 53.359659)
+ (xy 195.186866 53.35966)
+ (xy 195.193596 53.360367)
+ (xy 195.215575 53.36468)
+ (xy 195.230328 53.368966)
+ (xy 195.23691 53.369449)
+ (xy 195.236914 53.36945)
+ (xy 195.242101 53.369831)
+ (xy 195.242114 53.369831)
+ (xy 195.244409 53.37)
+ (xy 195.278628 53.37)
+ (xy 195.2918 53.37069)
+ (xy 195.321624 53.373825)
+ (xy 195.321625 53.373825)
+ (xy 195.330168 53.374723)
+ (xy 195.33864 53.37329)
+ (xy 195.338641 53.37329)
+ (xy 195.347656 53.371765)
+ (xy 195.36867 53.37)
+ (xy 195.696986 53.37)
+ (xy 195.753322 53.388305)
+ (xy 195.755256 53.384509)
+ (xy 195.853839 53.434739)
+ (xy 195.868366 53.442141)
+ (xy 195.893453 53.446114)
+ (xy 195.8953 53.446407)
+ (xy 195.959454 53.476819)
+ (xy 195.996981 53.537087)
+ (xy 195.995967 53.608077)
+ (xy 195.956735 53.667249)
+ (xy 195.886572 53.696376)
+ (xy 195.86874 53.697936)
+ (xy 195.856198 53.700337)
+ (xy 195.701051 53.746784)
+ (xy 195.687657 53.752562)
+ (xy 195.54821 53.833071)
+ (xy 195.536509 53.841782)
+ (xy 195.419385 53.952283)
+ (xy 195.41001 53.963456)
+ (xy 195.32153 54.097984)
+ (xy 195.31498 54.111024)
+ (xy 195.259907 54.262337)
+ (xy 195.256546 54.276517)
+ (xy 195.240301 54.415505)
+ (xy 195.242771 54.429954)
+ (xy 195.255465 54.4335)
+ (xy 196.195998 54.433501)
+ (xy 196.196004 54.4335)
+ (xy 197.408885 54.433501)
+ (xy 197.424124 54.429026)
+ (xy 197.425329 54.427636)
+ (xy 197.427 54.419953)
+ (xy 197.427 54.4095)
+ (xy 197.447002 54.341379)
+ (xy 197.500658 54.294886)
+ (xy 197.553 54.2835)
+ (xy 198.195998 54.283501)
+ (xy 198.196004 54.2835)
+ (xy 199.416801 54.283501)
+ (xy 199.43143 54.279206)
+ (xy 199.433492 54.267229)
+ (xy 199.427442 54.198071)
+ (xy 199.425043 54.185539)
+ (xy 199.380261 54.035953)
+ (xy 199.374483 54.022559)
+ (xy 199.296876 53.888139)
+ (xy 199.288165 53.876438)
+ (xy 199.181648 53.763536)
+ (xy 199.170475 53.754162)
+ (xy 199.166078 53.75127)
+ (xy 199.120156 53.697125)
+ (xy 199.110798 53.626748)
+ (xy 199.140973 53.562483)
+ (xy 199.235318 53.52)
+ (xy 200.029386 53.52)
+ (xy 200.118482 53.556905)
+ (xy 200.476947 53.915371)
+ (xy 201.551096 54.989521)
+ (xy 201.588 55.078616)
+ (xy 201.588 56.15)
+ (xy 201.591892 56.169568)
+ (xy 201.595539 56.187898)
+ (xy 201.600332 56.211995)
+ (xy 201.607224 56.222309)
+ (xy 201.607225 56.222312)
+ (xy 201.628071 56.253509)
+ (xy 201.635449 56.264551)
+ (xy 201.645765 56.271444)
+ (xy 201.677688 56.292775)
+ (xy 201.677691 56.292776)
+ (xy 201.688005 56.299668)
+ (xy 201.700172 56.302088)
+ (xy 201.700174 56.302089)
+ (xy 201.730432 56.308108)
+ (xy 201.75 56.312)
+ (xy 203.25 56.312)
+ (xy 203.269568 56.308108)
+ (xy 203.299826 56.302089)
+ (xy 203.299828 56.302088)
+ (xy 203.311995 56.299668)
+ (xy 203.322309 56.292776)
+ (xy 203.322312 56.292775)
+ (xy 203.354235 56.271444)
+ (xy 203.364551 56.264551)
+ (xy 203.371929 56.253509)
+ (xy 203.392775 56.222312)
+ (xy 203.392776 56.222309)
+ (xy 203.399668 56.211995)
+ (xy 203.404462 56.187898)
+ (xy 203.408108 56.169568)
+ (xy 203.412 56.15)
+ (xy 203.412 54.15)
+ (xy 203.405659 54.118124)
+ (xy 203.402089 54.100174)
+ (xy 203.402088 54.100172)
+ (xy 203.399668 54.088005)
+ (xy 203.392776 54.077691)
+ (xy 203.392775 54.077688)
+ (xy 203.371444 54.045765)
+ (xy 203.364551 54.035449)
+ (xy 203.352878 54.027649)
+ (xy 203.322312 54.007225)
+ (xy 203.322309 54.007224)
+ (xy 203.311995 54.000332)
+ (xy 203.299828 53.997912)
+ (xy 203.299826 53.997911)
+ (xy 203.265805 53.991144)
+ (xy 203.25 53.988)
+ (xy 202.178614 53.988)
+ (xy 202.089519 53.951095)
+ (xy 200.713412 52.574989)
+ (xy 200.709758 52.57118)
+ (xy 200.682055 52.541053)
+ (xy 200.671051 52.529086)
+ (xy 200.663752 52.524561)
+ (xy 200.663748 52.524557)
+ (xy 200.633552 52.505835)
+ (xy 200.623769 52.499112)
+ (xy 200.595475 52.477636)
+ (xy 200.595474 52.477635)
+ (xy 200.588633 52.472443)
+ (xy 200.574348 52.466787)
+ (xy 200.554348 52.456727)
+ (xy 200.551663 52.455063)
+ (xy 200.548588 52.453156)
+ (xy 200.548586 52.453155)
+ (xy 200.541289 52.448631)
+ (xy 200.498909 52.436318)
+ (xy 200.487684 52.432474)
+ (xy 200.454665 52.419401)
+ (xy 200.454659 52.4194)
+ (xy 200.446674 52.416238)
+ (xy 200.431412 52.414634)
+ (xy 200.409427 52.410321)
+ (xy 200.394672 52.406034)
+ (xy 200.388095 52.405551)
+ (xy 200.382899 52.405169)
+ (xy 200.382886 52.405169)
+ (xy 200.380591 52.405)
+ (xy 200.346363 52.405)
+ (xy 200.333192 52.40431)
+ (xy 200.303376 52.401176)
+ (xy 200.294832 52.400278)
+ (xy 200.277338 52.403237)
+ (xy 200.256336 52.405)
+ (xy 198.901276 52.405)
+ (xy 198.849014 52.391766)
+ (xy 198.848937 52.391989)
+ (xy 198.846241 52.391063)
+ (xy 198.841307 52.389814)
+ (xy 198.839061 52.388599)
+ (xy 198.829879 52.38363)
+ (xy 198.776071 52.374651)
+ (xy 198.711387 52.363857)
+ (xy 198.711384 52.363857)
+ (xy 198.70625 52.363)
+ (xy 198.19375 52.363)
+ (xy 198.145319 52.369037)
+ (xy 198.109344 52.373521)
+ (xy 198.109342 52.373522)
+ (xy 198.100281 52.374651)
+ (xy 198.061263 52.391766)
+ (xy 198.055285 52.394388)
+ (xy 198.004672 52.405)
+ (xy 197.349806 52.405)
+ (xy 197.281685 52.384998)
+ (xy 197.246393 52.345989)
+ (xy 197.244842 52.347116)
+ (xy 197.239009 52.339088)
+ (xy 197.234509 52.330256)
+ (xy 197.144744 52.240491)
+ (xy 197.031634 52.182859)
+ (xy 196.90625 52.163)
+ (xy 195.99375 52.163)
+ (xy 195.868366 52.182859)
+ (xy 195.859531 52.187361)
+ (xy 195.85953 52.187361)
+ (xy 195.790752 52.222405)
+ (xy 195.755256 52.240491)
+ (xy 195.753322 52.236695)
+ (xy 195.696986 52.255)
+ (xy 195.595615 52.255)
+ (xy 195.50652 52.218096)
+ (xy 194.571433 51.28301)
+ (xy 194.568401 51.279978)
+ (xy 194.555227 51.269978)
+ (xy 194.482973 51.215135)
+ (xy 194.476133 51.209943)
+ (xy 194.334174 51.153738)
+ (xy 194.182332 51.137778)
+ (xy 194.173866 51.13921)
+ (xy 194.173864 51.13921)
+ (xy 194.124488 51.147561)
+ (xy 194.03179 51.16324)
+ (xy 194.02285 51.167447)
+ (xy 193.910098 51.220505)
+ (xy 193.893641 51.228249)
+ (xy 193.887142 51.233858)
+ (xy 193.88714 51.23386)
+ (xy 193.877131 51.2425)
+ (xy 193.778063 51.328013)
+ (xy 193.693571 51.455182)
+ (xy 193.690917 51.463349)
+ (xy 193.690917 51.46335)
+ (xy 193.65729 51.566847)
+ (xy 193.646391 51.60039)
+ (xy 193.639997 51.752936)
+ (xy 188.72549 51.752936)
+ (xy 188.72549 51.296989)
+ (xy 188.745492 51.228868)
+ (xy 188.799148 51.182375)
+ (xy 188.8712 51.17254)
+ (xy 188.933299 51.182375)
+ (xy 188.9625 51.187)
+ (xy 189.4375 51.187)
+ (xy 189.514853 51.174748)
+ (xy 189.551161 51.168998)
+ (xy 189.551162 51.168998)
+ (xy 189.560952 51.167447)
+ (xy 189.67232 51.110702)
+ (xy 189.760702 51.02232)
+ (xy 189.817447 50.910952)
+ (xy 189.837 50.7875)
+ (xy 189.837 50.2125)
+ (xy 189.838311 50.2125)
+ (xy 189.851666 50.148911)
+ (xy 189.901506 50.098349)
+ (xy 189.962685 50.0825)
+ (xy 190.512 50.0825)
+ (xy 190.580121 50.102502)
+ (xy 190.626614 50.156158)
+ (xy 190.638 50.2085)
+ (xy 190.638 50.35)
+ (xy 190.650332 50.411995)
+ (xy 190.657224 50.422309)
+ (xy 190.657225 50.422312)
+ (xy 190.678556 50.454235)
+ (xy 190.685449 50.464551)
+ (xy 190.695765 50.471444)
+ (xy 190.727688 50.492775)
+ (xy 190.727691 50.492776)
+ (xy 190.738005 50.499668)
+ (xy 190.750172 50.502088)
+ (xy 190.750174 50.502089)
+ (xy 190.780432 50.508108)
+ (xy 190.8 50.512)
+ (xy 191.45 50.512)
+ (xy 191.469568 50.508108)
+ (xy 191.499826 50.502089)
+ (xy 191.499828 50.502088)
+ (xy 191.511995 50.499668)
+ (xy 191.522309 50.492776)
+ (xy 191.522312 50.492775)
+ (xy 191.554235 50.471444)
+ (xy 191.564551 50.464551)
+ (xy 191.571444 50.454235)
+ (xy 191.592775 50.422312)
+ (xy 191.592776 50.422309)
+ (xy 191.599668 50.411995)
+ (xy 191.612 50.35)
+ (xy 191.612 49.3)
+ (xy 191.604191 49.260744)
+ (xy 191.602089 49.250174)
+ (xy 191.602088 49.250172)
+ (xy 191.599668 49.238005)
+ (xy 191.592776 49.227691)
+ (xy 191.592775 49.227688)
+ (xy 191.571444 49.195765)
+ (xy 191.564551 49.185449)
+ (xy 191.552004 49.177065)
+ (xy 191.522312 49.157225)
+ (xy 191.522309 49.157224)
+ (xy 191.511995 49.150332)
+ (xy 191.499828 49.147912)
+ (xy 191.499826 49.147911)
+ (xy 191.483918 49.144747)
+ (xy 191.421009 49.111839)
+ (xy 191.3825 49.021168)
+ (xy 191.3825 46.478832)
+ (xy 191.402502 46.410711)
+ (xy 191.483918 46.355253)
+ (xy 191.499826 46.352089)
+ (xy 191.499828 46.352088)
+ (xy 191.511995 46.349668)
+ (xy 191.522309 46.342776)
+ (xy 191.522312 46.342775)
+ (xy 191.554235 46.321444)
+ (xy 191.564551 46.314551)
+ (xy 191.587776 46.279793)
+ (xy 191.592775 46.272312)
+ (xy 191.592776 46.272309)
+ (xy 191.599668 46.261995)
+ (xy 191.612 46.2)
+ (xy 191.612 45.15)
+ (xy 192.788 45.15)
+ (xy 192.788 46.2)
+ (xy 192.800332 46.261995)
+ (xy 192.807224 46.272309)
+ (xy 192.807225 46.272312)
+ (xy 192.812224 46.279793)
+ (xy 192.835449 46.314551)
+ (xy 192.845765 46.321444)
+ (xy 192.877688 46.342775)
+ (xy 192.877691 46.342776)
+ (xy 192.888005 46.349668)
+ (xy 192.900172 46.352088)
+ (xy 192.900174 46.352089)
+ (xy 192.916082 46.355253)
+ (xy 192.978992 46.38816)
+ (xy 193.017501 46.478832)
+ (xy 193.0175 47.75014)
+ (xy 193.0175 49.021168)
+ (xy 192.997498 49.089289)
+ (xy 192.916082 49.144747)
+ (xy 192.900174 49.147911)
+ (xy 192.900172 49.147912)
+ (xy 192.888005 49.150332)
+ (xy 192.877691 49.157224)
+ (xy 192.877688 49.157225)
+ (xy 192.847996 49.177065)
+ (xy 192.835449 49.185449)
+ (xy 192.828556 49.195765)
+ (xy 192.807225 49.227688)
+ (xy 192.807224 49.227691)
+ (xy 192.800332 49.238005)
+ (xy 192.797912 49.250172)
+ (xy 192.797911 49.250174)
+ (xy 192.795809 49.260744)
+ (xy 192.788 49.3)
+ (xy 192.788 50.35)
+ (xy 192.800332 50.411995)
+ (xy 192.807224 50.422309)
+ (xy 192.807225 50.422312)
+ (xy 192.828556 50.454235)
+ (xy 192.835449 50.464551)
+ (xy 192.845765 50.471444)
+ (xy 192.877688 50.492775)
+ (xy 192.877691 50.492776)
+ (xy 192.888005 50.499668)
+ (xy 192.900172 50.502088)
+ (xy 192.900174 50.502089)
+ (xy 192.930432 50.508108)
+ (xy 192.95 50.512)
+ (xy 193.6 50.512)
+ (xy 193.619568 50.508108)
+ (xy 193.649826 50.502089)
+ (xy 193.649828 50.502088)
+ (xy 193.661995 50.499668)
+ (xy 193.672309 50.492776)
+ (xy 193.672312 50.492775)
+ (xy 193.704235 50.471444)
+ (xy 193.714551 50.464551)
+ (xy 193.721444 50.454235)
+ (xy 193.742775 50.422312)
+ (xy 193.742776 50.422309)
+ (xy 193.749668 50.411995)
+ (xy 193.762 50.35)
+ (xy 193.762 49.3)
+ (xy 193.754191 49.260744)
+ (xy 193.752089 49.250174)
+ (xy 193.752088 49.250172)
+ (xy 193.749668 49.238005)
+ (xy 193.742776 49.227691)
+ (xy 193.742775 49.227688)
+ (xy 193.721444 49.195765)
+ (xy 193.714551 49.185449)
+ (xy 193.702004 49.177065)
+ (xy 193.672312 49.157225)
+ (xy 193.672309 49.157224)
+ (xy 193.661995 49.150332)
+ (xy 193.649828 49.147912)
+ (xy 193.649826 49.147911)
+ (xy 193.633918 49.144747)
+ (xy 193.571009 49.111839)
+ (xy 193.5325 49.021168)
+ (xy 193.5325 48.517547)
+ (xy 198.762 48.517547)
+ (xy 198.762 48.70351)
+ (xy 198.762238 48.708974)
+ (xy 198.772938 48.83127)
+ (xy 198.775337 48.843802)
+ (xy 198.821784 48.998949)
+ (xy 198.827562 49.012343)
+ (xy 198.908071 49.15179)
+ (xy 198.916782 49.163491)
+ (xy 199.027283 49.280615)
+ (xy 199.038456 49.28999)
+ (xy 199.172984 49.37847)
+ (xy 199.186024 49.38502)
+ (xy 199.337337 49.440093)
+ (xy 199.351517 49.443454)
+ (xy 199.490505 49.459699)
+ (xy 199.504954 49.457229)
+ (xy 199.5085 49.444535)
+ (xy 199.508501 48.522115)
+ (xy 199.504026 48.506876)
+ (xy 199.502636 48.505671)
+ (xy 199.494953 48.504)
+ (xy 198.780115 48.503999)
+ (xy 198.764876 48.508474)
+ (xy 198.763671 48.509864)
+ (xy 198.762 48.517547)
+ (xy 193.5325 48.517547)
+ (xy 193.5325 47.79742)
+ (xy 198.762 47.79742)
+ (xy 198.762 47.977885)
+ (xy 198.766475 47.993124)
+ (xy 198.767865 47.994329)
+ (xy 198.775548 47.996)
+ (xy 199.490385 47.996001)
+ (xy 199.505624 47.991526)
+ (xy 199.506829 47.990136)
+ (xy 199.5085 47.982453)
+ (xy 199.508501 47.109355)
+ (xy 199.528503 47.041234)
+ (xy 199.546511 47.027752)
+ (xy 199.543896 47.025486)
+ (xy 199.569329 46.996135)
+ (xy 199.571 46.988452)
+ (xy 199.571001 46.522115)
+ (xy 199.566526 46.506875)
+ (xy 199.565136 46.505671)
+ (xy 199.557454 46.504)
+ (xy 198.806559 46.503999)
+ (xy 198.791931 46.508294)
+ (xy 198.789868 46.520271)
+ (xy 198.797843 46.611435)
+ (xy 198.800241 46.623966)
+ (xy 198.846273 46.777724)
+ (xy 198.852051 46.791118)
+ (xy 198.931835 46.929308)
+ (xy 198.940546 46.941009)
+ (xy 199.036218 47.042415)
+ (xy 199.068416 47.105691)
+ (xy 199.061294 47.176329)
+ (xy 199.031036 47.220531)
+ (xy 198.944386 47.302282)
+ (xy 198.93501 47.313456)
+ (xy 198.84653 47.447984)
+ (xy 198.83998 47.461024)
+ (xy 198.784907 47.612337)
+ (xy 198.781546 47.626517)
+ (xy 198.762426 47.790104)
+ (xy 198.762 47.79742)
+ (xy 193.5325 47.79742)
+ (xy 193.5325 46.478832)
+ (xy 193.552502 46.410711)
+ (xy 193.633918 46.355253)
+ (xy 193.649826 46.352089)
+ (xy 193.649828 46.352088)
+ (xy 193.661995 46.349668)
+ (xy 193.672309 46.342776)
+ (xy 193.672312 46.342775)
+ (xy 193.704235 46.321444)
+ (xy 193.714551 46.314551)
+ (xy 193.737776 46.279793)
+ (xy 193.742775 46.272312)
+ (xy 193.742776 46.272309)
+ (xy 193.749668 46.261995)
+ (xy 193.762 46.2)
+ (xy 193.762 45.40435)
+ (xy 193.798905 45.315255)
+ (xy 193.869755 45.244405)
+ (xy 193.95885 45.2075)
+ (xy 198.987345 45.2075)
+ (xy 199.055466 45.227502)
+ (xy 199.101959 45.281158)
+ (xy 199.112063 45.351432)
+ (xy 199.073812 45.425149)
+ (xy 198.967924 45.52505)
+ (xy 198.958548 45.536224)
+ (xy 198.870863 45.669542)
+ (xy 198.864319 45.682573)
+ (xy 198.809739 45.83253)
+ (xy 198.806379 45.846707)
+ (xy 198.791032 45.978004)
+ (xy 198.793502 45.992454)
+ (xy 198.806196 45.996)
+ (xy 199.570998 45.996001)
+ (xy 199.571004 45.996)
+ (xy 199.953 45.996001)
+ (xy 200.021121 46.016003)
+ (xy 200.067614 46.069659)
+ (xy 200.079 46.122001)
+ (xy 200.078999 47.165645)
+ (xy 200.058997 47.233766)
+ (xy 200.040989 47.247248)
+ (xy 200.043604 47.249514)
+ (xy 200.018171 47.278865)
+ (xy 200.0165 47.286548)
+ (xy 200.016499 47.995998)
+ (xy 200.0165 47.996004)
+ (xy 200.016499 49.443988)
+ (xy 200.020794 49.458617)
+ (xy 200.032771 49.460679)
+ (xy 200.13127 49.452062)
+ (xy 200.143802 49.449663)
+ (xy 200.298957 49.403214)
+ (xy 200.312337 49.397442)
+ (xy 200.312886 49.397125)
+ (xy 200.31331 49.397022)
+ (xy 200.319076 49.394535)
+ (xy 200.319501 49.39552)
+ (xy 200.381882 49.380389)
+ (xy 200.455023 49.414827)
+ (xy 200.457921 49.411267)
+ (xy 200.457921 49.411268)
+ (xy 200.504182 49.448931)
+ (xy 200.50716 49.451434)
+ (xy 200.516863 49.459854)
+ (xy 200.536371 49.476782)
+ (xy 200.55218 49.490501)
+ (xy 200.558882 49.493464)
+ (xy 200.564568 49.498093)
+ (xy 200.597879 49.511585)
+ (xy 200.619806 49.520466)
+ (xy 200.623424 49.521997)
+ (xy 200.67796 49.546108)
+ (xy 200.685239 49.546969)
+ (xy 200.692032 49.549721)
+ (xy 200.712961 49.551534)
+ (xy 200.751392 49.554862)
+ (xy 200.755329 49.555265)
+ (xy 200.805174 49.561164)
+ (xy 200.814527 49.562271)
+ (xy 200.821736 49.560954)
+ (xy 200.829041 49.561587)
+ (xy 200.838246 49.559605)
+ (xy 200.838249 49.559605)
+ (xy 200.887326 49.549039)
+ (xy 200.89119 49.54827)
+ (xy 200.914505 49.544012)
+ (xy 200.940549 49.539256)
+ (xy 200.940552 49.539255)
+ (xy 200.949811 49.537564)
+ (xy 200.956313 49.534186)
+ (xy 200.963482 49.532643)
+ (xy 201.015502 49.503511)
+ (xy 201.018984 49.501632)
+ (xy 201.065475 49.477482)
+ (xy 201.065478 49.47748)
+ (xy 201.07185 49.47417)
+ (xy 201.078576 49.468425)
+ (xy 201.079115 49.467886)
+ (xy 201.08347 49.465447)
+ (xy 201.122138 49.424913)
+ (xy 201.124212 49.42279)
+ (xy 201.398097 49.148905)
+ (xy 201.487192 49.112)
+ (xy 201.658885 49.112)
+ (xy 201.74798 49.148905)
+ (xy 201.836609 49.237534)
+ (xy 201.840263 49.241343)
+ (xy 201.878949 49.283414)
+ (xy 201.886246 49.287938)
+ (xy 201.886248 49.28794)
+ (xy 201.916446 49.306663)
+ (xy 201.926229 49.313387)
+ (xy 201.954525 49.334865)
+ (xy 201.954528 49.334867)
+ (xy 201.961366 49.340057)
+ (xy 201.975642 49.345709)
+ (xy 201.995656 49.355775)
+ (xy 202.008711 49.363869)
+ (xy 202.016955 49.366264)
+ (xy 202.016957 49.366265)
+ (xy 202.051078 49.376178)
+ (xy 202.062307 49.380023)
+ (xy 202.095335 49.3931)
+ (xy 202.095342 49.393102)
+ (xy 202.103324 49.396262)
+ (xy 202.111864 49.39716)
+ (xy 202.111866 49.39716)
+ (xy 202.118595 49.397867)
+ (xy 202.140575 49.40218)
+ (xy 202.155328 49.406466)
+ (xy 202.16191 49.406949)
+ (xy 202.161914 49.40695)
+ (xy 202.167101 49.407331)
+ (xy 202.167114 49.407331)
+ (xy 202.169409 49.4075)
+ (xy 202.203637 49.4075)
+ (xy 202.216808 49.40819)
+ (xy 202.246092 49.411268)
+ (xy 202.255168 49.412222)
+ (xy 202.272662 49.409263)
+ (xy 202.293664 49.4075)
+ (xy 202.612 49.4075)
+ (xy 202.680121 49.427502)
+ (xy 202.726614 49.481158)
+ (xy 202.738 49.5335)
+ (xy 202.738 49.85)
+ (xy 202.750332 49.911995)
+ (xy 202.757224 49.922309)
+ (xy 202.757225 49.922312)
+ (xy 202.778556 49.954235)
+ (xy 202.785449 49.964551)
+ (xy 202.795765 49.971444)
+ (xy 202.827688 49.992775)
+ (xy 202.827691 49.992776)
+ (xy 202.838005 49.999668)
+ (xy 202.850172 50.002088)
+ (xy 202.850174 50.002089)
+ (xy 202.880432 50.008108)
+ (xy 202.9 50.012)
+ (xy 204.1165 50.012)
+ (xy 204.184621 50.032002)
+ (xy 204.231114 50.085658)
+ (xy 204.2425 50.138)
+ (xy 204.242501 53.862)
+ (xy 204.222499 53.930121)
+ (xy 204.168843 53.976614)
+ (xy 204.116501 53.988)
+ (xy 204.05 53.988)
+ (xy 204.034195 53.991144)
+ (xy 204.000174 53.997911)
+ (xy 204.000172 53.997912)
+ (xy 203.988005 54.000332)
+ (xy 203.977691 54.007224)
+ (xy 203.977688 54.007225)
+ (xy 203.947122 54.027649)
+ (xy 203.935449 54.035449)
+ (xy 203.928556 54.045765)
+ (xy 203.907225 54.077688)
+ (xy 203.907224 54.077691)
+ (xy 203.900332 54.088005)
+ (xy 203.897912 54.100172)
+ (xy 203.897911 54.100174)
+ (xy 203.894341 54.118124)
+ (xy 203.888 54.15)
+ (xy 203.888 56.15)
+ (xy 203.891892 56.169568)
+ (xy 203.895539 56.187898)
+ (xy 203.900332 56.211995)
+ (xy 203.907224 56.222309)
+ (xy 203.907225 56.222312)
+ (xy 203.928071 56.253509)
+ (xy 203.935449 56.264551)
+ (xy 203.945765 56.271444)
+ (xy 203.977688 56.292775)
+ (xy 203.977691 56.292776)
+ (xy 203.988005 56.299668)
+ (xy 204.000172 56.302088)
+ (xy 204.000174 56.302089)
+ (xy 204.030432 56.308108)
+ (xy 204.05 56.312)
+ (xy 205.55 56.312)
+ (xy 205.569568 56.308108)
+ (xy 205.599826 56.302089)
+ (xy 205.599828 56.302088)
+ (xy 205.611995 56.299668)
+ (xy 205.622309 56.292776)
+ (xy 205.622312 56.292775)
+ (xy 205.654235 56.271444)
+ (xy 205.664551 56.264551)
+ (xy 205.667354 56.260357)
+ (xy 205.725191 56.228774)
+ (xy 205.796006 56.233839)
+ (xy 205.852842 56.276386)
+ (xy 205.875095 56.325113)
+ (xy 205.880964 56.352094)
+ (xy 205.889455 56.372594)
+ (xy 205.957426 56.47836)
+ (xy 205.969112 56.491847)
+ (xy 206.06584 56.575662)
+ (xy 206.080848 56.585307)
+ (xy 206.197275 56.638477)
+ (xy 206.214388 56.643502)
+ (xy 206.345554 56.662361)
+ (xy 206.354495 56.663)
+ (xy 206.827885 56.663)
+ (xy 206.843124 56.658525)
+ (xy 206.844329 56.657135)
+ (xy 206.846 56.649452)
+ (xy 206.846 56.644885)
+ (xy 207.353999 56.644885)
+ (xy 207.358474 56.660124)
+ (xy 207.359864 56.661329)
+ (xy 207.367547 56.663)
+ (xy 207.843243 56.663)
+ (xy 207.856605 56.661563)
+ (xy 208.052089 56.619038)
+ (xy 208.072594 56.610545)
+ (xy 208.17836 56.542574)
+ (xy 208.191847 56.530888)
+ (xy 208.275662 56.43416)
+ (xy 208.285307 56.419152)
+ (xy 208.338477 56.302725)
+ (xy 208.343502 56.285612)
+ (xy 208.362361 56.154446)
+ (xy 208.363 56.145505)
+ (xy 208.363 55.422115)
+ (xy 208.358525 55.406876)
+ (xy 208.357135 55.405671)
+ (xy 208.349452 55.404)
+ (xy 207.372115 55.403999)
+ (xy 207.356876 55.408474)
+ (xy 207.355671 55.409864)
+ (xy 207.354 55.417547)
+ (xy 207.353999 56.644885)
+ (xy 206.846 56.644885)
+ (xy 206.846001 55.404)
+ (xy 206.846 55.403996)
+ (xy 206.846 54.877885)
+ (xy 207.353999 54.877885)
+ (xy 207.358474 54.893124)
+ (xy 207.359864 54.894329)
+ (xy 207.367547 54.896)
+ (xy 208.344885 54.896001)
+ (xy 208.360124 54.891526)
+ (xy 208.361329 54.890136)
+ (xy 208.363 54.882453)
+ (xy 208.363 54.769242)
+ (xy 211.48049 54.769242)
+ (xy 211.493476 54.854101)
+ (xy 211.512463 54.978179)
+ (xy 211.514429 54.991029)
+ (xy 211.536766 55.05627)
+ (xy 211.562515 55.131476)
+ (xy 211.587106 55.203302)
+ (xy 211.696224 55.399349)
+ (xy 211.699776 55.403689)
+ (xy 211.699779 55.403693)
+ (xy 211.790312 55.514302)
+ (xy 211.838335 55.572975)
+ (xy 211.853145 55.585624)
+ (xy 212.004682 55.715049)
+ (xy 212.004686 55.715052)
+ (xy 212.008947 55.718691)
+ (xy 212.202667 55.831892)
+ (xy 212.207933 55.833819)
+ (xy 212.207937 55.833821)
+ (xy 212.408106 55.907072)
+ (xy 212.408109 55.907073)
+ (xy 212.41337 55.908998)
+ (xy 212.418886 55.909961)
+ (xy 212.418891 55.909962)
+ (xy 212.54941 55.932741)
+ (xy 212.634399 55.947574)
+ (xy 212.640005 55.947545)
+ (xy 212.640009 55.947545)
+ (xy 212.743025 55.947005)
+ (xy 212.858765 55.946399)
+ (xy 212.892466 55.940153)
+ (xy 212.969071 55.925955)
+ (xy 213.079377 55.905511)
+ (xy 213.084626 55.903528)
+ (xy 213.084628 55.903527)
+ (xy 213.183916 55.866009)
+ (xy 213.289262 55.826202)
+ (xy 213.338844 55.796528)
+ (xy 213.429239 55.742427)
+ (xy 213.481785 55.710979)
+ (xy 213.486005 55.707297)
+ (xy 213.48601 55.707294)
+ (xy 213.646631 55.567175)
+ (xy 213.650861 55.563485)
+ (xy 213.681456 55.525296)
+ (xy 213.787641 55.392757)
+ (xy 213.787644 55.392752)
+ (xy 213.791147 55.38838)
+ (xy 213.898206 55.191201)
+ (xy 213.968657 54.978179)
+ (xy 213.97032 54.9665)
+ (xy 213.990288 54.826187)
+ (xy 214.00027 54.756048)
+ (xy 214.001595 54.705449)
+ (xy 214.001905 54.693631)
+ (xy 214.001905 54.693629)
+ (xy 214.002 54.69)
+ (xy 214.000368 54.671708)
+ (xy 213.995433 54.616422)
+ (xy 213.982055 54.466519)
+ (xy 213.930274 54.277238)
+ (xy 213.924332 54.255518)
+ (xy 213.924331 54.255514)
+ (xy 213.92285 54.250102)
+ (xy 213.826256 54.04759)
+ (xy 213.822984 54.043036)
+ (xy 213.698604 53.869941)
+ (xy 213.698599 53.869936)
+ (xy 213.695328 53.865383)
+ (xy 213.534202 53.709242)
+ (xy 213.347973 53.584101)
+ (xy 213.201948 53.52)
+ (xy 213.147669 53.496173)
+ (xy 213.147667 53.496172)
+ (xy 213.142527 53.493916)
+ (xy 212.982838 53.455578)
+ (xy 212.929814 53.442848)
+ (xy 212.929813 53.442848)
+ (xy 212.924357 53.441538)
+ (xy 212.839591 53.436651)
+ (xy 212.705967 53.428946)
+ (xy 212.705964 53.428946)
+ (xy 212.70036 53.428623)
+ (xy 212.477615 53.455578)
+ (xy 212.263165 53.521551)
+ (xy 212.258185 53.524121)
+ (xy 212.258181 53.524123)
+ (xy 212.094494 53.608609)
+ (xy 212.063787 53.624458)
+ (xy 211.885783 53.761045)
+ (xy 211.88201 53.765191)
+ (xy 211.882005 53.765196)
+ (xy 211.766696 53.89192)
+ (xy 211.734779 53.926996)
+ (xy 211.674833 54.022559)
+ (xy 211.618633 54.11215)
+ (xy 211.61555 54.117064)
+ (xy 211.531863 54.325242)
+ (xy 211.486364 54.544949)
+ (xy 211.48049 54.769242)
+ (xy 208.363 54.769242)
+ (xy 208.363 54.156757)
+ (xy 208.361563 54.143395)
+ (xy 208.319038 53.947911)
+ (xy 208.310545 53.927406)
+ (xy 208.242574 53.82164)
+ (xy 208.230888 53.808153)
+ (xy 208.13416 53.724338)
+ (xy 208.119152 53.714693)
+ (xy 208.002725 53.661523)
+ (xy 207.985612 53.656498)
+ (xy 207.854446 53.637639)
+ (xy 207.845505 53.637)
+ (xy 207.372115 53.637)
+ (xy 207.356876 53.641475)
+ (xy 207.355671 53.642865)
+ (xy 207.354 53.650548)
+ (xy 207.353999 54.877885)
+ (xy 206.846 54.877885)
+ (xy 206.846001 53.655115)
+ (xy 206.841526 53.639876)
+ (xy 206.840136 53.638671)
+ (xy 206.832453 53.637)
+ (xy 206.356757 53.637)
+ (xy 206.343395 53.638437)
+ (xy 206.147911 53.680962)
+ (xy 206.127406 53.689455)
+ (xy 206.02164 53.757426)
+ (xy 206.008153 53.769112)
+ (xy 205.924338 53.86584)
+ (xy 205.914691 53.880852)
+ (xy 205.862115 53.995976)
+ (xy 205.815622 54.049631)
+ (xy 205.747501 54.069633)
+ (xy 205.664643 54.035311)
+ (xy 205.664551 54.035449)
+ (xy 205.663858 54.034986)
+ (xy 205.652878 54.027649)
+ (xy 205.622312 54.007225)
+ (xy 205.622309 54.007224)
+ (xy 205.611995 54.000332)
+ (xy 205.599828 53.997912)
+ (xy 205.599826 53.997911)
+ (xy 205.565805 53.991144)
+ (xy 205.55 53.988)
+ (xy 205.4835 53.988)
+ (xy 205.415379 53.967998)
+ (xy 205.368886 53.914342)
+ (xy 205.3575 53.862)
+ (xy 205.3575 53.40587)
+ (xy 209.188099 53.40587)
+ (xy 209.188855 53.414876)
+ (xy 209.20121 53.562)
+ (xy 209.204626 53.602685)
+ (xy 209.222188 53.663932)
+ (xy 209.256583 53.783882)
+ (xy 209.259066 53.792542)
+ (xy 209.261885 53.798027)
+ (xy 209.346529 53.962727)
+ (xy 209.346532 53.962732)
+ (xy 209.349347 53.968209)
+ (xy 209.472028 54.122994)
+ (xy 209.476722 54.126988)
+ (xy 209.476722 54.126989)
+ (xy 209.617736 54.247001)
+ (xy 209.622438 54.251003)
+ (xy 209.627816 54.254009)
+ (xy 209.627818 54.25401)
+ (xy 209.680586 54.283501)
+ (xy 209.794847 54.347359)
+ (xy 209.982688 54.408392)
+ (xy 210.178806 54.431778)
+ (xy 210.184941 54.431306)
+ (xy 210.184943 54.431306)
+ (xy 210.369589 54.417098)
+ (xy 210.369592 54.417097)
+ (xy 210.375732 54.416625)
+ (xy 210.565964 54.363512)
+ (xy 210.742257 54.27446)
+ (xy 210.751513 54.267229)
+ (xy 210.893039 54.156656)
+ (xy 210.897895 54.152862)
+ (xy 210.908132 54.141003)
+ (xy 210.943374 54.100174)
+ (xy 211.026951 54.00335)
+ (xy 211.034986 53.989207)
+ (xy 211.121465 53.836974)
+ (xy 211.121466 53.836973)
+ (xy 211.124508 53.831617)
+ (xy 211.130043 53.81498)
+ (xy 211.168978 53.697936)
+ (xy 211.186851 53.644207)
+ (xy 211.211605 53.448257)
+ (xy 211.211875 53.428946)
+ (xy 211.211951 53.423522)
+ (xy 211.211951 53.423518)
+ (xy 211.212 53.42)
+ (xy 211.192727 53.223435)
+ (xy 211.135641 53.034357)
+ (xy 211.121236 53.007265)
+ (xy 211.04581 52.86541)
+ (xy 211.045808 52.865407)
+ (xy 211.042916 52.859968)
+ (xy 210.918086 52.70691)
+ (xy 210.765903 52.581014)
+ (xy 210.592166 52.487074)
+ (xy 210.403491 52.42867)
+ (xy 210.397366 52.428026)
+ (xy 210.397365 52.428026)
+ (xy 210.213193 52.408669)
+ (xy 210.213192 52.408669)
+ (xy 210.207065 52.408025)
+ (xy 210.086743 52.418975)
+ (xy 210.016511 52.425366)
+ (xy 210.01651 52.425366)
+ (xy 210.01037 52.425925)
+ (xy 209.96935 52.437998)
+ (xy 209.826809 52.47995)
+ (xy 209.826806 52.479951)
+ (xy 209.820898 52.48169)
+ (xy 209.645866 52.573195)
+ (xy 209.641068 52.577053)
+ (xy 209.641066 52.577054)
+ (xy 209.600414 52.609739)
+ (xy 209.491941 52.696954)
+ (xy 209.487982 52.701672)
+ (xy 209.487981 52.701673)
+ (xy 209.370094 52.842164)
+ (xy 209.364985 52.848253)
+ (xy 209.362022 52.853642)
+ (xy 209.362019 52.853647)
+ (xy 209.295944 52.973839)
+ (xy 209.269835 53.021331)
+ (xy 209.210115 53.209593)
+ (xy 209.209429 53.21571)
+ (xy 209.209428 53.215714)
+ (xy 209.19545 53.340337)
+ (xy 209.188099 53.40587)
+ (xy 205.3575 53.40587)
+ (xy 205.3575 50.138)
+ (xy 205.377502 50.069879)
+ (xy 205.431158 50.023386)
+ (xy 205.4835 50.012)
+ (xy 206.7 50.012)
+ (xy 206.719568 50.008108)
+ (xy 206.749826 50.002089)
+ (xy 206.749828 50.002088)
+ (xy 206.761995 49.999668)
+ (xy 206.772309 49.992776)
+ (xy 206.772312 49.992775)
+ (xy 206.804235 49.971444)
+ (xy 206.814551 49.964551)
+ (xy 206.821444 49.954235)
+ (xy 206.842775 49.922312)
+ (xy 206.842776 49.922309)
+ (xy 206.849668 49.911995)
+ (xy 206.862 49.85)
+ (xy 206.862 47.85)
+ (xy 206.849668 47.788005)
+ (xy 206.842776 47.777691)
+ (xy 206.842775 47.777688)
+ (xy 206.821444 47.745765)
+ (xy 206.814551 47.735449)
+ (xy 206.803463 47.72804)
+ (xy 206.772312 47.707225)
+ (xy 206.772309 47.707224)
+ (xy 206.761995 47.700332)
+ (xy 206.749828 47.697912)
+ (xy 206.749826 47.697911)
+ (xy 206.719568 47.691892)
+ (xy 206.7 47.688)
+ (xy 202.9 47.688)
+ (xy 202.880432 47.691892)
+ (xy 202.850174 47.697911)
+ (xy 202.850172 47.697912)
+ (xy 202.838005 47.700332)
+ (xy 202.827691 47.707224)
+ (xy 202.827688 47.707225)
+ (xy 202.796537 47.72804)
+ (xy 202.785449 47.735449)
+ (xy 202.778556 47.745765)
+ (xy 202.757225 47.777688)
+ (xy 202.757224 47.777691)
+ (xy 202.750332 47.788005)
+ (xy 202.738 47.85)
+ (xy 202.738 48.1665)
+ (xy 202.717998 48.234621)
+ (xy 202.664342 48.281114)
+ (xy 202.612 48.2925)
+ (xy 202.520615 48.2925)
+ (xy 202.43152 48.255596)
+ (xy 202.323905 48.147982)
+ (xy 202.287 48.058886)
+ (xy 202.287 47.79375)
+ (xy 202.267141 47.668366)
+ (xy 202.209509 47.555256)
+ (xy 202.142517 47.488264)
+ (xy 202.12374 47.453876)
+ (xy 219.438604 47.453876)
+ (xy 219.438828 47.458542)
+ (xy 219.438828 47.458547)
+ (xy 219.44087 47.501051)
+ (xy 219.451134 47.714734)
+ (xy 219.502083 47.970874)
+ (xy 219.590333 48.21667)
+ (xy 219.603246 48.240703)
+ (xy 219.700362 48.421444)
+ (xy 219.713944 48.446722)
+ (xy 219.716739 48.450465)
+ (xy 219.716741 48.450468)
+ (xy 219.86741 48.652238)
+ (xy 219.867415 48.652244)
+ (xy 219.870202 48.655976)
+ (xy 219.873511 48.659256)
+ (xy 219.873516 48.659262)
+ (xy 220.022706 48.807155)
+ (xy 220.055673 48.839835)
+ (xy 220.163266 48.918726)
+ (xy 220.248115 48.98094)
+ (xy 220.266282 48.994261)
+ (xy 220.270425 48.996441)
+ (xy 220.270427 48.996442)
+ (xy 220.493254 49.113677)
+ (xy 220.493259 49.113679)
+ (xy 220.497404 49.11586)
+ (xy 220.501827 49.117405)
+ (xy 220.501828 49.117405)
+ (xy 220.721677 49.194179)
+ (xy 220.743961 49.201961)
+ (xy 220.748554 49.202833)
+ (xy 220.941245 49.239417)
+ (xy 221.000536 49.250674)
+ (xy 221.123341 49.255499)
+ (xy 221.256825 49.260744)
+ (xy 221.25683 49.260744)
+ (xy 221.261493 49.260927)
+ (xy 221.368659 49.24919)
+ (xy 221.516446 49.233005)
+ (xy 221.516451 49.233004)
+ (xy 221.521099 49.232495)
+ (xy 221.525623 49.231304)
+ (xy 221.769128 49.167195)
+ (xy 221.76913 49.167194)
+ (xy 221.773651 49.166004)
+ (xy 221.78541 49.160952)
+ (xy 222.009307 49.064758)
+ (xy 222.009309 49.064757)
+ (xy 222.013601 49.062913)
+ (xy 222.235678 48.925488)
+ (xy 222.435002 48.756747)
+ (xy 222.50043 48.682141)
+ (xy 222.604114 48.563913)
+ (xy 222.604118 48.563908)
+ (xy 222.607196 48.560398)
+ (xy 222.631821 48.522115)
+ (xy 222.701008 48.414551)
+ (xy 222.748476 48.340754)
+ (xy 222.855738 48.102639)
+ (xy 222.926627 47.851286)
+ (xy 222.93348 47.79742)
+ (xy 222.959187 47.595346)
+ (xy 222.959187 47.595342)
+ (xy 222.959585 47.592216)
+ (xy 222.960322 47.564097)
+ (xy 222.961917 47.50316)
+ (xy 222.962 47.5)
+ (xy 222.961083 47.487657)
+ (xy 222.942992 47.244212)
+ (xy 222.942991 47.244208)
+ (xy 222.942646 47.23956)
+ (xy 222.885009 46.984841)
+ (xy 222.880926 46.974341)
+ (xy 222.792048 46.745792)
+ (xy 222.792047 46.74579)
+ (xy 222.790355 46.741439)
+ (xy 222.752235 46.674742)
+ (xy 222.735795 46.645979)
+ (xy 222.660764 46.514702)
+ (xy 222.499083 46.30961)
+ (xy 222.308863 46.130669)
+ (xy 222.109628 45.992454)
+ (xy 222.098125 45.984474)
+ (xy 222.098122 45.984472)
+ (xy 222.094283 45.981809)
+ (xy 222.090093 45.979743)
+ (xy 222.09009 45.979741)
+ (xy 221.864245 45.868367)
+ (xy 221.864242 45.868366)
+ (xy 221.860057 45.866302)
+ (xy 221.854422 45.864498)
+ (xy 221.735694 45.826493)
+ (xy 221.611331 45.786684)
+ (xy 221.486401 45.766338)
+ (xy 221.358181 45.745456)
+ (xy 221.358177 45.745456)
+ (xy 221.353568 45.744705)
+ (xy 221.223 45.742995)
+ (xy 221.097109 45.741347)
+ (xy 221.097106 45.741347)
+ (xy 221.092432 45.741286)
+ (xy 220.908357 45.766338)
+ (xy 220.838288 45.775874)
+ (xy 220.838286 45.775874)
+ (xy 220.83366 45.776504)
+ (xy 220.829178 45.77781)
+ (xy 220.829175 45.777811)
+ (xy 220.756727 45.798928)
+ (xy 220.582935 45.849584)
+ (xy 220.578688 45.851542)
+ (xy 220.578685 45.851543)
+ (xy 220.52823 45.874803)
+ (xy 220.345765 45.95892)
+ (xy 220.341856 45.961483)
+ (xy 220.131275 46.099545)
+ (xy 220.13127 46.099549)
+ (xy 220.127362 46.102111)
+ (xy 220.116091 46.112171)
+ (xy 219.938856 46.27036)
+ (xy 219.932523 46.276012)
+ (xy 219.765528 46.476801)
+ (xy 219.630047 46.700069)
+ (xy 219.628238 46.704383)
+ (xy 219.628237 46.704385)
+ (xy 219.532969 46.931574)
+ (xy 219.529054 46.940909)
+ (xy 219.527903 46.945441)
+ (xy 219.527902 46.945444)
+ (xy 219.508357 47.022405)
+ (xy 219.464769 47.194032)
+ (xy 219.438604 47.453876)
+ (xy 202.12374 47.453876)
+ (xy 202.108491 47.425952)
+ (xy 202.106485 47.38436)
+ (xy 202.111164 47.344825)
+ (xy 202.112271 47.335472)
+ (xy 202.108913 47.317085)
+ (xy 202.089257 47.209456)
+ (xy 202.089256 47.209453)
+ (xy 202.087564 47.200189)
+ (xy 202.079907 47.185449)
+ (xy 202.046686 47.121494)
+ (xy 202.0325 47.063412)
+ (xy 202.0325 46.920079)
+ (xy 202.052502 46.851958)
+ (xy 202.084438 46.818144)
+ (xy 202.088484 46.815204)
+ (xy 202.09732 46.810702)
+ (xy 202.185702 46.72232)
+ (xy 202.242447 46.610952)
+ (xy 202.246162 46.5875)
+ (xy 202.256517 46.522115)
+ (xy 202.262 46.4875)
+ (xy 202.262 46.482545)
+ (xy 202.262388 46.477616)
+ (xy 202.263697 46.477719)
+ (xy 202.282002 46.415379)
+ (xy 202.335658 46.368886)
+ (xy 202.388 46.3575)
+ (xy 202.425136 46.3575)
+ (xy 202.500454 46.342518)
+ (xy 202.585616 46.285616)
+ (xy 202.642518 46.200454)
+ (xy 202.6625 46.1)
+ (xy 202.642518 45.999546)
+ (xy 202.632448 45.984474)
+ (xy 202.592508 45.924699)
+ (xy 202.585616 45.914384)
+ (xy 202.526377 45.874803)
+ (xy 202.510772 45.864376)
+ (xy 202.500454 45.857482)
+ (xy 202.425136 45.8425)
+ (xy 202.331874 45.8425)
+ (xy 202.325807 45.843707)
+ (xy 202.325805 45.843707)
+ (xy 202.322688 45.844327)
+ (xy 202.321023 45.844178)
+ (xy 202.319642 45.844314)
+ (xy 202.319616 45.844052)
+ (xy 202.251974 45.837999)
+ (xy 202.200528 45.791275)
+ (xy 202.196035 45.79454)
+ (xy 202.190202 45.786512)
+ (xy 202.185702 45.77768)
+ (xy 202.09732 45.689298)
+ (xy 201.985952 45.632553)
+ (xy 201.976162 45.631002)
+ (xy 201.976161 45.631002)
+ (xy 201.939853 45.625252)
+ (xy 201.8625 45.613)
+ (xy 201.832999 45.613)
+ (xy 201.763947 45.584397)
+ (xy 201.760615 45.589383)
+ (xy 201.749618 45.582035)
+ (xy 201.730525 45.566365)
+ (xy 200.958634 44.794474)
+ (xy 200.942968 44.775386)
+ (xy 200.935616 44.764384)
+ (xy 200.850454 44.707482)
+ (xy 200.775136 44.6925)
+ (xy 200.775135 44.6925)
+ (xy 200.75 44.6875)
+ (xy 200.73783 44.689921)
+ (xy 200.737828 44.689921)
+ (xy 200.737034 44.690079)
+ (xy 200.712452 44.6925)
+ (xy 193.837547 44.6925)
+ (xy 193.812965 44.690079)
+ (xy 193.812171 44.689921)
+ (xy 193.812169 44.689921)
+ (xy 193.799999 44.6875)
+ (xy 193.774864 44.6925)
+ (xy 193.711716 44.705061)
+ (xy 193.711715 44.705061)
+ (xy 193.699546 44.707482)
+ (xy 193.614384 44.764384)
+ (xy 193.607492 44.774699)
+ (xy 193.60749 44.774701)
+ (xy 193.607035 44.775382)
+ (xy 193.591365 44.794475)
+ (xy 193.434745 44.951095)
+ (xy 193.34565 44.988)
+ (xy 192.95 44.988)
+ (xy 192.930432 44.991892)
+ (xy 192.900174 44.997911)
+ (xy 192.900172 44.997912)
+ (xy 192.888005 45.000332)
+ (xy 192.877691 45.007224)
+ (xy 192.877688 45.007225)
+ (xy 192.860813 45.018501)
+ (xy 192.835449 45.035449)
+ (xy 192.828556 45.045765)
+ (xy 192.807225 45.077688)
+ (xy 192.807224 45.077691)
+ (xy 192.800332 45.088005)
+ (xy 192.797912 45.100172)
+ (xy 192.797911 45.100174)
+ (xy 192.792784 45.125952)
+ (xy 192.788 45.15)
+ (xy 191.612 45.15)
+ (xy 191.607216 45.125952)
+ (xy 191.602089 45.100174)
+ (xy 191.602088 45.100172)
+ (xy 191.599668 45.088005)
+ (xy 191.592776 45.077691)
+ (xy 191.592775 45.077688)
+ (xy 191.571444 45.045765)
+ (xy 191.564551 45.035449)
+ (xy 191.539187 45.018501)
+ (xy 191.522312 45.007225)
+ (xy 191.522309 45.007224)
+ (xy 191.511995 45.000332)
+ (xy 191.499828 44.997912)
+ (xy 191.499826 44.997911)
+ (xy 191.469568 44.991892)
+ (xy 191.45 44.988)
+ (xy 190.8 44.988)
+ (xy 190.780432 44.991892)
+ (xy 190.750174 44.997911)
+ (xy 190.750172 44.997912)
+ (xy 190.738005 45.000332)
+ (xy 190.727691 45.007224)
+ (xy 190.727688 45.007225)
+ (xy 190.710813 45.018501)
+ (xy 190.685449 45.035449)
+ (xy 190.678556 45.045765)
+ (xy 190.657225 45.077688)
+ (xy 190.657224 45.077691)
+ (xy 190.650332 45.088005)
+ (xy 190.647912 45.100172)
+ (xy 190.647911 45.100174)
+ (xy 190.642784 45.125952)
+ (xy 190.638 45.15)
+ (xy 190.638 46.2)
+ (xy 190.650332 46.261995)
+ (xy 190.657224 46.272309)
+ (xy 190.657225 46.272312)
+ (xy 190.662224 46.279793)
+ (xy 190.685449 46.314551)
+ (xy 190.695765 46.321444)
+ (xy 190.727688 46.342775)
+ (xy 190.727691 46.342776)
+ (xy 190.738005 46.349668)
+ (xy 190.750172 46.352088)
+ (xy 190.750174 46.352089)
+ (xy 190.766082 46.355253)
+ (xy 190.828991 46.388161)
+ (xy 190.8675 46.478832)
+ (xy 190.867501 49.021168)
+ (xy 190.847499 49.089289)
+ (xy 190.766082 49.144747)
+ (xy 190.750174 49.147911)
+ (xy 190.750172 49.147912)
+ (xy 190.738005 49.150332)
+ (xy 190.727691 49.157224)
+ (xy 190.727688 49.157225)
+ (xy 190.697996 49.177065)
+ (xy 190.685449 49.185449)
+ (xy 190.678556 49.195765)
+ (xy 190.657225 49.227688)
+ (xy 190.657224 49.227691)
+ (xy 190.650332 49.238005)
+ (xy 190.647912 49.250172)
+ (xy 190.647911 49.250174)
+ (xy 190.645809 49.260744)
+ (xy 190.638 49.3)
+ (xy 190.638 49.4415)
+ (xy 190.617998 49.509621)
+ (xy 190.564342 49.556114)
+ (xy 190.512 49.5675)
+ (xy 189.769712 49.5675)
+ (xy 189.701591 49.547498)
+ (xy 189.655098 49.493842)
+ (xy 189.644994 49.423568)
+ (xy 189.680617 49.352405)
+ (xy 189.760702 49.27232)
+ (xy 189.817447 49.160952)
+ (xy 189.837 49.0375)
+ (xy 189.837 48.4625)
+ (xy 189.822716 48.372312)
+ (xy 189.818998 48.348839)
+ (xy 189.818998 48.348838)
+ (xy 189.817447 48.339048)
+ (xy 189.760702 48.22768)
+ (xy 189.67232 48.139298)
+ (xy 189.560952 48.082553)
+ (xy 189.551161 48.081002)
+ (xy 189.55116 48.081002)
+ (xy 189.440279 48.06344)
+ (xy 189.387507 48.036551)
+ (xy 189.385615 48.039383)
+ (xy 189.374621 48.032037)
+ (xy 189.355528 48.016368)
+ (xy 189.240755 47.901596)
+ (xy 189.20673 47.839284)
+ (xy 189.211794 47.768468)
+ (xy 189.240755 47.723404)
+ (xy 189.258092 47.706068)
+ (xy 189.355531 47.608629)
+ (xy 189.374626 47.592959)
+ (xy 189.385616 47.585616)
+ (xy 189.387508 47.588448)
+ (xy 189.440278 47.56156)
+ (xy 189.551161 47.543998)
+ (xy 189.551162 47.543998)
+ (xy 189.560952 47.542447)
+ (xy 189.67232 47.485702)
+ (xy 189.760702 47.39732)
+ (xy 189.817447 47.285952)
+ (xy 189.837 47.1625)
+ (xy 189.837 46.5875)
+ (xy 189.82423 46.506875)
+ (xy 189.818998 46.473839)
+ (xy 189.818998 46.473838)
+ (xy 189.817447 46.464048)
+ (xy 189.760702 46.35268)
+ (xy 189.7081 46.300078)
+ (xy 189.674074 46.237766)
+ (xy 189.679139 46.166951)
+ (xy 189.737212 46.105871)
+ (xy 189.734758 46.101621)
+ (xy 189.879308 46.018165)
+ (xy 189.891009 46.009454)
+ (xy 190.007077 45.89995)
+ (xy 190.016452 45.888776)
+ (xy 190.104137 45.755458)
+ (xy 190.110681 45.742427)
+ (xy 190.165261 45.59247)
+ (xy 190.168621 45.578292)
+ (xy 190.187574 45.416144)
+ (xy 190.188 45.408831)
+ (xy 190.188 45.397115)
+ (xy 190.183525 45.381876)
+ (xy 190.182135 45.380671)
+ (xy 190.174452 45.379)
+ (xy 189.454002 45.378999)
+ (xy 189.453996 45.379)
+ (xy 188.230115 45.378999)
+ (xy 188.214876 45.383474)
+ (xy 188.213671 45.384864)
+ (xy 188.212 45.392547)
+ (xy 188.212 45.40976)
+ (xy 188.212238 45.415224)
+ (xy 188.222843 45.536435)
+ (xy 188.225242 45.548967)
+ (xy 188.271273 45.702724)
+ (xy 188.277051 45.716118)
+ (xy 188.356835 45.854308)
+ (xy 188.365546 45.866009)
+ (xy 188.47505 45.982077)
+ (xy 188.486224 45.991452)
+ (xy 188.619542 46.079137)
+ (xy 188.632573 46.085681)
+ (xy 188.647403 46.091079)
+ (xy 188.704574 46.133173)
+ (xy 188.729912 46.199495)
+ (xy 188.715371 46.268986)
+ (xy 188.693403 46.298575)
+ (xy 188.639298 46.35268)
+ (xy 188.582553 46.464048)
+ (xy 188.581002 46.473838)
+ (xy 188.581002 46.473839)
+ (xy 188.57577 46.506875)
+ (xy 188.563 46.5875)
+ (xy 188.563 47.1625)
+ (xy 188.582553 47.285952)
+ (xy 188.596503 47.31333)
+ (xy 188.619924 47.359297)
+ (xy 188.633028 47.429074)
+ (xy 188.606328 47.494859)
+ (xy 188.5483 47.535765)
+ (xy 188.507657 47.5425)
+ (xy 188.088 47.5425)
+ (xy 188.019879 47.522498)
+ (xy 187.973386 47.468842)
+ (xy 187.962 47.4165)
+ (xy 187.962 47.3)
+ (xy 187.953365 47.256591)
+ (xy 187.952089 47.250174)
+ (xy 187.952088 47.250172)
+ (xy 187.949668 47.238005)
+ (xy 187.942776 47.227691)
+ (xy 187.942775 47.227688)
+ (xy 187.921444 47.195765)
+ (xy 187.914551 47.185449)
+ (xy 187.887524 47.16739)
+ (xy 187.872312 47.157225)
+ (xy 187.872309 47.157224)
+ (xy 187.861995 47.150332)
+ (xy 187.849828 47.147912)
+ (xy 187.849826 47.147911)
+ (xy 187.819568 47.141892)
+ (xy 187.8 47.138)
+ (xy 186.8 47.138)
+ (xy 186.780432 47.141892)
+ (xy 186.750174 47.147911)
+ (xy 186.750172 47.147912)
+ (xy 186.738005 47.150332)
+ (xy 186.727691 47.157224)
+ (xy 186.727688 47.157225)
+ (xy 186.712476 47.16739)
+ (xy 186.685449 47.185449)
+ (xy 186.678556 47.195765)
+ (xy 186.657225 47.227688)
+ (xy 186.657224 47.227691)
+ (xy 186.650332 47.238005)
+ (xy 186.647912 47.250172)
+ (xy 186.647911 47.250174)
+ (xy 186.646635 47.256591)
+ (xy 186.638 47.3)
+ (xy 186.638 48.3)
+ (xy 186.639207 48.306067)
+ (xy 186.646888 48.344679)
+ (xy 186.650332 48.361995)
+ (xy 186.657224 48.372309)
+ (xy 186.657225 48.372312)
+ (xy 186.678556 48.404235)
+ (xy 186.685449 48.414551)
+ (xy 186.695765 48.421444)
+ (xy 186.727688 48.442775)
+ (xy 186.727691 48.442776)
+ (xy 186.738005 48.449668)
+ (xy 186.750172 48.452088)
+ (xy 186.750174 48.452089)
+ (xy 186.777931 48.45761)
+ (xy 186.8 48.462)
+ (xy 187.8 48.462)
+ (xy 187.822069 48.45761)
+ (xy 187.849826 48.452089)
+ (xy 187.849828 48.452088)
+ (xy 187.861995 48.449668)
+ (xy 187.872309 48.442776)
+ (xy 187.872312 48.442775)
+ (xy 187.904235 48.421444)
+ (xy 187.914551 48.414551)
+ (xy 187.921444 48.404235)
+ (xy 187.942775 48.372312)
+ (xy 187.942776 48.372309)
+ (xy 187.949668 48.361995)
+ (xy 187.953113 48.344679)
+ (xy 187.960793 48.306067)
+ (xy 187.962 48.3)
+ (xy 187.962 48.1835)
+ (xy 187.982002 48.115379)
+ (xy 188.035658 48.068886)
+ (xy 188.088 48.0575)
+ (xy 188.520395 48.0575)
+ (xy 188.588516 48.077502)
+ (xy 188.635009 48.131158)
+ (xy 188.645113 48.201432)
+ (xy 188.632662 48.240703)
+ (xy 188.582553 48.339048)
+ (xy 188.581002 48.348838)
+ (xy 188.581002 48.348839)
+ (xy 188.577284 48.372312)
+ (xy 188.563 48.4625)
+ (xy 188.563 48.492001)
+ (xy 188.534397 48.561053)
+ (xy 188.539383 48.564385)
+ (xy 188.532037 48.575379)
+ (xy 188.516368 48.594472)
+ (xy 188.312461 48.79838)
+ (xy 188.293365 48.814051)
+ (xy 188.282375 48.821394)
+ (xy 188.267021 48.844374)
+ (xy 188.240824 48.883582)
+ (xy 188.240823 48.883583)
+ (xy 188.236205 48.890495)
+ (xy 188.225473 48.906556)
+ (xy 188.20549 49.00701)
+ (xy 188.207911 49.01918)
+ (xy 188.207911 49.019182)
+ (xy 188.20807 49.019981)
+ (xy 188.210491 49.044563)
+ (xy 188.21049 52.500046)
+ (xy 188.190488 52.568167)
+ (xy 188.136832 52.61466)
+ (xy 188.041395 52.618447)
+ (xy 188.017469 52.609739)
+ (xy 188.003293 52.606379)
+ (xy 187.871996 52.591032)
+ (xy 187.857546 52.593502)
+ (xy 187.854 52.606196)
+ (xy 187.853999 53.753)
+ (xy 187.833997 53.821121)
+ (xy 187.780341 53.867614)
+ (xy 187.727999 53.879)
+ (xy 187.472 53.878999)
+ (xy 187.403879 53.858997)
+ (xy 187.357386 53.805341)
+ (xy 187.346 53.752999)
+ (xy 187.346001 52.606559)
+ (xy 187.341706 52.591931)
+ (xy 187.329729 52.589868)
+ (xy 187.238565 52.597843)
+ (xy 187.226034 52.600241)
+ (xy 187.072276 52.646273)
+ (xy 187.058882 52.652051)
+ (xy 186.920692 52.731835)
+ (xy 186.908991 52.740546)
+ (xy 186.792923 52.85005)
+ (xy 186.783548 52.861224)
+ (xy 186.695863 52.994542)
+ (xy 186.689319 53.007573)
+ (xy 186.634739 53.15753)
+ (xy 186.631379 53.171708)
+ (xy 186.612426 53.333856)
+ (xy 186.612 53.341169)
+ (xy 186.612 53.352893)
+ (xy 186.591998 53.421014)
+ (xy 186.538342 53.467507)
+ (xy 186.442906 53.471294)
+ (xy 186.342473 53.434739)
+ (xy 186.328292 53.431379)
+ (xy 186.166144 53.412426)
+ (xy 186.158831 53.412)
+ (xy 186.147115 53.412)
+ (xy 186.131876 53.416475)
+ (xy 186.130671 53.417865)
+ (xy 186.129 53.425548)
+ (xy 186.129 55.369885)
+ (xy 186.133475 55.385124)
+ (xy 186.134865 55.386329)
+ (xy 186.142548 55.388)
+ (xy 186.15976 55.388)
+ (xy 186.165224 55.387762)
+ (xy 186.286435 55.377157)
+ (xy 186.298967 55.374758)
+ (xy 186.452724 55.328727)
+ (xy 186.466118 55.322949)
+ (xy 186.604308 55.243165)
+ (xy 186.616009 55.234454)
+ (xy 186.732077 55.124949)
+ (xy 186.740476 55.114939)
+ (xy 186.799585 55.075611)
+ (xy 186.870573 55.074483)
+ (xy 186.930901 55.111913)
+ (xy 186.963 55.195928)
+ (xy 186.963 55.437566)
+ (xy 186.942998 55.505687)
+ (xy 186.882463 55.555078)
+ (xy 186.77085 55.598258)
+ (xy 186.662852 55.683397)
+ (xy 186.584662 55.796528)
+ (xy 186.581823 55.805505)
+ (xy 186.581822 55.805507)
+ (xy 186.56022 55.873813)
+ (xy 186.543194 55.927649)
+ (xy 186.542114 56.065167)
+ (xy 186.544813 56.074191)
+ (xy 186.544813 56.074193)
+ (xy 186.567412 56.149759)
+ (xy 186.581517 56.196923)
+ (xy 186.615247 56.247403)
+ (xy 186.652213 56.302725)
+ (xy 186.657921 56.311268)
+ (xy 186.665222 56.317212)
+ (xy 186.665223 56.317213)
+ (xy 186.757262 56.392145)
+ (xy 186.764568 56.398093)
+ (xy 186.892032 56.449721)
+ (xy 186.901417 56.450534)
+ (xy 186.901418 56.450534)
+ (xy 187.019661 56.460775)
+ (xy 187.019664 56.460775)
+ (xy 187.029041 56.461587)
+ (xy 187.038242 56.459606)
+ (xy 187.038244 56.459606)
+ (xy 187.154278 56.434625)
+ (xy 187.154281 56.434624)
+ (xy 187.163482 56.432643)
+ (xy 187.171694 56.428044)
+ (xy 187.171697 56.428043)
+ (xy 187.275254 56.370048)
+ (xy 187.28347 56.365447)
+ (xy 187.378394 56.26594)
+ (xy 187.439864 56.14292)
+ (xy 187.44041 56.143193)
+ (xy 187.476468 56.090459)
+ (xy 187.556228 56.062)
+ (xy 187.8375 56.062)
+ (xy 187.914853 56.049748)
+ (xy 187.951161 56.043998)
+ (xy 187.951162 56.043998)
+ (xy 187.960952 56.042447)
+ (xy 187.981281 56.032089)
+ (xy 188.027288 56.008648)
+ (xy 188.097065 55.995544)
+ (xy 188.162849 56.022245)
+ (xy 188.203755 56.080272)
+ (xy 188.21049 56.120915)
+ (xy 188.21049 56.38316)
+ (xy 188.173585 56.472255)
+ (xy 187.354472 57.291368)
+ (xy 187.335384 57.307034)
+ (xy 187.324385 57.314384)
+ (xy 187.317491 57.324702)
+ (xy 187.317489 57.324704)
+ (xy 187.294001 57.359858)
+ (xy 187.280526 57.380026)
+ (xy 187.267483 57.399546)
+ (xy 187.2475 57.5)
+ (xy 187.249921 57.51217)
+ (xy 187.249921 57.512172)
+ (xy 187.25008 57.512971)
+ (xy 187.252501 57.537553)
+ (xy 187.2525 59.502452)
+ (xy 187.250079 59.527034)
+ (xy 187.2475 59.54)
+ (xy 187.249921 59.55217)
+ (xy 187.249921 59.552174)
+ (xy 187.252499 59.565133)
+ (xy 187.2525 59.565135)
+ (xy 187.267482 59.640453)
+ (xy 187.274378 59.650774)
+ (xy 187.317488 59.715296)
+ (xy 187.31749 59.715298)
+ (xy 187.324384 59.725616)
+ (xy 187.334702 59.73251)
+ (xy 187.341769 59.739577)
+ (xy 187.375793 59.80189)
+ (xy 187.370727 59.872705)
+ (xy 187.328179 59.92954)
+ (xy 187.232962 59.95312)
+ (xy 187.1375 59.938)
+ (xy 187.070771 59.938)
+ (xy 186.981676 59.901095)
+ (xy 186.894405 59.813824)
+ (xy 186.8575 59.724729)
+ (xy 186.8575 59.033083)
+ (xy 186.878687 58.966087)
+ (xy 186.878394 58.96594)
+ (xy 186.879319 58.964088)
+ (xy 186.92417 58.874329)
+ (xy 186.935653 58.851348)
+ (xy 186.935653 58.851347)
+ (xy 186.939864 58.84292)
+ (xy 186.962443 58.707265)
+ (xy 186.9625 58.7)
+ (xy 186.942054 58.564006)
+ (xy 186.897168 58.470531)
+ (xy 186.8866 58.448522)
+ (xy 186.8866 58.448521)
+ (xy 186.882525 58.440036)
+ (xy 186.789175 58.339051)
+ (xy 186.781031 58.33432)
+ (xy 186.781029 58.334319)
+ (xy 186.678399 58.274706)
+ (xy 186.678395 58.274704)
+ (xy 186.670258 58.269978)
+ (xy 186.578189 58.248638)
+ (xy 186.545465 58.241053)
+ (xy 186.545463 58.241053)
+ (xy 186.536287 58.238926)
+ (xy 186.526891 58.239591)
+ (xy 186.526888 58.239591)
+ (xy 186.408511 58.247972)
+ (xy 186.408509 58.247973)
+ (xy 186.399109 58.248638)
+ (xy 186.27085 58.298258)
+ (xy 186.162852 58.383397)
+ (xy 186.084662 58.496528)
+ (xy 186.081823 58.505505)
+ (xy 186.081822 58.505507)
+ (xy 186.063226 58.564309)
+ (xy 186.043194 58.627649)
+ (xy 186.042114 58.765167)
+ (xy 186.081517 58.896923)
+ (xy 186.086749 58.904753)
+ (xy 186.121265 58.95641)
+ (xy 186.1425 59.026412)
+ (xy 186.142501 59.873161)
+ (xy 186.140263 59.894184)
+ (xy 186.140095 59.897744)
+ (xy 186.137903 59.907925)
+ (xy 186.139127 59.918266)
+ (xy 186.141628 59.939397)
+ (xy 186.141939 59.944672)
+ (xy 186.142073 59.944661)
+ (xy 186.142501 59.949839)
+ (xy 186.142501 59.95504)
+ (xy 186.143355 59.960171)
+ (xy 186.145399 59.972451)
+ (xy 186.146236 59.978329)
+ (xy 186.151894 60.026133)
+ (xy 186.147864 60.02661)
+ (xy 186.147734 60.077308)
+ (xy 186.109197 60.136936)
+ (xy 186.026929 60.1675)
+ (xy 185.870079 60.1675)
+ (xy 185.801958 60.147498)
+ (xy 185.768144 60.115562)
+ (xy 185.765204 60.111516)
+ (xy 185.760702 60.10268)
+ (xy 185.67232 60.014298)
+ (xy 185.560952 59.957553)
+ (xy 185.551162 59.956002)
+ (xy 185.551161 59.956002)
+ (xy 185.514853 59.950252)
+ (xy 185.4375 59.938)
+ (xy 184.9625 59.938)
+ (xy 184.885147 59.950252)
+ (xy 184.848839 59.956002)
+ (xy 184.848838 59.956002)
+ (xy 184.839048 59.957553)
+ (xy 184.72768 60.014298)
+ (xy 184.639298 60.10268)
+ (xy 184.582553 60.214048)
+ (xy 184.581002 60.223838)
+ (xy 184.581002 60.223839)
+ (xy 184.577225 60.247688)
+ (xy 184.563 60.3375)
+ (xy 184.563 60.779229)
+ (xy 184.526095 60.868324)
+ (xy 183.288824 62.105595)
+ (xy 183.199729 62.1425)
+ (xy 180.429052 62.1425)
+ (xy 180.365766 62.125454)
+ (xy 180.278398 62.074706)
+ (xy 180.278397 62.074706)
+ (xy 180.270258 62.069978)
+ (xy 180.178189 62.048638)
+ (xy 180.145465 62.041053)
+ (xy 180.145463 62.041053)
+ (xy 180.136287 62.038926)
+ (xy 180.126891 62.039591)
+ (xy 180.126888 62.039591)
+ (xy 180.008511 62.047972)
+ (xy 180.008509 62.047973)
+ (xy 179.999109 62.048638)
+ (xy 179.87085 62.098258)
+ (xy 179.86345 62.104091)
+ (xy 179.863451 62.104091)
+ (xy 179.849042 62.11545)
+ (xy 179.771036 62.1425)
+ (xy 179.66996 62.1425)
+ (xy 179.58258 62.157044)
+ (xy 179.573414 62.16199)
+ (xy 179.573413 62.16199)
+ (xy 179.486987 62.208623)
+ (xy 179.486986 62.208624)
+ (xy 179.477821 62.213569)
+ (xy 179.470753 62.221215)
+ (xy 179.470752 62.221216)
+ (xy 179.451494 62.242049)
+ (xy 179.39702 62.300979)
+ (xy 179.348889 62.40985)
+ (xy 179.34799 62.420224)
+ (xy 179.34799 62.420226)
+ (xy 179.344124 62.464866)
+ (xy 179.338617 62.528441)
+ (xy 179.367314 62.643966)
+ (xy 179.372961 62.652712)
+ (xy 179.372962 62.652714)
+ (xy 179.422352 62.729205)
+ (xy 179.4425 62.797552)
+ (xy 179.442501 62.879384)
+ (xy 179.442501 62.954484)
+ (xy 179.405596 63.04358)
+ (xy 179.328844 63.120332)
+ (xy 179.266532 63.154358)
+ (xy 179.195717 63.149293)
+ (xy 179.150654 63.120332)
+ (xy 178.953371 62.923049)
+ (xy 178.948229 62.919613)
+ (xy 178.948225 62.91961)
+ (xy 178.8868 62.878567)
+ (xy 178.886798 62.878566)
+ (xy 178.876483 62.871674)
+ (xy 178.864316 62.869254)
+ (xy 178.864314 62.869253)
+ (xy 178.797958 62.856054)
+ (xy 178.785787 62.853633)
+ (xy 178.695091 62.871674)
+ (xy 178.685314 62.878207)
+ (xy 178.600914 62.878208)
+ (xy 178.600026 62.881521)
+ (xy 178.57623 62.875145)
+ (xy 178.440421 62.857265)
+ (xy 178.424045 62.857265)
+ (xy 178.288236 62.875145)
+ (xy 178.272416 62.879384)
+ (xy 178.145863 62.931804)
+ (xy 178.131682 62.939991)
+ (xy 178.090841 62.971329)
+ (xy 178.082377 62.982921)
+ (xy 178.08901 62.994898)
+ (xy 179.818167 64.724055)
+ (xy 179.830768 64.730936)
+ (xy 179.841774 64.722799)
+ (xy 179.873345 64.681654)
+ (xy 179.881532 64.667473)
+ (xy 179.933952 64.54092)
+ (xy 179.938191 64.5251)
+ (xy 179.956071 64.389291)
+ (xy 179.956071 64.372915)
+ (xy 179.938191 64.237106)
+ (xy 179.931815 64.21331)
+ (xy 179.935128 64.212422)
+ (xy 179.935129 64.128022)
+ (xy 179.941662 64.118245)
+ (xy 179.959703 64.027549)
+ (xy 179.955668 64.007265)
+ (xy 179.944083 63.949022)
+ (xy 179.944082 63.94902)
+ (xy 179.941662 63.936853)
+ (xy 179.93477 63.926538)
+ (xy 179.934769 63.926536)
+ (xy 179.893726 63.865111)
+ (xy 179.893723 63.865107)
+ (xy 179.890287 63.859965)
+ (xy 179.693004 63.662682)
+ (xy 179.658978 63.60037)
+ (xy 179.664043 63.529555)
+ (xy 179.693004 63.484492)
+ (xy 179.855525 63.321971)
+ (xy 179.874618 63.306301)
+ (xy 179.875299 63.305846)
+ (xy 179.875301 63.305844)
+ (xy 179.885616 63.298952)
+ (xy 179.934876 63.225228)
+ (xy 179.935625 63.224108)
+ (xy 179.935626 63.224105)
+ (xy 179.942518 63.213791)
+ (xy 179.945211 63.200257)
+ (xy 179.960079 63.125507)
+ (xy 179.9625 63.113336)
+ (xy 179.960079 63.101165)
+ (xy 179.960079 63.088754)
+ (xy 179.963404 63.088754)
+ (xy 179.967933 63.03813)
+ (xy 180.011487 62.982061)
+ (xy 180.096057 62.95873)
+ (xy 180.110084 62.959945)
+ (xy 180.119662 62.960775)
+ (xy 180.119664 62.960775)
+ (xy 180.129041 62.961587)
+ (xy 180.138242 62.959606)
+ (xy 180.138244 62.959606)
+ (xy 180.254278 62.934625)
+ (xy 180.254281 62.934624)
+ (xy 180.263482 62.932643)
+ (xy 180.271694 62.928044)
+ (xy 180.271697 62.928043)
+ (xy 180.356201 62.880718)
+ (xy 180.368974 62.873565)
+ (xy 180.43054 62.8575)
+ (xy 181.207988 62.8575)
+ (xy 181.276109 62.877502)
+ (xy 181.322602 62.931158)
+ (xy 181.332706 63.001432)
+ (xy 181.297083 63.072595)
+ (xy 180.509713 63.859965)
+ (xy 180.506277 63.865107)
+ (xy 180.506274 63.865111)
+ (xy 180.465231 63.926536)
+ (xy 180.46523 63.926538)
+ (xy 180.458338 63.936853)
+ (xy 180.455918 63.94902)
+ (xy 180.455917 63.949022)
+ (xy 180.444332 64.007265)
+ (xy 180.440297 64.027549)
+ (xy 180.442718 64.03972)
+ (xy 180.454643 64.099668)
+ (xy 180.458338 64.118245)
+ (xy 180.46523 64.12856)
+ (xy 180.465231 64.128562)
+ (xy 180.506274 64.189987)
+ (xy 180.506277 64.189991)
+ (xy 180.509713 64.195133)
+ (xy 180.615779 64.301199)
+ (xy 180.620921 64.304635)
+ (xy 180.620925 64.304638)
+ (xy 180.68235 64.345681)
+ (xy 180.682352 64.345682)
+ (xy 180.692667 64.352574)
+ (xy 180.704834 64.354994)
+ (xy 180.704836 64.354995)
+ (xy 180.709538 64.35593)
+ (xy 180.772448 64.388837)
+ (xy 180.808536 64.454928)
+ (xy 180.811892 64.471799)
+ (xy 180.818784 64.482114)
+ (xy 180.818785 64.482116)
+ (xy 180.859828 64.543541)
+ (xy 180.859831 64.543545)
+ (xy 180.863267 64.548687)
+ (xy 180.969333 64.654753)
+ (xy 180.974475 64.658189)
+ (xy 180.974479 64.658192)
+ (xy 181.035904 64.699235)
+ (xy 181.035906 64.699236)
+ (xy 181.046221 64.706128)
+ (xy 181.058388 64.708548)
+ (xy 181.05839 64.708549)
+ (xy 181.063092 64.709484)
+ (xy 181.126002 64.742392)
+ (xy 181.162089 64.808481)
+ (xy 181.162889 64.8125)
+ (xy 181.165445 64.825352)
+ (xy 181.172337 64.835667)
+ (xy 181.172338 64.835669)
+ (xy 181.213381 64.897094)
+ (xy 181.213384 64.897098)
+ (xy 181.21682 64.90224)
+ (xy 181.322886 65.008306)
+ (xy 181.328028 65.011742)
+ (xy 181.328032 65.011745)
+ (xy 181.389457 65.052788)
+ (xy 181.389459 65.052789)
+ (xy 181.399774 65.059681)
+ (xy 181.411941 65.062101)
+ (xy 181.411943 65.062102)
+ (xy 181.416645 65.063037)
+ (xy 181.479555 65.095944)
+ (xy 181.515643 65.162035)
+ (xy 181.518999 65.178906)
+ (xy 181.525891 65.189221)
+ (xy 181.525892 65.189223)
+ (xy 181.566935 65.250648)
+ (xy 181.566938 65.250652)
+ (xy 181.570374 65.255794)
+ (xy 181.67644 65.36186)
+ (xy 181.681585 65.365298)
+ (xy 181.681589 65.365301)
+ (xy 181.690784 65.371445)
+ (xy 181.736311 65.425923)
+ (xy 181.745158 65.496366)
+ (xy 181.709876 65.565304)
+ (xy 179.662575 67.612606)
+ (xy 179.57348 67.649511)
+ (xy 178.649913 67.649511)
+ (xy 178.581792 67.629509)
+ (xy 178.535299 67.575853)
+ (xy 178.525195 67.505579)
+ (xy 178.558741 67.436542)
+ (xy 178.578394 67.41594)
+ (xy 178.614165 67.344352)
+ (xy 178.635653 67.301348)
+ (xy 178.635653 67.301347)
+ (xy 178.639864 67.29292)
+ (xy 178.662443 67.157265)
+ (xy 178.6625 67.15)
+ (xy 178.646717 67.045021)
+ (xy 178.643454 67.023316)
+ (xy 178.643453 67.023314)
+ (xy 178.642054 67.014006)
+ (xy 178.608435 66.943994)
+ (xy 178.5866 66.898522)
+ (xy 178.5866 66.898521)
+ (xy 178.582525 66.890036)
+ (xy 178.490974 66.790998)
+ (xy 178.4575 66.70547)
+ (xy 178.4575 66.183993)
+ (xy 178.459921 66.159411)
+ (xy 178.460079 66.158617)
+ (xy 178.460079 66.158615)
+ (xy 178.4625 66.146445)
+ (xy 178.455648 66.112)
+ (xy 178.453677 66.102089)
+ (xy 178.444939 66.058162)
+ (xy 178.444939 66.058161)
+ (xy 178.442518 66.045992)
+ (xy 178.431109 66.028916)
+ (xy 178.392508 65.971145)
+ (xy 178.385616 65.96083)
+ (xy 178.375301 65.953938)
+ (xy 178.375299 65.953936)
+ (xy 178.374618 65.953481)
+ (xy 178.355525 65.937811)
+ (xy 178.336571 65.918857)
+ (xy 178.302545 65.856545)
+ (xy 178.30761 65.78573)
+ (xy 178.355663 65.724998)
+ (xy 178.364858 65.718854)
+ (xy 178.364862 65.718851)
+ (xy 178.370007 65.715413)
+ (xy 178.476073 65.609347)
+ (xy 178.479509 65.604205)
+ (xy 178.479512 65.604201)
+ (xy 178.520555 65.542776)
+ (xy 178.520556 65.542774)
+ (xy 178.527448 65.532459)
+ (xy 178.530804 65.515588)
+ (xy 178.563712 65.452678)
+ (xy 178.629801 65.416591)
+ (xy 178.634503 65.415656)
+ (xy 178.634505 65.415655)
+ (xy 178.646672 65.413235)
+ (xy 178.656987 65.406343)
+ (xy 178.656989 65.406342)
+ (xy 178.718414 65.365299)
+ (xy 178.718418 65.365296)
+ (xy 178.72356 65.36186)
+ (xy 178.829626 65.255794)
+ (xy 178.833062 65.250652)
+ (xy 178.833065 65.250648)
+ (xy 178.874108 65.189223)
+ (xy 178.874109 65.189221)
+ (xy 178.881001 65.178906)
+ (xy 178.884357 65.162035)
+ (xy 178.917264 65.099125)
+ (xy 178.983355 65.063037)
+ (xy 178.988055 65.062102)
+ (xy 179.000226 65.059681)
+ (xy 179.010003 65.053148)
+ (xy 179.094402 65.053148)
+ (xy 179.09529 65.049835)
+ (xy 179.119086 65.056211)
+ (xy 179.254895 65.074091)
+ (xy 179.271271 65.074091)
+ (xy 179.40708 65.056211)
+ (xy 179.4229 65.051972)
+ (xy 179.549453 64.999552)
+ (xy 179.563634 64.991365)
+ (xy 179.604475 64.960027)
+ (xy 179.612939 64.948435)
+ (xy 179.606306 64.936458)
+ (xy 177.877149 63.207301)
+ (xy 177.864548 63.20042)
+ (xy 177.853542 63.208557)
+ (xy 177.821971 63.249702)
+ (xy 177.813784 63.263883)
+ (xy 177.761364 63.390436)
+ (xy 177.757125 63.406256)
+ (xy 177.739245 63.542065)
+ (xy 177.739245 63.558441)
+ (xy 177.757125 63.69425)
+ (xy 177.763501 63.718046)
+ (xy 177.760188 63.718934)
+ (xy 177.760188 63.803333)
+ (xy 177.753655 63.81311)
+ (xy 177.751234 63.825281)
+ (xy 177.750299 63.829981)
+ (xy 177.717392 63.892891)
+ (xy 177.651301 63.928979)
+ (xy 177.646599 63.929914)
+ (xy 177.646597 63.929915)
+ (xy 177.63443 63.932335)
+ (xy 177.624115 63.939227)
+ (xy 177.624113 63.939228)
+ (xy 177.562688 63.980271)
+ (xy 177.562684 63.980274)
+ (xy 177.557542 63.98371)
+ (xy 177.451476 64.089776)
+ (xy 177.448038 64.094921)
+ (xy 177.448035 64.094925)
+ (xy 177.441895 64.104115)
+ (xy 177.38742 64.149645)
+ (xy 177.316977 64.158495)
+ (xy 177.248033 64.123212)
+ (xy 176.469295 63.344474)
+ (xy 176.453628 63.325386)
+ (xy 176.453171 63.324702)
+ (xy 176.453168 63.324699)
+ (xy 176.446276 63.314384)
+ (xy 176.361114 63.257482)
+ (xy 176.285796 63.2425)
+ (xy 176.285795 63.2425)
+ (xy 176.280527 63.241452)
+ (xy 176.26066 63.2375)
+ (xy 176.24849 63.239921)
+ (xy 176.248488 63.239921)
+ (xy 176.247694 63.240079)
+ (xy 176.223112 63.2425)
+ (xy 173.888 63.2425)
+ (xy 173.819879 63.222498)
+ (xy 173.773386 63.168842)
+ (xy 173.762 63.1165)
+ (xy 173.762 63.05)
+ (xy 173.753382 63.006675)
+ (xy 173.752089 63.000174)
+ (xy 173.752088 63.000172)
+ (xy 173.749668 62.988005)
+ (xy 173.742776 62.977691)
+ (xy 173.742775 62.977688)
+ (xy 173.721444 62.945765)
+ (xy 173.714551 62.935449)
+ (xy 173.695993 62.923049)
+ (xy 173.672312 62.907225)
+ (xy 173.672309 62.907224)
+ (xy 173.661995 62.900332)
+ (xy 173.649828 62.897912)
+ (xy 173.649826 62.897911)
+ (xy 173.619568 62.891892)
+ (xy 173.6 62.888)
+ (xy 172.8 62.888)
+ (xy 172.780432 62.891892)
+ (xy 172.750174 62.897911)
+ (xy 172.750172 62.897912)
+ (xy 172.738005 62.900332)
+ (xy 172.727691 62.907224)
+ (xy 172.727688 62.907225)
+ (xy 172.704007 62.923049)
+ (xy 172.685449 62.935449)
+ (xy 172.678556 62.945765)
+ (xy 172.657225 62.977688)
+ (xy 172.657224 62.977691)
+ (xy 172.650332 62.988005)
+ (xy 172.647912 63.000172)
+ (xy 172.647911 63.000174)
+ (xy 172.646618 63.006675)
+ (xy 172.638 63.05)
+ (xy 172.638 63.89965)
+ (xy 172.617998 63.967771)
+ (xy 172.564342 64.014264)
+ (xy 172.494068 64.024368)
+ (xy 172.422905 63.988745)
+ (xy 172.254405 63.820245)
+ (xy 172.2175 63.73115)
+ (xy 172.2175 62.467547)
+ (xy 172.219921 62.442965)
+ (xy 172.220079 62.442171)
+ (xy 172.220079 62.442169)
+ (xy 172.2225 62.429999)
+ (xy 172.214453 62.389546)
+ (xy 172.202518 62.329546)
+ (xy 172.145616 62.244384)
+ (xy 172.135301 62.237492)
+ (xy 172.135299 62.23749)
+ (xy 172.134621 62.237037)
+ (xy 172.115528 62.221368)
+ (xy 171.548632 61.654473)
+ (xy 171.532961 61.635377)
+ (xy 171.532511 61.634704)
+ (xy 171.525616 61.624384)
+ (xy 171.440454 61.567482)
+ (xy 171.365136 61.5525)
+ (xy 171.365135 61.5525)
+ (xy 171.361385 61.551754)
+ (xy 171.34 61.5475)
+ (xy 171.32783 61.549921)
+ (xy 171.327828 61.549921)
+ (xy 171.327034 61.550079)
+ (xy 171.302452 61.5525)
+ (xy 169.753182 61.5525)
+ (xy 169.7286 61.550079)
+ (xy 169.727806 61.549921)
+ (xy 169.727804 61.549921)
+ (xy 169.715634 61.5475)
+ (xy 169.690499 61.5525)
+ (xy 169.690498 61.5525)
+ (xy 169.61518 61.567482)
+ (xy 169.530018 61.624384)
+ (xy 169.523126 61.634699)
+ (xy 169.523124 61.634701)
+ (xy 169.522671 61.635379)
+ (xy 169.507002 61.654472)
+ (xy 169.14588 62.015595)
+ (xy 169.056784 62.0525)
+ (xy 168.988908 62.0525)
+ (xy 168.920787 62.032498)
+ (xy 168.867374 61.959748)
+ (xy 168.864512 61.949284)
+ (xy 168.84285 61.870102)
+ (xy 168.746256 61.66759)
+ (xy 168.730743 61.646001)
+ (xy 168.618604 61.489941)
+ (xy 168.618599 61.489936)
+ (xy 168.615328 61.485383)
+ (xy 168.454202 61.329242)
+ (xy 168.32581 61.242966)
+ (xy 168.272634 61.207233)
+ (xy 168.272632 61.207232)
+ (xy 168.267973 61.204101)
+ (xy 168.192851 61.171125)
+ (xy 168.138519 61.125432)
+ (xy 168.1175 61.055754)
+ (xy 168.1175 60.787583)
+ (xy 168.118373 60.772774)
+ (xy 168.121164 60.749191)
+ (xy 168.122271 60.739838)
+ (xy 168.111568 60.681232)
+ (xy 168.110918 60.677328)
+ (xy 168.103454 60.627683)
+ (xy 168.103454 60.627682)
+ (xy 168.102054 60.618372)
+ (xy 168.098881 60.611764)
+ (xy 168.097564 60.604554)
+ (xy 168.093223 60.596197)
+ (xy 168.093221 60.596191)
+ (xy 168.070088 60.551658)
+ (xy 168.068319 60.548118)
+ (xy 168.046603 60.502893)
+ (xy 168.046601 60.50289)
+ (xy 168.042525 60.494402)
+ (xy 168.037624 60.489101)
+ (xy 168.037478 60.488884)
+ (xy 168.03417 60.482515)
+ (xy 168.028426 60.475789)
+ (xy 167.989089 60.436452)
+ (xy 167.98566 60.432886)
+ (xy 167.955572 60.400337)
+ (xy 167.955571 60.400336)
+ (xy 167.949175 60.393417)
+ (xy 167.943096 60.389886)
+ (xy 167.9376 60.384963)
+ (xy 167.757852 60.205215)
+ (xy 167.574405 60.021769)
+ (xy 167.5375 59.932673)
+ (xy 167.5375 58.47736)
+ (xy 168.038119 58.47736)
+ (xy 168.055048 58.77097)
+ (xy 168.055873 58.775175)
+ (xy 168.055874 58.775183)
+ (xy 168.079759 58.896923)
+ (xy 168.111668 59.059567)
+ (xy 168.113055 59.063617)
+ (xy 168.113056 59.063622)
+ (xy 168.205543 59.333753)
+ (xy 168.206932 59.337809)
+ (xy 168.25498 59.433341)
+ (xy 168.308624 59.54)
+ (xy 168.339076 59.600548)
+ (xy 168.341502 59.604077)
+ (xy 168.341505 59.604083)
+ (xy 168.486942 59.815695)
+ (xy 168.505655 59.842922)
+ (xy 168.508542 59.846095)
+ (xy 168.508543 59.846096)
+ (xy 168.634812 59.984864)
+ (xy 168.703586 60.060446)
+ (xy 168.790885 60.133439)
+ (xy 168.925916 60.246343)
+ (xy 168.925921 60.246347)
+ (xy 168.929208 60.249095)
+ (xy 169.00497 60.29662)
+ (xy 169.174705 60.403095)
+ (xy 169.174709 60.403097)
+ (xy 169.178345 60.405378)
+ (xy 169.283687 60.452942)
+ (xy 169.442475 60.524638)
+ (xy 169.442479 60.52464)
+ (xy 169.446387 60.526404)
+ (xy 169.450507 60.527624)
+ (xy 169.450506 60.527624)
+ (xy 169.724261 60.608714)
+ (xy 169.724265 60.608715)
+ (xy 169.728374 60.609932)
+ (xy 169.732608 60.61058)
+ (xy 169.732613 60.610581)
+ (xy 169.991302 60.650166)
+ (xy 170.019089 60.654418)
+ (xy 170.168859 60.656771)
+ (xy 170.308859 60.65897)
+ (xy 170.308865 60.65897)
+ (xy 170.31315 60.659037)
+ (xy 170.605118 60.623705)
+ (xy 170.747354 60.58639)
+ (xy 170.885447 60.550162)
+ (xy 170.885448 60.550162)
+ (xy 170.88959 60.549075)
+ (xy 171.161301 60.436529)
+ (xy 171.415224 60.288148)
+ (xy 171.64666 60.106679)
+ (xy 171.851327 59.89548)
+ (xy 171.85386 59.892032)
+ (xy 171.853864 59.892027)
+ (xy 172.022899 59.661913)
+ (xy 172.025437 59.658458)
+ (xy 172.029609 59.650774)
+ (xy 172.163718 59.403775)
+ (xy 172.163719 59.403773)
+ (xy 172.165768 59.399999)
+ (xy 172.269725 59.124887)
+ (xy 172.308311 58.95641)
+ (xy 172.334424 58.842395)
+ (xy 172.334425 58.842391)
+ (xy 172.335382 58.838211)
+ (xy 172.348155 58.695101)
+ (xy 172.359024 58.573316)
+ (xy 172.361526 58.545278)
+ (xy 172.361593 58.538934)
+ (xy 172.361974 58.502485)
+ (xy 172.362 58.5)
+ (xy 172.360844 58.483044)
+ (xy 172.342289 58.21086)
+ (xy 172.342288 58.210854)
+ (xy 172.341997 58.206583)
+ (xy 172.282357 57.918595)
+ (xy 172.184186 57.641366)
+ (xy 172.102213 57.482547)
+ (xy 172.051263 57.383833)
+ (xy 172.051263 57.383832)
+ (xy 172.049298 57.380026)
+ (xy 172.038405 57.364526)
+ (xy 171.906671 57.177088)
+ (xy 171.88019 57.139409)
+ (xy 171.766861 57.017453)
+ (xy 171.682912 56.927113)
+ (xy 171.682909 56.927111)
+ (xy 171.679991 56.92397)
+ (xy 171.452406 56.737694)
+ (xy 171.201646 56.584028)
+ (xy 171.197729 56.582309)
+ (xy 171.197726 56.582307)
+ (xy 171.048669 56.516876)
+ (xy 170.932351 56.465816)
+ (xy 170.928223 56.46464)
+ (xy 170.92822 56.464639)
+ (xy 170.840654 56.439695)
+ (xy 170.649505 56.385245)
+ (xy 170.645263 56.384641)
+ (xy 170.645257 56.38464)
+ (xy 170.362592 56.344411)
+ (xy 170.358341 56.343806)
+ (xy 170.203328 56.342994)
+ (xy 170.068533 56.342288)
+ (xy 170.068526 56.342288)
+ (xy 170.064247 56.342266)
+ (xy 170.060002 56.342825)
+ (xy 170.06 56.342825)
+ (xy 170.036925 56.345863)
+ (xy 169.772665 56.380654)
+ (xy 169.48899 56.458258)
+ (xy 169.485042 56.459942)
+ (xy 169.222425 56.571958)
+ (xy 169.222421 56.57196)
+ (xy 169.218473 56.573644)
+ (xy 169.10303 56.642735)
+ (xy 168.969799 56.722471)
+ (xy 168.969795 56.722474)
+ (xy 168.966117 56.724675)
+ (xy 168.962774 56.727353)
+ (xy 168.96277 56.727356)
+ (xy 168.877642 56.795557)
+ (xy 168.736594 56.908558)
+ (xy 168.73365 56.91166)
+ (xy 168.733646 56.911664)
+ (xy 168.553126 57.101892)
+ (xy 168.53415 57.121889)
+ (xy 168.362532 57.360722)
+ (xy 168.224915 57.620635)
+ (xy 168.187062 57.724074)
+ (xy 168.127723 57.886225)
+ (xy 168.123845 57.896821)
+ (xy 168.061193 58.184168)
+ (xy 168.060857 58.188438)
+ (xy 168.040389 58.448522)
+ (xy 168.038119 58.47736)
+ (xy 167.5375 58.47736)
+ (xy 167.5375 55.392547)
+ (xy 174.962 55.392547)
+ (xy 174.962 55.40976)
+ (xy 174.962238 55.415224)
+ (xy 174.972843 55.536435)
+ (xy 174.975242 55.548967)
+ (xy 175.021273 55.702724)
+ (xy 175.027051 55.716118)
+ (xy 175.106835 55.854308)
+ (xy 175.115546 55.866009)
+ (xy 175.22505 55.982077)
+ (xy 175.236224 55.991452)
+ (xy 175.369542 56.079137)
+ (xy 175.382573 56.085681)
+ (xy 175.53253 56.140261)
+ (xy 175.546707 56.143621)
+ (xy 175.678004 56.158968)
+ (xy 175.692454 56.156498)
+ (xy 175.696 56.143804)
+ (xy 175.696 56.143441)
+ (xy 176.203999 56.143441)
+ (xy 176.208294 56.158069)
+ (xy 176.220271 56.160132)
+ (xy 176.311435 56.152157)
+ (xy 176.323966 56.149759)
+ (xy 176.477724 56.103727)
+ (xy 176.491118 56.097949)
+ (xy 176.629308 56.018165)
+ (xy 176.641009 56.009454)
+ (xy 176.757077 55.89995)
+ (xy 176.766452 55.888776)
+ (xy 176.854137 55.755458)
+ (xy 176.860681 55.742427)
+ (xy 176.915261 55.59247)
+ (xy 176.918621 55.578292)
+ (xy 176.937574 55.416144)
+ (xy 176.938 55.408831)
+ (xy 176.938 55.397115)
+ (xy 176.933525 55.381876)
+ (xy 176.932135 55.380671)
+ (xy 176.924452 55.379)
+ (xy 176.222115 55.378999)
+ (xy 176.206876 55.383474)
+ (xy 176.205671 55.384864)
+ (xy 176.204 55.392547)
+ (xy 176.203999 56.143441)
+ (xy 175.696 56.143441)
+ (xy 175.696001 55.397115)
+ (xy 175.691526 55.381876)
+ (xy 175.690136 55.380671)
+ (xy 175.682453 55.379)
+ (xy 174.980115 55.378999)
+ (xy 174.964876 55.383474)
+ (xy 174.963671 55.384864)
+ (xy 174.962 55.392547)
+ (xy 167.5375 55.392547)
+ (xy 167.5375 54.841169)
+ (xy 174.962 54.841169)
+ (xy 174.962 54.852885)
+ (xy 174.966475 54.868124)
+ (xy 174.967865 54.869329)
+ (xy 174.975548 54.871)
+ (xy 175.695998 54.871001)
+ (xy 175.696004 54.871)
+ (xy 176.854651 54.871001)
+ (xy 176.943746 54.907906)
+ (xy 177.476095 55.440255)
+ (xy 177.513 55.52935)
+ (xy 177.513 55.88)
+ (xy 177.514207 55.886067)
+ (xy 177.521109 55.920763)
+ (xy 177.525332 55.941995)
+ (xy 177.532224 55.952309)
+ (xy 177.532225 55.952312)
+ (xy 177.552114 55.982077)
+ (xy 177.560449 55.994551)
+ (xy 177.570765 56.001444)
+ (xy 177.602688 56.022775)
+ (xy 177.602691 56.022776)
+ (xy 177.613005 56.029668)
+ (xy 177.625172 56.032088)
+ (xy 177.625174 56.032089)
+ (xy 177.641082 56.035253)
+ (xy 177.703991 56.068161)
+ (xy 177.7425 56.158832)
+ (xy 177.742501 56.325113)
+ (xy 177.742501 56.487)
+ (xy 177.722499 56.55512)
+ (xy 177.668844 56.601613)
+ (xy 177.616501 56.613)
+ (xy 177.4125 56.613)
+ (xy 177.335147 56.625252)
+ (xy 177.298839 56.631002)
+ (xy 177.298838 56.631002)
+ (xy 177.289048 56.632553)
+ (xy 177.17768 56.689298)
+ (xy 177.089298 56.77768)
+ (xy 177.032553 56.889048)
+ (xy 177.031002 56.898838)
+ (xy 177.031002 56.898839)
+ (xy 177.026563 56.926866)
+ (xy 177.013 57.0125)
+ (xy 177.013 57.4875)
+ (xy 177.032553 57.610952)
+ (xy 177.089298 57.72232)
+ (xy 177.17768 57.810702)
+ (xy 177.289048 57.867447)
+ (xy 177.298838 57.868998)
+ (xy 177.298839 57.868998)
+ (xy 177.335147 57.874748)
+ (xy 177.4125 57.887)
+ (xy 177.9875 57.887)
+ (xy 178.064853 57.874748)
+ (xy 178.101161 57.868998)
+ (xy 178.101162 57.868998)
+ (xy 178.110952 57.867447)
+ (xy 178.22232 57.810702)
+ (xy 178.274922 57.7581)
+ (xy 178.337234 57.724074)
+ (xy 178.408049 57.729139)
+ (xy 178.469129 57.787212)
+ (xy 178.473379 57.784758)
+ (xy 178.556835 57.929308)
+ (xy 178.565546 57.941009)
+ (xy 178.67505 58.057077)
+ (xy 178.686224 58.066452)
+ (xy 178.819542 58.154137)
+ (xy 178.832573 58.160681)
+ (xy 178.98253 58.215261)
+ (xy 178.996708 58.218621)
+ (xy 179.158856 58.237574)
+ (xy 179.166169 58.238)
+ (xy 179.177885 58.238)
+ (xy 179.193124 58.233525)
+ (xy 179.194329 58.232135)
+ (xy 179.196 58.224452)
+ (xy 179.196 57.517548)
+ (xy 179.704 57.517548)
+ (xy 179.704 58.219885)
+ (xy 179.708475 58.235124)
+ (xy 179.709865 58.236329)
+ (xy 179.717548 58.238)
+ (xy 179.73476 58.238)
+ (xy 179.740224 58.237762)
+ (xy 179.861435 58.227157)
+ (xy 179.873967 58.224758)
+ (xy 180.027724 58.178727)
+ (xy 180.041118 58.172949)
+ (xy 180.179308 58.093165)
+ (xy 180.191009 58.084454)
+ (xy 180.307077 57.97495)
+ (xy 180.316452 57.963776)
+ (xy 180.404137 57.830458)
+ (xy 180.410681 57.817427)
+ (xy 180.465261 57.66747)
+ (xy 180.468621 57.653293)
+ (xy 180.483968 57.521996)
+ (xy 180.481498 57.507546)
+ (xy 180.468804 57.504)
+ (xy 179.722115 57.504)
+ (xy 179.706876 57.508475)
+ (xy 179.705671 57.509865)
+ (xy 179.704 57.517548)
+ (xy 179.196 57.517548)
+ (xy 179.196 57.122)
+ (xy 179.216002 57.053879)
+ (xy 179.269658 57.007386)
+ (xy 179.322 56.996)
+ (xy 180.468441 56.996)
+ (xy 180.483069 56.991705)
+ (xy 180.485132 56.979728)
+ (xy 180.477157 56.888565)
+ (xy 180.474759 56.876034)
+ (xy 180.428727 56.722276)
+ (xy 180.422949 56.708882)
+ (xy 180.343165 56.570692)
+ (xy 180.334454 56.558991)
+ (xy 180.22495 56.442923)
+ (xy 180.213776 56.433548)
+ (xy 180.080458 56.345863)
+ (xy 180.067427 56.339319)
+ (xy 179.922037 56.286401)
+ (xy 179.864866 56.244307)
+ (xy 179.839528 56.177985)
+ (xy 179.854069 56.108494)
+ (xy 179.903871 56.057895)
+ (xy 179.965132 56.042)
+ (xy 180.225 56.042)
+ (xy 180.245385 56.037945)
+ (xy 180.274826 56.032089)
+ (xy 180.274828 56.032088)
+ (xy 180.286995 56.029668)
+ (xy 180.297309 56.022776)
+ (xy 180.297312 56.022775)
+ (xy 180.329235 56.001444)
+ (xy 180.339551 55.994551)
+ (xy 180.347886 55.982077)
+ (xy 180.367775 55.952312)
+ (xy 180.367776 55.952309)
+ (xy 180.374668 55.941995)
+ (xy 180.378892 55.920763)
+ (xy 180.385793 55.886067)
+ (xy 180.387 55.88)
+ (xy 180.387 55.7335)
+ (xy 180.407002 55.665379)
+ (xy 180.460658 55.618886)
+ (xy 180.513 55.6075)
+ (xy 183.612452 55.6075)
+ (xy 183.637034 55.609921)
+ (xy 183.637828 55.610079)
+ (xy 183.63783 55.610079)
+ (xy 183.65 55.6125)
+ (xy 183.66217 55.610079)
+ (xy 183.662965 55.609921)
+ (xy 183.675135 55.6075)
+ (xy 183.675136 55.6075)
+ (xy 183.750454 55.592518)
+ (xy 183.7862 55.568634)
+ (xy 183.825297 55.542511)
+ (xy 183.825298 55.54251)
+ (xy 183.835616 55.535616)
+ (xy 183.842961 55.524623)
+ (xy 183.858632 55.505527)
+ (xy 184.280528 55.083632)
+ (xy 184.299621 55.067963)
+ (xy 184.310615 55.060617)
+ (xy 184.313947 55.065603)
+ (xy 184.382999 55.037)
+ (xy 184.4125 55.037)
+ (xy 184.489853 55.024748)
+ (xy 184.526161 55.018998)
+ (xy 184.526162 55.018998)
+ (xy 184.535952 55.017447)
+ (xy 184.64732 54.960702)
+ (xy 184.699922 54.9081)
+ (xy 184.762234 54.874074)
+ (xy 184.833049 54.879139)
+ (xy 184.894129 54.937212)
+ (xy 184.898379 54.934758)
+ (xy 184.981835 55.079308)
+ (xy 184.990546 55.091009)
+ (xy 185.10005 55.207077)
+ (xy 185.111224 55.216452)
+ (xy 185.244542 55.304137)
+ (xy 185.257573 55.310681)
+ (xy 185.40753 55.365261)
+ (xy 185.421708 55.368621)
+ (xy 185.583856 55.387574)
+ (xy 185.591169 55.388)
+ (xy 185.602885 55.388)
+ (xy 185.618124 55.383525)
+ (xy 185.619329 55.382135)
+ (xy 185.621 55.374452)
+ (xy 185.621 53.430115)
+ (xy 185.616525 53.414876)
+ (xy 185.615135 53.413671)
+ (xy 185.607452 53.412)
+ (xy 185.59024 53.412)
+ (xy 185.584776 53.412238)
+ (xy 185.463565 53.422843)
+ (xy 185.451033 53.425242)
+ (xy 185.297276 53.471273)
+ (xy 185.283882 53.477051)
+ (xy 185.145692 53.556835)
+ (xy 185.133991 53.565546)
+ (xy 185.017923 53.67505)
+ (xy 185.008548 53.686224)
+ (xy 184.920863 53.819542)
+ (xy 184.914319 53.832573)
+ (xy 184.908921 53.847403)
+ (xy 184.866827 53.904574)
+ (xy 184.800505 53.929912)
+ (xy 184.731014 53.915371)
+ (xy 184.701425 53.893403)
+ (xy 184.64732 53.839298)
+ (xy 184.535952 53.782553)
+ (xy 184.526162 53.781002)
+ (xy 184.526161 53.781002)
+ (xy 184.489853 53.775252)
+ (xy 184.4125 53.763)
+ (xy 183.8375 53.763)
+ (xy 183.760147 53.775252)
+ (xy 183.723839 53.781002)
+ (xy 183.723838 53.781002)
+ (xy 183.714048 53.782553)
+ (xy 183.60268 53.839298)
+ (xy 183.514298 53.92768)
+ (xy 183.457553 54.039048)
+ (xy 183.456002 54.048838)
+ (xy 183.456002 54.048839)
+ (xy 183.438449 54.159665)
+ (xy 183.408036 54.223818)
+ (xy 183.347768 54.261345)
+ (xy 183.276779 54.260331)
+ (xy 183.217607 54.221098)
+ (xy 183.188 54.139954)
+ (xy 183.188 54.09024)
+ (xy 183.187762 54.084776)
+ (xy 183.177157 53.963565)
+ (xy 183.174758 53.951033)
+ (xy 183.128727 53.797276)
+ (xy 183.122949 53.783882)
+ (xy 183.043165 53.645692)
+ (xy 183.034454 53.633991)
+ (xy 182.92495 53.517923)
+ (xy 182.913776 53.508548)
+ (xy 182.780458 53.420863)
+ (xy 182.767427 53.414319)
+ (xy 182.752597 53.408921)
+ (xy 182.695426 53.366827)
+ (xy 182.670088 53.300505)
+ (xy 182.684629 53.231014)
+ (xy 182.706597 53.201425)
+ (xy 182.760702 53.14732)
+ (xy 182.817447 53.035952)
+ (xy 182.819143 53.025248)
+ (xy 182.827285 52.973839)
+ (xy 182.837 52.9125)
+ (xy 182.837 52.3375)
+ (xy 182.831569 52.303211)
+ (xy 182.840668 52.2328)
+ (xy 182.88639 52.178486)
+ (xy 182.956018 52.1575)
+ (xy 182.976406 52.1575)
+ (xy 182.987278 52.15797)
+ (xy 183.019661 52.160775)
+ (xy 183.019664 52.160775)
+ (xy 183.029041 52.161587)
+ (xy 183.038246 52.159605)
+ (xy 183.038249 52.159605)
+ (xy 183.078338 52.150974)
+ (xy 183.086118 52.149552)
+ (xy 183.135994 52.142054)
+ (xy 183.144483 52.137978)
+ (xy 183.149427 52.136457)
+ (xy 183.154273 52.134626)
+ (xy 183.163482 52.132643)
+ (xy 183.191304 52.117062)
+ (xy 183.207483 52.108002)
+ (xy 183.214505 52.104354)
+ (xy 183.217991 52.10268)
+ (xy 183.259964 52.082525)
+ (xy 183.266881 52.076131)
+ (xy 183.271163 52.073221)
+ (xy 183.275253 52.070049)
+ (xy 183.28347 52.065447)
+ (xy 183.318278 52.028958)
+ (xy 183.32392 52.023404)
+ (xy 183.354031 51.99557)
+ (xy 183.360949 51.989175)
+ (xy 183.365681 51.981028)
+ (xy 183.368915 51.976991)
+ (xy 183.371891 51.972756)
+ (xy 183.378394 51.96594)
+ (xy 183.400934 51.920832)
+ (xy 183.40469 51.913871)
+ (xy 183.42529 51.878405)
+ (xy 183.42529 51.878404)
+ (xy 183.430022 51.870258)
+ (xy 183.432149 51.86108)
+ (xy 183.434057 51.856261)
+ (xy 183.435654 51.851344)
+ (xy 183.439864 51.84292)
+ (xy 183.441411 51.833626)
+ (xy 183.444192 51.825066)
+ (xy 183.484265 51.76646)
+ (xy 183.564026 51.738)
+ (xy 183.693243 51.738)
+ (xy 183.706605 51.736563)
+ (xy 183.902089 51.694038)
+ (xy 183.922594 51.685545)
+ (xy 184.02836 51.617574)
+ (xy 184.041847 51.605888)
+ (xy 184.125662 51.50916)
+ (xy 184.135307 51.494152)
+ (xy 184.188477 51.377725)
+ (xy 184.193502 51.360612)
+ (xy 184.212361 51.229446)
+ (xy 184.213 51.220505)
+ (xy 184.213 51.208704)
+ (xy 184.233002 51.140583)
+ (xy 184.254067 51.119824)
+ (xy 184.266 51.088529)
+ (xy 184.266 49.857115)
+ (xy 184.264659 49.852548)
+ (xy 184.774 49.852548)
+ (xy 184.774 51.093052)
+ (xy 184.77704 51.103405)
+ (xy 184.795829 51.104742)
+ (xy 184.893557 51.075979)
+ (xy 184.904941 51.07138)
+ (xy 185.069222 50.985496)
+ (xy 185.079483 50.978782)
+ (xy 185.223959 50.86262)
+ (xy 185.232719 50.854042)
+ (xy 185.351881 50.71203)
+ (xy 185.358807 50.701916)
+ (xy 185.448118 50.539458)
+ (xy 185.452946 50.528194)
+ (xy 185.509002 50.351484)
+ (xy 185.51155 50.339497)
+ (xy 185.527607 50.196339)
+ (xy 185.528 50.189315)
+ (xy 185.528 49.857115)
+ (xy 185.523525 49.841876)
+ (xy 185.522135 49.840671)
+ (xy 185.514452 49.839)
+ (xy 184.792115 49.839)
+ (xy 184.776876 49.843475)
+ (xy 184.775671 49.844865)
+ (xy 184.774 49.852548)
+ (xy 184.264659 49.852548)
+ (xy 184.261525 49.841876)
+ (xy 184.260135 49.840671)
+ (xy 184.252452 49.839)
+ (xy 183.598 49.839)
+ (xy 183.529879 49.818998)
+ (xy 183.483386 49.765342)
+ (xy 183.472 49.713)
+ (xy 183.472 49.457)
+ (xy 183.492002 49.388879)
+ (xy 183.545658 49.342386)
+ (xy 183.598 49.331)
+ (xy 184.247885 49.331)
+ (xy 184.263124 49.326525)
+ (xy 184.264329 49.325135)
+ (xy 184.266 49.317452)
+ (xy 184.266 48.081472)
+ (xy 184.774 48.081472)
+ (xy 184.774 49.312885)
+ (xy 184.778475 49.328124)
+ (xy 184.779865 49.329329)
+ (xy 184.787548 49.331)
+ (xy 185.509885 49.331)
+ (xy 185.525124 49.326525)
+ (xy 185.526329 49.325135)
+ (xy 185.528 49.317452)
+ (xy 185.528 48.987088)
+ (xy 185.527699 48.98094)
+ (xy 185.514309 48.844374)
+ (xy 185.511926 48.832339)
+ (xy 185.458346 48.654876)
+ (xy 185.453671 48.643534)
+ (xy 185.366643 48.479856)
+ (xy 185.359856 48.46964)
+ (xy 185.24269 48.325981)
+ (xy 185.234046 48.317277)
+ (xy 185.091211 48.199113)
+ (xy 185.08104 48.192253)
+ (xy 184.91797 48.104082)
+ (xy 184.906669 48.099332)
+ (xy 184.791307 48.06362)
+ (xy 184.780866 48.063468)
+ (xy 184.774 48.081472)
+ (xy 184.266 48.081472)
+ (xy 184.266 48.076948)
+ (xy 184.26296 48.066595)
+ (xy 184.244171 48.065258)
+ (xy 184.146443 48.094021)
+ (xy 184.135059 48.09862)
+ (xy 183.970778 48.184504)
+ (xy 183.960517 48.191218)
+ (xy 183.816041 48.30738)
+ (xy 183.807281 48.315958)
+ (xy 183.688123 48.457965)
+ (xy 183.681189 48.468092)
+ (xy 183.588916 48.635938)
+ (xy 183.587824 48.635337)
+ (xy 183.546769 48.68503)
+ (xy 183.479138 48.706628)
+ (xy 183.407644 48.686395)
+ (xy 183.301807 48.617795)
+ (xy 183.301803 48.617793)
+ (xy 183.294273 48.612912)
+ (xy 183.285676 48.610341)
+ (xy 183.285674 48.61034)
+ (xy 183.203269 48.585696)
+ (xy 183.161983 48.573349)
+ (xy 183.153007 48.573294)
+ (xy 183.153006 48.573294)
+ (xy 183.094791 48.572939)
+ (xy 183.023907 48.572506)
+ (xy 183.013855 48.575379)
+ (xy 182.899774 48.607983)
+ (xy 182.899772 48.607984)
+ (xy 182.891143 48.61045)
+ (xy 182.774366 48.684131)
+ (xy 182.768423 48.69086)
+ (xy 182.768422 48.690861)
+ (xy 182.708897 48.75826)
+ (xy 182.682962 48.787626)
+ (xy 182.679148 48.795749)
+ (xy 182.679147 48.795751)
+ (xy 182.656319 48.844374)
+ (xy 182.62428 48.912615)
+ (xy 182.6229 48.92148)
+ (xy 182.622899 48.921482)
+ (xy 182.605606 49.032547)
+ (xy 182.603036 49.04905)
+ (xy 182.6042 49.057952)
+ (xy 182.6042 49.057955)
+ (xy 182.618824 49.169785)
+ (xy 182.62094 49.185964)
+ (xy 182.676551 49.312349)
+ (xy 182.682326 49.319219)
+ (xy 182.682329 49.319224)
+ (xy 182.682425 49.319338)
+ (xy 182.682484 49.319473)
+ (xy 182.687072 49.326844)
+ (xy 182.686008 49.327506)
+ (xy 182.710946 49.384354)
+ (xy 182.681198 49.482924)
+ (xy 182.674338 49.49084)
+ (xy 182.664691 49.505852)
+ (xy 182.649396 49.539343)
+ (xy 182.602903 49.592998)
+ (xy 182.534783 49.613)
+ (xy 182.3 49.613)
+ (xy 182.238005 49.625332)
+ (xy 182.237685 49.623722)
+ (xy 182.162315 49.623722)
+ (xy 182.161995 49.625332)
+ (xy 182.153901 49.623722)
+ (xy 182.1 49.613)
+ (xy 181.8 49.613)
+ (xy 181.738005 49.625332)
+ (xy 181.737685 49.623722)
+ (xy 181.662315 49.623722)
+ (xy 181.661995 49.625332)
+ (xy 181.653901 49.623722)
+ (xy 181.6 49.613)
+ (xy 181.3 49.613)
+ (xy 181.238005 49.625332)
+ (xy 181.237685 49.623722)
+ (xy 181.162315 49.623722)
+ (xy 181.161995 49.625332)
+ (xy 181.153901 49.623722)
+ (xy 181.1 49.613)
+ (xy 180.8 49.613)
+ (xy 180.8 49.611679)
+ (xy 180.736946 49.599719)
+ (xy 180.689587 49.557557)
+ (xy 180.652218 49.50163)
+ (xy 180.635616 49.476782)
+ (xy 180.625301 49.46989)
+ (xy 180.625299 49.469888)
+ (xy 180.624615 49.469431)
+ (xy 180.605522 49.453761)
+ (xy 180.514238 49.362477)
+ (xy 180.498568 49.343384)
+ (xy 180.498111 49.3427)
+ (xy 180.498109 49.342698)
+ (xy 180.491217 49.332383)
+ (xy 180.406055 49.275481)
+ (xy 180.330737 49.260499)
+ (xy 180.330736 49.260499)
+ (xy 180.330329 49.260418)
+ (xy 180.305601 49.255499)
+ (xy 180.293431 49.25792)
+ (xy 180.293429 49.25792)
+ (xy 180.292635 49.258078)
+ (xy 180.268053 49.260499)
+ (xy 179.544548 49.260499)
+ (xy 179.519966 49.258078)
+ (xy 179.519172 49.25792)
+ (xy 179.507001 49.255499)
+ (xy 179.481865 49.260499)
+ (xy 179.406547 49.275481)
+ (xy 179.321385 49.332383)
+ (xy 179.314493 49.342698)
+ (xy 179.314491 49.3427)
+ (xy 179.314034 49.343384)
+ (xy 179.298364 49.362477)
+ (xy 179.294478 49.366363)
+ (xy 179.275385 49.382033)
+ (xy 179.274875 49.382374)
+ (xy 179.264384 49.389384)
+ (xy 179.25749 49.399702)
+ (xy 179.257488 49.399704)
+ (xy 179.214377 49.464227)
+ (xy 179.207482 49.474547)
+ (xy 179.205061 49.486719)
+ (xy 179.202032 49.501946)
+ (xy 179.202031 49.501949)
+ (xy 179.200114 49.511585)
+ (xy 179.167205 49.574494)
+ (xy 179.076536 49.613)
+ (xy 178.8 49.613)
+ (xy 178.738005 49.625332)
+ (xy 178.737685 49.623722)
+ (xy 178.662315 49.623722)
+ (xy 178.661995 49.625332)
+ (xy 178.653901 49.623722)
+ (xy 178.6 49.613)
+ (xy 178.3 49.613)
+ (xy 178.238005 49.625332)
+ (xy 178.237685 49.623722)
+ (xy 178.162315 49.623722)
+ (xy 178.161995 49.625332)
+ (xy 178.153901 49.623722)
+ (xy 178.1 49.613)
+ (xy 177.868287 49.613)
+ (xy 177.800166 49.592998)
+ (xy 177.762289 49.55512)
+ (xy 177.707742 49.470242)
+ (xy 177.68774 49.402121)
+ (xy 177.709008 49.332556)
+ (xy 177.713741 49.327327)
+ (xy 177.773946 49.203064)
+ (xy 177.788358 49.117405)
+ (xy 177.796049 49.071691)
+ (xy 177.796049 49.071686)
+ (xy 177.796855 49.066898)
+ (xy 177.797 49.055)
+ (xy 177.777425 48.918315)
+ (xy 177.773712 48.910148)
+ (xy 177.72399 48.800791)
+ (xy 177.723989 48.800789)
+ (xy 177.720274 48.792619)
+ (xy 177.675208 48.740317)
+ (xy 177.636005 48.694819)
+ (xy 177.636004 48.694818)
+ (xy 177.630142 48.688015)
+ (xy 177.622611 48.683134)
+ (xy 177.622608 48.683131)
+ (xy 177.521804 48.617793)
+ (xy 177.521802 48.617792)
+ (xy 177.514273 48.612912)
+ (xy 177.505676 48.610341)
+ (xy 177.505674 48.61034)
+ (xy 177.423269 48.585696)
+ (xy 177.381983 48.573349)
+ (xy 177.373007 48.573294)
+ (xy 177.373006 48.573294)
+ (xy 177.314791 48.572939)
+ (xy 177.243907 48.572506)
+ (xy 177.233855 48.575379)
+ (xy 177.119774 48.607983)
+ (xy 177.119772 48.607984)
+ (xy 177.111143 48.61045)
+ (xy 176.994366 48.684131)
+ (xy 176.99311 48.682141)
+ (xy 176.939952 48.705855)
+ (xy 176.869769 48.695134)
+ (xy 176.811866 48.640138)
+ (xy 176.726643 48.479856)
+ (xy 176.719856 48.46964)
+ (xy 176.60269 48.325981)
+ (xy 176.594046 48.317277)
+ (xy 176.451211 48.199113)
+ (xy 176.44104 48.192253)
+ (xy 176.27797 48.104082)
+ (xy 176.266669 48.099332)
+ (xy 176.151307 48.06362)
+ (xy 176.140866 48.063468)
+ (xy 176.134 48.081472)
+ (xy 176.134 49.312885)
+ (xy 176.138475 49.328124)
+ (xy 176.139865 49.329329)
+ (xy 176.147548 49.331)
+ (xy 176.802 49.331)
+ (xy 176.870121 49.351002)
+ (xy 176.916614 49.404658)
+ (xy 176.928 49.457)
+ (xy 176.928 49.713)
+ (xy 176.907998 49.781121)
+ (xy 176.854342 49.827614)
+ (xy 176.802 49.839)
+ (xy 176.152115 49.839)
+ (xy 176.136876 49.843475)
+ (xy 176.135671 49.844865)
+ (xy 176.134 49.852548)
+ (xy 176.134 51.093052)
+ (xy 176.138475 51.108291)
+ (xy 176.143513 51.112657)
+ (xy 176.187 51.207881)
+ (xy 176.187 51.218243)
+ (xy 176.188437 51.231605)
+ (xy 176.230962 51.427089)
+ (xy 176.239455 51.447594)
+ (xy 176.307426 51.55336)
+ (xy 176.319112 51.566847)
+ (xy 176.41584 51.650662)
+ (xy 176.430848 51.660307)
+ (xy 176.547275 51.713477)
+ (xy 176.564388 51.718502)
+ (xy 176.695554 51.737361)
+ (xy 176.704495 51.738)
+ (xy 176.727885 51.738)
+ (xy 176.743124 51.733525)
+ (xy 176.744329 51.732135)
+ (xy 176.746 51.724452)
+ (xy 176.746 50.372)
+ (xy 176.766002 50.303879)
+ (xy 176.819658 50.257386)
+ (xy 176.872 50.246)
+ (xy 177.128 50.246)
+ (xy 177.196121 50.266002)
+ (xy 177.242614 50.319658)
+ (xy 177.254 50.372)
+ (xy 177.254 51.568197)
+ (xy 177.249434 51.594987)
+ (xy 177.250576 51.595205)
+ (xy 177.248811 51.604455)
+ (xy 177.245692 51.613337)
+ (xy 177.245404 51.62066)
+ (xy 177.243194 51.627649)
+ (xy 177.242739 51.685616)
+ (xy 177.242726 51.687237)
+ (xy 177.242634 51.691167)
+ (xy 177.240293 51.750751)
+ (xy 177.242172 51.757836)
+ (xy 177.242114 51.765167)
+ (xy 177.244813 51.774191)
+ (xy 177.244813 51.774193)
+ (xy 177.259185 51.82225)
+ (xy 177.260258 51.82605)
+ (xy 177.27414 51.878405)
+ (xy 177.275538 51.883679)
+ (xy 177.27187 51.884652)
+ (xy 177.278292 51.937018)
+ (xy 177.247464 52.000972)
+ (xy 177.153974 52.0425)
+ (xy 176.537547 52.0425)
+ (xy 176.512965 52.040079)
+ (xy 176.512171 52.039921)
+ (xy 176.512169 52.039921)
+ (xy 176.499999 52.0375)
+ (xy 176.479253 52.041627)
+ (xy 176.474864 52.0425)
+ (xy 176.411716 52.055061)
+ (xy 176.411715 52.055061)
+ (xy 176.399546 52.057482)
+ (xy 176.314384 52.114384)
+ (xy 176.307492 52.124699)
+ (xy 176.30749 52.124701)
+ (xy 176.307037 52.125379)
+ (xy 176.291368 52.144472)
+ (xy 175.794473 52.641368)
+ (xy 175.775377 52.657039)
+ (xy 175.764384 52.664384)
+ (xy 175.762492 52.661552)
+ (xy 175.709722 52.68844)
+ (xy 175.598839 52.706002)
+ (xy 175.598838 52.706002)
+ (xy 175.589048 52.707553)
+ (xy 175.47768 52.764298)
+ (xy 175.389298 52.85268)
+ (xy 175.332553 52.964048)
+ (xy 175.331002 52.973838)
+ (xy 175.331002 52.973839)
+ (xy 175.325708 53.007265)
+ (xy 175.313 53.0875)
+ (xy 175.313 53.6625)
+ (xy 175.322794 53.724338)
+ (xy 175.329266 53.765196)
+ (xy 175.332553 53.785952)
+ (xy 175.389298 53.89732)
+ (xy 175.4419 53.949922)
+ (xy 175.475926 54.012234)
+ (xy 175.470861 54.083049)
+ (xy 175.412788 54.144129)
+ (xy 175.415242 54.148379)
+ (xy 175.270692 54.231835)
+ (xy 175.258991 54.240546)
+ (xy 175.142923 54.35005)
+ (xy 175.133548 54.361224)
+ (xy 175.045863 54.494542)
+ (xy 175.039319 54.507573)
+ (xy 174.984739 54.65753)
+ (xy 174.981379 54.671708)
+ (xy 174.962426 54.833856)
+ (xy 174.962 54.841169)
+ (xy 167.5375 54.841169)
+ (xy 167.5375 53.411692)
+ (xy 167.539911 53.40587)
+ (xy 169.188099 53.40587)
+ (xy 169.188855 53.414876)
+ (xy 169.20121 53.562)
+ (xy 169.204626 53.602685)
+ (xy 169.222188 53.663932)
+ (xy 169.256583 53.783882)
+ (xy 169.259066 53.792542)
+ (xy 169.261885 53.798027)
+ (xy 169.346529 53.962727)
+ (xy 169.346532 53.962732)
+ (xy 169.349347 53.968209)
+ (xy 169.472028 54.122994)
+ (xy 169.476722 54.126988)
+ (xy 169.476722 54.126989)
+ (xy 169.617736 54.247001)
+ (xy 169.622438 54.251003)
+ (xy 169.627816 54.254009)
+ (xy 169.627818 54.25401)
+ (xy 169.680586 54.283501)
+ (xy 169.794847 54.347359)
+ (xy 169.982688 54.408392)
+ (xy 170.178806 54.431778)
+ (xy 170.184941 54.431306)
+ (xy 170.184943 54.431306)
+ (xy 170.369589 54.417098)
+ (xy 170.369592 54.417097)
+ (xy 170.375732 54.416625)
+ (xy 170.565964 54.363512)
+ (xy 170.742257 54.27446)
+ (xy 170.751513 54.267229)
+ (xy 170.893039 54.156656)
+ (xy 170.897895 54.152862)
+ (xy 170.908132 54.141003)
+ (xy 170.943374 54.100174)
+ (xy 171.026951 54.00335)
+ (xy 171.034986 53.989207)
+ (xy 171.121465 53.836974)
+ (xy 171.121466 53.836973)
+ (xy 171.124508 53.831617)
+ (xy 171.130043 53.81498)
+ (xy 171.168978 53.697936)
+ (xy 171.186851 53.644207)
+ (xy 171.211605 53.448257)
+ (xy 171.211875 53.428946)
+ (xy 171.211951 53.423522)
+ (xy 171.211951 53.423518)
+ (xy 171.212 53.42)
+ (xy 171.192727 53.223435)
+ (xy 171.135641 53.034357)
+ (xy 171.121236 53.007265)
+ (xy 171.04581 52.86541)
+ (xy 171.045808 52.865407)
+ (xy 171.042916 52.859968)
+ (xy 170.918086 52.70691)
+ (xy 170.765903 52.581014)
+ (xy 170.592166 52.487074)
+ (xy 170.403491 52.42867)
+ (xy 170.397366 52.428026)
+ (xy 170.397365 52.428026)
+ (xy 170.213193 52.408669)
+ (xy 170.213192 52.408669)
+ (xy 170.207065 52.408025)
+ (xy 170.086743 52.418975)
+ (xy 170.016511 52.425366)
+ (xy 170.01651 52.425366)
+ (xy 170.01037 52.425925)
+ (xy 169.96935 52.437998)
+ (xy 169.826809 52.47995)
+ (xy 169.826806 52.479951)
+ (xy 169.820898 52.48169)
+ (xy 169.645866 52.573195)
+ (xy 169.641068 52.577053)
+ (xy 169.641066 52.577054)
+ (xy 169.600414 52.609739)
+ (xy 169.491941 52.696954)
+ (xy 169.487982 52.701672)
+ (xy 169.487981 52.701673)
+ (xy 169.370094 52.842164)
+ (xy 169.364985 52.848253)
+ (xy 169.362022 52.853642)
+ (xy 169.362019 52.853647)
+ (xy 169.295944 52.973839)
+ (xy 169.269835 53.021331)
+ (xy 169.210115 53.209593)
+ (xy 169.209429 53.21571)
+ (xy 169.209428 53.215714)
+ (xy 169.19545 53.340337)
+ (xy 169.188099 53.40587)
+ (xy 167.539911 53.40587)
+ (xy 167.574405 53.322596)
+ (xy 167.98465 52.912352)
+ (xy 168.019205 52.887864)
+ (xy 168.021834 52.886602)
+ (xy 168.021836 52.886601)
+ (xy 168.030324 52.882525)
+ (xy 168.035632 52.877618)
+ (xy 168.035834 52.877482)
+ (xy 168.04221 52.87417)
+ (xy 168.048936 52.868425)
+ (xy 168.088248 52.829113)
+ (xy 168.091814 52.825684)
+ (xy 168.124392 52.795569)
+ (xy 168.131309 52.789175)
+ (xy 168.134841 52.783094)
+ (xy 168.139775 52.777586)
+ (xy 171.064813 49.852548)
+ (xy 174.872001 49.852548)
+ (xy 174.872001 50.182912)
+ (xy 174.872302 50.18906)
+ (xy 174.885692 50.325626)
+ (xy 174.888075 50.337661)
+ (xy 174.941655 50.515124)
+ (xy 174.94633 50.526466)
+ (xy 175.033358 50.690144)
+ (xy 175.040145 50.70036)
+ (xy 175.157311 50.844019)
+ (xy 175.165955 50.852723)
+ (xy 175.308789 50.970886)
+ (xy 175.31896 50.977746)
+ (xy 175.482032 51.065918)
+ (xy 175.493331 51.070668)
+ (xy 175.608693 51.10638)
+ (xy 175.619134 51.106532)
+ (xy 175.626 51.088528)
+ (xy 175.626 49.857115)
+ (xy 175.621525 49.841876)
+ (xy 175.620135 49.840671)
+ (xy 175.612452 49.839)
+ (xy 174.890116 49.839)
+ (xy 174.874877 49.843475)
+ (xy 174.873672 49.844865)
+ (xy 174.872001 49.852548)
+ (xy 171.064813 49.852548)
+ (xy 171.936675 48.980686)
+ (xy 174.872 48.980686)
+ (xy 174.872 49.312885)
+ (xy 174.876475 49.328124)
+ (xy 174.877865 49.329329)
+ (xy 174.885548 49.331)
+ (xy 175.607885 49.331)
+ (xy 175.623124 49.326525)
+ (xy 175.624329 49.325135)
+ (xy 175.626 49.317452)
+ (xy 175.626 48.076948)
+ (xy 175.62296 48.066595)
+ (xy 175.604171 48.065258)
+ (xy 175.506443 48.094021)
+ (xy 175.495059 48.09862)
+ (xy 175.330778 48.184504)
+ (xy 175.320517 48.191218)
+ (xy 175.176041 48.30738)
+ (xy 175.167281 48.315958)
+ (xy 175.048123 48.457965)
+ (xy 175.041189 48.468092)
+ (xy 174.951887 48.630533)
+ (xy 174.947051 48.641816)
+ (xy 174.890998 48.818517)
+ (xy 174.88845 48.830504)
+ (xy 174.872393 48.973662)
+ (xy 174.872 48.980686)
+ (xy 171.936675 48.980686)
+ (xy 173.122957 47.794405)
+ (xy 173.212052 47.7575)
+ (xy 173.776406 47.7575)
+ (xy 173.787278 47.75797)
+ (xy 173.819661 47.760775)
+ (xy 173.819664 47.760775)
+ (xy 173.829041 47.761587)
+ (xy 173.838246 47.759605)
+ (xy 173.838249 47.759605)
+ (xy 173.878338 47.750974)
+ (xy 173.886118 47.749552)
+ (xy 173.935994 47.742054)
+ (xy 173.944483 47.737978)
+ (xy 173.949427 47.736457)
+ (xy 173.954273 47.734626)
+ (xy 173.963482 47.732643)
+ (xy 173.988274 47.718759)
+ (xy 174.007483 47.708002)
+ (xy 174.014505 47.704354)
+ (xy 174.059964 47.682525)
+ (xy 174.066881 47.676131)
+ (xy 174.071163 47.673221)
+ (xy 174.075253 47.670049)
+ (xy 174.08347 47.665447)
+ (xy 174.118278 47.628958)
+ (xy 174.12392 47.623404)
+ (xy 174.154031 47.59557)
+ (xy 174.160949 47.589175)
+ (xy 174.165681 47.581028)
+ (xy 174.168915 47.576991)
+ (xy 174.171891 47.572756)
+ (xy 174.178394 47.56594)
+ (xy 174.200934 47.520832)
+ (xy 174.20469 47.513871)
+ (xy 174.22529 47.478405)
+ (xy 174.22529 47.478404)
+ (xy 174.230022 47.470258)
+ (xy 174.232149 47.461078)
+ (xy 174.234055 47.456265)
+ (xy 174.235653 47.451348)
+ (xy 174.239864 47.44292)
+ (xy 174.248143 47.393176)
+ (xy 174.249687 47.385414)
+ (xy 174.258947 47.345464)
+ (xy 174.258947 47.345463)
+ (xy 174.261074 47.336287)
+ (xy 174.260409 47.326888)
+ (xy 174.261086 47.318278)
+ (xy 174.261233 47.317085)
+ (xy 174.261203 47.317082)
+ (xy 174.261631 47.312145)
+ (xy 174.262443 47.307265)
+ (xy 174.2625 47.3)
+ (xy 174.260535 47.286933)
+ (xy 174.255684 47.254662)
+ (xy 174.254599 47.244829)
+ (xy 174.252989 47.222096)
+ (xy 174.251362 47.199109)
+ (xy 174.247963 47.190322)
+ (xy 174.246044 47.181737)
+ (xy 174.243454 47.17332)
+ (xy 174.242054 47.164006)
+ (xy 174.222209 47.122677)
+ (xy 174.218291 47.113626)
+ (xy 174.201742 47.07085)
+ (xy 174.195913 47.063456)
+ (xy 174.19155 47.055807)
+ (xy 174.186601 47.048525)
+ (xy 174.182525 47.040036)
+ (xy 174.151403 47.006369)
+ (xy 174.144995 46.998867)
+ (xy 174.116603 46.962852)
+ (xy 174.108855 46.957497)
+ (xy 174.102439 46.951472)
+ (xy 174.09557 46.945969)
+ (xy 174.089175 46.939051)
+ (xy 174.066413 46.92583)
+ (xy 174.049538 46.916028)
+ (xy 174.041184 46.910726)
+ (xy 174.011221 46.890017)
+ (xy 174.011217 46.890015)
+ (xy 174.003472 46.884662)
+ (xy 173.994497 46.881824)
+ (xy 173.986596 46.877953)
+ (xy 173.978403 46.874709)
+ (xy 173.970258 46.869978)
+ (xy 173.961082 46.867851)
+ (xy 173.961079 46.86785)
+ (xy 173.93059 46.860784)
+ (xy 173.925598 46.859627)
+ (xy 173.916073 46.857022)
+ (xy 173.872351 46.843194)
+ (xy 173.863533 46.8425)
+ (xy 173.861515 46.8425)
+ (xy 173.854867 46.841607)
+ (xy 173.854852 46.841792)
+ (xy 173.845464 46.841053)
+ (xy 173.836287 46.838926)
+ (xy 173.826891 46.839591)
+ (xy 173.826888 46.839591)
+ (xy 173.790255 46.842185)
+ (xy 173.781357 46.8425)
+ (xy 173.003584 46.8425)
+ (xy 172.988774 46.841627)
+ (xy 172.970127 46.83942)
+ (xy 172.955832 46.837728)
+ (xy 172.946568 46.83942)
+ (xy 172.946567 46.83942)
+ (xy 172.897186 46.848439)
+ (xy 172.89328 46.849089)
+ (xy 172.843682 46.856545)
+ (xy 172.843679 46.856546)
+ (xy 172.834366 46.857946)
+ (xy 172.827761 46.861118)
+ (xy 172.820548 46.862435)
+ (xy 172.812188 46.866778)
+ (xy 172.812187 46.866778)
+ (xy 172.767651 46.889913)
+ (xy 172.76411 46.891682)
+ (xy 172.718887 46.913397)
+ (xy 172.718884 46.913399)
+ (xy 172.710396 46.917475)
+ (xy 172.705095 46.922376)
+ (xy 172.704878 46.922522)
+ (xy 172.698509 46.92583)
+ (xy 172.691783 46.931574)
+ (xy 172.652445 46.970912)
+ (xy 172.648879 46.974341)
+ (xy 172.618162 47.002736)
+ (xy 172.609411 47.010825)
+ (xy 172.60588 47.016904)
+ (xy 172.600952 47.022405)
+ (xy 170.093017 49.530341)
+ (xy 167.617763 52.005595)
+ (xy 167.528668 52.0425)
+ (xy 164.583217 52.0425)
+ (xy 164.568408 52.041627)
+ (xy 164.544825 52.038836)
+ (xy 164.535472 52.037729)
+ (xy 164.526208 52.039421)
+ (xy 164.526207 52.039421)
+ (xy 164.476866 52.048432)
+ (xy 164.472962 52.049082)
+ (xy 164.423317 52.056546)
+ (xy 164.423316 52.056546)
+ (xy 164.414006 52.057946)
+ (xy 164.407398 52.061119)
+ (xy 164.400188 52.062436)
+ (xy 164.391831 52.066777)
+ (xy 164.391825 52.066779)
+ (xy 164.347292 52.089912)
+ (xy 164.343765 52.091675)
+ (xy 164.335447 52.095669)
+ (xy 164.298527 52.113397)
+ (xy 164.298524 52.113399)
+ (xy 164.290036 52.117475)
+ (xy 164.284735 52.122376)
+ (xy 164.284518 52.122522)
+ (xy 164.278149 52.12583)
+ (xy 164.271423 52.131574)
+ (xy 164.232085 52.170912)
+ (xy 164.228519 52.174341)
+ (xy 164.189051 52.210825)
+ (xy 164.18552 52.216904)
+ (xy 164.180592 52.222405)
+ (xy 159.637722 56.765276)
+ (xy 155.127403 61.275595)
+ (xy 155.038308 61.3125)
+ (xy 148.467688 61.3125)
+ (xy 148.397412 61.291081)
+ (xy 148.377226 61.277516)
+ (xy 148.32581 61.242966)
+ (xy 148.272633 61.207232)
+ (xy 148.27263 61.20723)
+ (xy 148.267973 61.204101)
+ (xy 148.125481 61.141551)
+ (xy 148.067669 61.116173)
+ (xy 148.067667 61.116172)
+ (xy 148.062527 61.113916)
+ (xy 147.902838 61.075578)
+ (xy 147.849814 61.062848)
+ (xy 147.849813 61.062848)
+ (xy 147.844357 61.061538)
+ (xy 147.74404 61.055754)
+ (xy 147.625967 61.048946)
+ (xy 147.625964 61.048946)
+ (xy 147.62036 61.048623)
+ (xy 147.397615 61.075578)
+ (xy 147.183165 61.141551)
+ (xy 147.178185 61.144121)
+ (xy 147.178181 61.144123)
+ (xy 147.011799 61.23)
+ (xy 146.983787 61.244458)
+ (xy 146.805783 61.381045)
+ (xy 146.80201 61.385191)
+ (xy 146.802005 61.385196)
+ (xy 146.689764 61.508548)
+ (xy 146.654779 61.546996)
+ (xy 146.627207 61.59095)
+ (xy 146.539365 61.730983)
+ (xy 146.53555 61.737064)
+ (xy 146.451863 61.945242)
+ (xy 146.406364 62.164949)
+ (xy 146.40049 62.389242)
+ (xy 145.5775 62.389242)
+ (xy 145.5775 58.47736)
+ (xy 148.038119 58.47736)
+ (xy 148.055048 58.77097)
+ (xy 148.055873 58.775175)
+ (xy 148.055874 58.775183)
+ (xy 148.079759 58.896923)
+ (xy 148.111668 59.059567)
+ (xy 148.113055 59.063617)
+ (xy 148.113056 59.063622)
+ (xy 148.205543 59.333753)
+ (xy 148.206932 59.337809)
+ (xy 148.25498 59.433341)
+ (xy 148.308624 59.54)
+ (xy 148.339076 59.600548)
+ (xy 148.341502 59.604077)
+ (xy 148.341505 59.604083)
+ (xy 148.486942 59.815695)
+ (xy 148.505655 59.842922)
+ (xy 148.508542 59.846095)
+ (xy 148.508543 59.846096)
+ (xy 148.634812 59.984864)
+ (xy 148.703586 60.060446)
+ (xy 148.790885 60.133439)
+ (xy 148.925916 60.246343)
+ (xy 148.925921 60.246347)
+ (xy 148.929208 60.249095)
+ (xy 149.00497 60.29662)
+ (xy 149.174705 60.403095)
+ (xy 149.174709 60.403097)
+ (xy 149.178345 60.405378)
+ (xy 149.283687 60.452942)
+ (xy 149.442475 60.524638)
+ (xy 149.442479 60.52464)
+ (xy 149.446387 60.526404)
+ (xy 149.450507 60.527624)
+ (xy 149.450506 60.527624)
+ (xy 149.724261 60.608714)
+ (xy 149.724265 60.608715)
+ (xy 149.728374 60.609932)
+ (xy 149.732608 60.61058)
+ (xy 149.732613 60.610581)
+ (xy 149.991302 60.650166)
+ (xy 150.019089 60.654418)
+ (xy 150.168859 60.656771)
+ (xy 150.308859 60.65897)
+ (xy 150.308865 60.65897)
+ (xy 150.31315 60.659037)
+ (xy 150.605118 60.623705)
+ (xy 150.747354 60.58639)
+ (xy 150.885447 60.550162)
+ (xy 150.885448 60.550162)
+ (xy 150.88959 60.549075)
+ (xy 151.161301 60.436529)
+ (xy 151.415224 60.288148)
+ (xy 151.64666 60.106679)
+ (xy 151.851327 59.89548)
+ (xy 151.85386 59.892032)
+ (xy 151.853864 59.892027)
+ (xy 152.022899 59.661913)
+ (xy 152.025437 59.658458)
+ (xy 152.029609 59.650774)
+ (xy 152.163718 59.403775)
+ (xy 152.163719 59.403773)
+ (xy 152.165768 59.399999)
+ (xy 152.269725 59.124887)
+ (xy 152.308311 58.95641)
+ (xy 152.334424 58.842395)
+ (xy 152.334425 58.842391)
+ (xy 152.335382 58.838211)
+ (xy 152.348155 58.695101)
+ (xy 152.359024 58.573316)
+ (xy 152.361526 58.545278)
+ (xy 152.361593 58.538934)
+ (xy 152.361974 58.502485)
+ (xy 152.362 58.5)
+ (xy 152.360844 58.483044)
+ (xy 152.342289 58.21086)
+ (xy 152.342288 58.210854)
+ (xy 152.341997 58.206583)
+ (xy 152.282357 57.918595)
+ (xy 152.184186 57.641366)
+ (xy 152.102213 57.482547)
+ (xy 152.051263 57.383833)
+ (xy 152.051263 57.383832)
+ (xy 152.049298 57.380026)
+ (xy 152.038405 57.364526)
+ (xy 151.906671 57.177088)
+ (xy 151.88019 57.139409)
+ (xy 151.766861 57.017453)
+ (xy 151.682912 56.927113)
+ (xy 151.682909 56.927111)
+ (xy 151.679991 56.92397)
+ (xy 151.452406 56.737694)
+ (xy 151.201646 56.584028)
+ (xy 151.197729 56.582309)
+ (xy 151.197726 56.582307)
+ (xy 151.048669 56.516876)
+ (xy 150.932351 56.465816)
+ (xy 150.928223 56.46464)
+ (xy 150.92822 56.464639)
+ (xy 150.840654 56.439695)
+ (xy 150.649505 56.385245)
+ (xy 150.645263 56.384641)
+ (xy 150.645257 56.38464)
+ (xy 150.362592 56.344411)
+ (xy 150.358341 56.343806)
+ (xy 150.203328 56.342994)
+ (xy 150.068533 56.342288)
+ (xy 150.068526 56.342288)
+ (xy 150.064247 56.342266)
+ (xy 150.060002 56.342825)
+ (xy 150.06 56.342825)
+ (xy 150.036925 56.345863)
+ (xy 149.772665 56.380654)
+ (xy 149.48899 56.458258)
+ (xy 149.485042 56.459942)
+ (xy 149.222425 56.571958)
+ (xy 149.222421 56.57196)
+ (xy 149.218473 56.573644)
+ (xy 149.10303 56.642735)
+ (xy 148.969799 56.722471)
+ (xy 148.969795 56.722474)
+ (xy 148.966117 56.724675)
+ (xy 148.962774 56.727353)
+ (xy 148.96277 56.727356)
+ (xy 148.877642 56.795557)
+ (xy 148.736594 56.908558)
+ (xy 148.73365 56.91166)
+ (xy 148.733646 56.911664)
+ (xy 148.553126 57.101892)
+ (xy 148.53415 57.121889)
+ (xy 148.362532 57.360722)
+ (xy 148.224915 57.620635)
+ (xy 148.187062 57.724074)
+ (xy 148.127723 57.886225)
+ (xy 148.123845 57.896821)
+ (xy 148.061193 58.184168)
+ (xy 148.060857 58.188438)
+ (xy 148.040389 58.448522)
+ (xy 148.038119 58.47736)
+ (xy 145.5775 58.47736)
+ (xy 145.5775 57.21796)
+ (xy 145.597502 57.149839)
+ (xy 145.658963 57.100094)
+ (xy 145.664012 57.098186)
+ (xy 145.664014 57.098185)
+ (xy 145.669262 57.096202)
+ (xy 145.861785 56.980979)
+ (xy 145.866005 56.977297)
+ (xy 145.86601 56.977294)
+ (xy 146.026631 56.837175)
+ (xy 146.030861 56.833485)
+ (xy 146.071721 56.782484)
+ (xy 146.167641 56.662757)
+ (xy 146.167644 56.662752)
+ (xy 146.171147 56.65838)
+ (xy 146.264198 56.487)
+ (xy 146.275531 56.466128)
+ (xy 146.275532 56.466127)
+ (xy 146.278206 56.461201)
+ (xy 146.348657 56.248179)
+ (xy 146.352339 56.222312)
+ (xy 146.366769 56.120915)
+ (xy 146.38027 56.026048)
+ (xy 146.382 55.96)
+ (xy 146.381131 55.950257)
+ (xy 146.369555 55.82056)
+ (xy 146.362055 55.736519)
+ (xy 146.318312 55.57662)
+ (xy 146.304332 55.525518)
+ (xy 146.304331 55.525514)
+ (xy 146.30285 55.520102)
+ (xy 146.206256 55.31759)
+ (xy 146.202984 55.313036)
+ (xy 146.078604 55.139941)
+ (xy 146.078599 55.139936)
+ (xy 146.075328 55.135383)
+ (xy 145.914202 54.979242)
+ (xy 145.727973 54.854101)
+ (xy 145.585365 54.7915)
+ (xy 145.527669 54.766173)
+ (xy 145.527667 54.766172)
+ (xy 145.522527 54.763916)
+ (xy 145.362838 54.725578)
+ (xy 145.309814 54.712848)
+ (xy 145.309813 54.712848)
+ (xy 145.304357 54.711538)
+ (xy 145.219591 54.706651)
+ (xy 145.085967 54.698946)
+ (xy 145.085964 54.698946)
+ (xy 145.08036 54.698623)
+ (xy 144.857615 54.725578)
+ (xy 144.643165 54.791551)
+ (xy 144.638185 54.794121)
+ (xy 144.638181 54.794123)
+ (xy 144.44877 54.891886)
+ (xy 144.443787 54.894458)
+ (xy 144.265783 55.031045)
+ (xy 144.26201 55.035191)
+ (xy 144.262005 55.035196)
+ (xy 144.166695 55.139941)
+ (xy 144.114779 55.196996)
+ (xy 144.058578 55.286589)
+ (xy 144.000609 55.379)
+ (xy 143.99555 55.387064)
+ (xy 143.911863 55.595242)
+ (xy 143.866364 55.814949)
+ (xy 143.86049 56.039242)
+ (xy 143.869474 56.097949)
+ (xy 143.892463 56.248179)
+ (xy 143.894429 56.261029)
+ (xy 143.911467 56.310793)
+ (xy 143.965134 56.467541)
+ (xy 143.967106 56.473302)
+ (xy 144.076224 56.669349)
+ (xy 144.079776 56.673689)
+ (xy 144.079779 56.673693)
+ (xy 144.179524 56.795557)
+ (xy 144.218335 56.842975)
+ (xy 144.253861 56.873317)
+ (xy 144.384682 56.985049)
+ (xy 144.384686 56.985052)
+ (xy 144.388947 56.988691)
+ (xy 144.393785 56.991518)
+ (xy 144.577823 57.099062)
+ (xy 144.577828 57.099065)
+ (xy 144.582667 57.101892)
+ (xy 144.587935 57.10382)
+ (xy 144.590148 57.104861)
+ (xy 144.64327 57.151964)
+ (xy 144.662501 57.218869)
+ (xy 144.6625 60.779229)
+ (xy 144.6625 64.338308)
+ (xy 144.625595 64.427403)
+ (xy 143.399993 65.653005)
+ (xy 143.388904 65.66286)
+ (xy 143.362853 65.683397)
+ (xy 143.357498 65.691145)
+ (xy 143.328953 65.732445)
+ (xy 143.326672 65.735638)
+ (xy 143.291259 65.783583)
+ (xy 143.288831 65.790498)
+ (xy 143.284663 65.796528)
+ (xy 143.266752 65.853163)
+ (xy 143.266699 65.85333)
+ (xy 143.265446 65.857085)
+ (xy 143.249275 65.903133)
+ (xy 143.245692 65.913337)
+ (xy 143.245408 65.920556)
+ (xy 143.24536 65.920802)
+ (xy 143.243195 65.927649)
+ (xy 143.242501 65.936467)
+ (xy 143.242501 65.992076)
+ (xy 143.242404 65.997023)
+ (xy 143.240293 66.050751)
+ (xy 143.242095 66.057547)
+ (xy 143.242501 66.064923)
+ (xy 143.2425 66.896571)
+ (xy 143.222498 66.964692)
+ (xy 143.186504 67.001335)
+ (xy 143.135449 67.035449)
+ (xy 143.128556 67.045765)
+ (xy 143.107225 67.077688)
+ (xy 143.107224 67.077691)
+ (xy 143.100332 67.088005)
+ (xy 143.097912 67.100172)
+ (xy 143.097911 67.100174)
+ (xy 143.096672 67.106405)
+ (xy 143.088 67.15)
+ (xy 135.357 67.15)
+ (xy 135.357 53.40587)
+ (xy 149.188099 53.40587)
+ (xy 149.188855 53.414876)
+ (xy 149.20121 53.562)
+ (xy 149.204626 53.602685)
+ (xy 149.222188 53.663932)
+ (xy 149.256583 53.783882)
+ (xy 149.259066 53.792542)
+ (xy 149.261885 53.798027)
+ (xy 149.346529 53.962727)
+ (xy 149.346532 53.962732)
+ (xy 149.349347 53.968209)
+ (xy 149.472028 54.122994)
+ (xy 149.476722 54.126988)
+ (xy 149.476722 54.126989)
+ (xy 149.617736 54.247001)
+ (xy 149.622438 54.251003)
+ (xy 149.627816 54.254009)
+ (xy 149.627818 54.25401)
+ (xy 149.680586 54.283501)
+ (xy 149.794847 54.347359)
+ (xy 149.982688 54.408392)
+ (xy 150.178806 54.431778)
+ (xy 150.184941 54.431306)
+ (xy 150.184943 54.431306)
+ (xy 150.369589 54.417098)
+ (xy 150.369592 54.417097)
+ (xy 150.375732 54.416625)
+ (xy 150.565964 54.363512)
+ (xy 150.742257 54.27446)
+ (xy 150.751513 54.267229)
+ (xy 150.893039 54.156656)
+ (xy 150.897895 54.152862)
+ (xy 150.908132 54.141003)
+ (xy 150.943374 54.100174)
+ (xy 151.026951 54.00335)
+ (xy 151.034986 53.989207)
+ (xy 151.121465 53.836974)
+ (xy 151.121466 53.836973)
+ (xy 151.124508 53.831617)
+ (xy 151.130043 53.81498)
+ (xy 151.168978 53.697936)
+ (xy 151.186851 53.644207)
+ (xy 151.211605 53.448257)
+ (xy 151.211875 53.428946)
+ (xy 151.211951 53.423522)
+ (xy 151.211951 53.423518)
+ (xy 151.212 53.42)
+ (xy 151.192727 53.223435)
+ (xy 151.135641 53.034357)
+ (xy 151.121236 53.007265)
+ (xy 151.04581 52.86541)
+ (xy 151.045808 52.865407)
+ (xy 151.042916 52.859968)
+ (xy 150.918086 52.70691)
+ (xy 150.765903 52.581014)
+ (xy 150.592166 52.487074)
+ (xy 150.403491 52.42867)
+ (xy 150.397366 52.428026)
+ (xy 150.397365 52.428026)
+ (xy 150.213193 52.408669)
+ (xy 150.213192 52.408669)
+ (xy 150.207065 52.408025)
+ (xy 150.086743 52.418975)
+ (xy 150.016511 52.425366)
+ (xy 150.01651 52.425366)
+ (xy 150.01037 52.425925)
+ (xy 149.96935 52.437998)
+ (xy 149.826809 52.47995)
+ (xy 149.826806 52.479951)
+ (xy 149.820898 52.48169)
+ (xy 149.645866 52.573195)
+ (xy 149.641068 52.577053)
+ (xy 149.641066 52.577054)
+ (xy 149.600414 52.609739)
+ (xy 149.491941 52.696954)
+ (xy 149.487982 52.701672)
+ (xy 149.487981 52.701673)
+ (xy 149.370094 52.842164)
+ (xy 149.364985 52.848253)
+ (xy 149.362022 52.853642)
+ (xy 149.362019 52.853647)
+ (xy 149.295944 52.973839)
+ (xy 149.269835 53.021331)
+ (xy 149.210115 53.209593)
+ (xy 149.209429 53.21571)
+ (xy 149.209428 53.215714)
+ (xy 149.19545 53.340337)
+ (xy 149.188099 53.40587)
+ (xy 135.357 53.40587)
+ (xy 135.357 48.506285)
+ (xy 135.357125 48.500679)
+ (xy 135.36959 48.220794)
+ (xy 135.376078 48.075112)
+ (xy 135.377074 48.063945)
+ (xy 135.432947 47.647963)
+ (xy 135.434933 47.636928)
+ (xy 135.476354 47.453876)
+ (xy 137.438604 47.453876)
+ (xy 137.438828 47.458542)
+ (xy 137.438828 47.458547)
+ (xy 137.44087 47.501051)
+ (xy 137.451134 47.714734)
+ (xy 137.502083 47.970874)
+ (xy 137.590333 48.21667)
+ (xy 137.603246 48.240703)
+ (xy 137.700362 48.421444)
+ (xy 137.713944 48.446722)
+ (xy 137.716739 48.450465)
+ (xy 137.716741 48.450468)
+ (xy 137.86741 48.652238)
+ (xy 137.867415 48.652244)
+ (xy 137.870202 48.655976)
+ (xy 137.873511 48.659256)
+ (xy 137.873516 48.659262)
+ (xy 138.022706 48.807155)
+ (xy 138.055673 48.839835)
+ (xy 138.163266 48.918726)
+ (xy 138.248115 48.98094)
+ (xy 138.266282 48.994261)
+ (xy 138.270425 48.996441)
+ (xy 138.270427 48.996442)
+ (xy 138.493254 49.113677)
+ (xy 138.493259 49.113679)
+ (xy 138.497404 49.11586)
+ (xy 138.501827 49.117405)
+ (xy 138.501828 49.117405)
+ (xy 138.721677 49.194179)
+ (xy 138.743961 49.201961)
+ (xy 138.748554 49.202833)
+ (xy 138.941245 49.239417)
+ (xy 139.000536 49.250674)
+ (xy 139.123341 49.255499)
+ (xy 139.256825 49.260744)
+ (xy 139.25683 49.260744)
+ (xy 139.261493 49.260927)
+ (xy 139.368659 49.24919)
+ (xy 139.516446 49.233005)
+ (xy 139.516451 49.233004)
+ (xy 139.521099 49.232495)
+ (xy 139.525623 49.231304)
+ (xy 139.769128 49.167195)
+ (xy 139.76913 49.167194)
+ (xy 139.773651 49.166004)
+ (xy 139.78541 49.160952)
+ (xy 140.009307 49.064758)
+ (xy 140.009309 49.064757)
+ (xy 140.013601 49.062913)
+ (xy 140.235678 48.925488)
+ (xy 140.435002 48.756747)
+ (xy 140.50043 48.682141)
+ (xy 140.604114 48.563913)
+ (xy 140.604118 48.563908)
+ (xy 140.607196 48.560398)
+ (xy 140.631821 48.522115)
+ (xy 140.701008 48.414551)
+ (xy 140.748476 48.340754)
+ (xy 140.855738 48.102639)
+ (xy 140.926627 47.851286)
+ (xy 140.93348 47.79742)
+ (xy 140.959187 47.595346)
+ (xy 140.959187 47.595342)
+ (xy 140.959585 47.592216)
+ (xy 140.960322 47.564097)
+ (xy 140.961917 47.50316)
+ (xy 140.962 47.5)
+ (xy 140.961083 47.487657)
+ (xy 140.942992 47.244212)
+ (xy 140.942991 47.244208)
+ (xy 140.942646 47.23956)
+ (xy 140.885009 46.984841)
+ (xy 140.880926 46.974341)
+ (xy 140.792048 46.745792)
+ (xy 140.792047 46.74579)
+ (xy 140.790355 46.741439)
+ (xy 140.752235 46.674742)
+ (xy 140.735795 46.645979)
+ (xy 140.660764 46.514702)
+ (xy 140.499083 46.30961)
+ (xy 140.308863 46.130669)
+ (xy 140.109628 45.992454)
+ (xy 140.098125 45.984474)
+ (xy 140.098122 45.984472)
+ (xy 140.094283 45.981809)
+ (xy 140.090093 45.979743)
+ (xy 140.09009 45.979741)
+ (xy 139.864245 45.868367)
+ (xy 139.864242 45.868366)
+ (xy 139.860057 45.866302)
+ (xy 139.854422 45.864498)
+ (xy 139.735694 45.826493)
+ (xy 139.611331 45.786684)
+ (xy 139.486401 45.766338)
+ (xy 139.358181 45.745456)
+ (xy 139.358177 45.745456)
+ (xy 139.353568 45.744705)
+ (xy 139.223 45.742995)
+ (xy 139.097109 45.741347)
+ (xy 139.097106 45.741347)
+ (xy 139.092432 45.741286)
+ (xy 138.908357 45.766338)
+ (xy 138.838288 45.775874)
+ (xy 138.838286 45.775874)
+ (xy 138.83366 45.776504)
+ (xy 138.829178 45.77781)
+ (xy 138.829175 45.777811)
+ (xy 138.756727 45.798928)
+ (xy 138.582935 45.849584)
+ (xy 138.578688 45.851542)
+ (xy 138.578685 45.851543)
+ (xy 138.52823 45.874803)
+ (xy 138.345765 45.95892)
+ (xy 138.341856 45.961483)
+ (xy 138.131275 46.099545)
+ (xy 138.13127 46.099549)
+ (xy 138.127362 46.102111)
+ (xy 138.116091 46.112171)
+ (xy 137.938856 46.27036)
+ (xy 137.932523 46.276012)
+ (xy 137.765528 46.476801)
+ (xy 137.630047 46.700069)
+ (xy 137.628238 46.704383)
+ (xy 137.628237 46.704385)
+ (xy 137.532969 46.931574)
+ (xy 137.529054 46.940909)
+ (xy 137.527903 46.945441)
+ (xy 137.527902 46.945444)
+ (xy 137.508357 47.022405)
+ (xy 137.464769 47.194032)
+ (xy 137.438604 47.453876)
+ (xy 135.476354 47.453876)
+ (xy 135.527562 47.227573)
+ (xy 135.53052 47.216759)
+ (xy 135.612284 46.962852)
+ (xy 135.659175 46.81724)
+ (xy 135.663082 46.806737)
+ (xy 135.690733 46.741439)
+ (xy 135.816904 46.443478)
+ (xy 135.82673 46.420274)
+ (xy 135.831556 46.410155)
+ (xy 136.028925 46.039737)
+ (xy 136.034633 46.030086)
+ (xy 136.264143 45.678691)
+ (xy 136.268556 45.672548)
+ (xy 174.872 45.672548)
+ (xy 174.872 45.752912)
+ (xy 174.872301 45.75906)
+ (xy 174.885691 45.895628)
+ (xy 174.888073 45.907657)
+ (xy 174.941656 46.085129)
+ (xy 174.946329 46.096464)
+ (xy 175.033358 46.260144)
+ (xy 175.040145 46.27036)
+ (xy 175.157311 46.414019)
+ (xy 175.165955 46.422723)
+ (xy 175.308789 46.540886)
+ (xy 175.31896 46.547746)
+ (xy 175.482032 46.635918)
+ (xy 175.493331 46.640668)
+ (xy 175.608693 46.67638)
+ (xy 175.619134 46.676532)
+ (xy 175.626 46.658528)
+ (xy 175.626 45.677115)
+ (xy 175.624659 45.672548)
+ (xy 176.134 45.672548)
+ (xy 176.134 46.663052)
+ (xy 176.13704 46.673405)
+ (xy 176.155829 46.674742)
+ (xy 176.253557 46.645979)
+ (xy 176.264941 46.64138)
+ (xy 176.429222 46.555496)
+ (xy 176.439483 46.548782)
+ (xy 176.583959 46.43262)
+ (xy 176.592719 46.424042)
+ (xy 176.711881 46.28203)
+ (xy 176.718807 46.271916)
+ (xy 176.808118 46.109458)
+ (xy 176.812946 46.098194)
+ (xy 176.869002 45.921483)
+ (xy 176.87155 45.909496)
+ (xy 176.887607 45.766338)
+ (xy 176.888 45.759314)
+ (xy 176.888 45.677115)
+ (xy 176.886659 45.672548)
+ (xy 183.512 45.672548)
+ (xy 183.512 45.752912)
+ (xy 183.512301 45.75906)
+ (xy 183.525691 45.895628)
+ (xy 183.528073 45.907657)
+ (xy 183.581656 46.085129)
+ (xy 183.586329 46.096464)
+ (xy 183.673358 46.260144)
+ (xy 183.680145 46.27036)
+ (xy 183.797311 46.414019)
+ (xy 183.805955 46.422723)
+ (xy 183.948789 46.540886)
+ (xy 183.95896 46.547746)
+ (xy 184.122032 46.635918)
+ (xy 184.133331 46.640668)
+ (xy 184.248693 46.67638)
+ (xy 184.259134 46.676532)
+ (xy 184.266 46.658528)
+ (xy 184.266 45.677115)
+ (xy 184.264659 45.672548)
+ (xy 184.774 45.672548)
+ (xy 184.774 46.663052)
+ (xy 184.77704 46.673405)
+ (xy 184.795829 46.674742)
+ (xy 184.893557 46.645979)
+ (xy 184.904941 46.64138)
+ (xy 185.069222 46.555496)
+ (xy 185.079483 46.548782)
+ (xy 185.223959 46.43262)
+ (xy 185.232719 46.424042)
+ (xy 185.351881 46.28203)
+ (xy 185.358807 46.271916)
+ (xy 185.448118 46.109458)
+ (xy 185.452946 46.098194)
+ (xy 185.509002 45.921483)
+ (xy 185.51155 45.909496)
+ (xy 185.527607 45.766338)
+ (xy 185.528 45.759314)
+ (xy 185.528 45.677115)
+ (xy 185.523525 45.661876)
+ (xy 185.522135 45.660671)
+ (xy 185.514452 45.659)
+ (xy 184.792115 45.659)
+ (xy 184.776876 45.663475)
+ (xy 184.775671 45.664865)
+ (xy 184.774 45.672548)
+ (xy 184.264659 45.672548)
+ (xy 184.261525 45.661876)
+ (xy 184.260135 45.660671)
+ (xy 184.252452 45.659)
+ (xy 183.530115 45.659)
+ (xy 183.514876 45.663475)
+ (xy 183.513671 45.664865)
+ (xy 183.512 45.672548)
+ (xy 176.886659 45.672548)
+ (xy 176.883525 45.661876)
+ (xy 176.882135 45.660671)
+ (xy 176.874452 45.659)
+ (xy 176.152115 45.659)
+ (xy 176.136876 45.663475)
+ (xy 176.135671 45.664865)
+ (xy 176.134 45.672548)
+ (xy 175.624659 45.672548)
+ (xy 175.621525 45.661876)
+ (xy 175.620135 45.660671)
+ (xy 175.612452 45.659)
+ (xy 174.890115 45.659)
+ (xy 174.874876 45.663475)
+ (xy 174.873671 45.664865)
+ (xy 174.872 45.672548)
+ (xy 136.268556 45.672548)
+ (xy 136.270682 45.66959)
+ (xy 136.275503 45.663475)
+ (xy 136.530523 45.339985)
+ (xy 136.537845 45.331503)
+ (xy 136.597199 45.268628)
+ (xy 136.802935 45.050686)
+ (xy 174.872 45.050686)
+ (xy 174.872 45.132885)
+ (xy 174.876475 45.148124)
+ (xy 174.877865 45.149329)
+ (xy 174.885548 45.151)
+ (xy 175.607885 45.151)
+ (xy 175.623124 45.146525)
+ (xy 175.624329 45.145135)
+ (xy 175.626 45.137452)
+ (xy 175.626 44.151472)
+ (xy 176.134 44.151472)
+ (xy 176.134 45.132885)
+ (xy 176.138475 45.148124)
+ (xy 176.139865 45.149329)
+ (xy 176.147548 45.151)
+ (xy 176.869885 45.151)
+ (xy 176.885124 45.146525)
+ (xy 176.886329 45.145135)
+ (xy 176.888 45.137452)
+ (xy 176.888 45.057088)
+ (xy 176.887699 45.05094)
+ (xy 176.887675 45.050686)
+ (xy 183.512 45.050686)
+ (xy 183.512 45.132885)
+ (xy 183.516475 45.148124)
+ (xy 183.517865 45.149329)
+ (xy 183.525548 45.151)
+ (xy 184.247885 45.151)
+ (xy 184.263124 45.146525)
+ (xy 184.264329 45.145135)
+ (xy 184.266 45.137452)
+ (xy 184.266 44.151472)
+ (xy 184.774 44.151472)
+ (xy 184.774 45.132885)
+ (xy 184.778475 45.148124)
+ (xy 184.779865 45.149329)
+ (xy 184.787548 45.151)
+ (xy 185.509885 45.151)
+ (xy 185.525124 45.146525)
+ (xy 185.526329 45.145135)
+ (xy 185.528 45.137452)
+ (xy 185.528 45.057088)
+ (xy 185.527699 45.05094)
+ (xy 185.514309 44.914374)
+ (xy 185.511926 44.902339)
+ (xy 185.493458 44.841169)
+ (xy 188.212 44.841169)
+ (xy 188.212 44.852885)
+ (xy 188.216475 44.868124)
+ (xy 188.217865 44.869329)
+ (xy 188.225548 44.871)
+ (xy 188.927885 44.871001)
+ (xy 188.943124 44.866526)
+ (xy 188.944329 44.865136)
+ (xy 188.946 44.857453)
+ (xy 188.946 44.852885)
+ (xy 189.453999 44.852885)
+ (xy 189.458474 44.868124)
+ (xy 189.459864 44.869329)
+ (xy 189.467547 44.871)
+ (xy 190.169885 44.871001)
+ (xy 190.185124 44.866526)
+ (xy 190.186329 44.865136)
+ (xy 190.188 44.857453)
+ (xy 190.188 44.84024)
+ (xy 190.187762 44.834776)
+ (xy 190.177157 44.713565)
+ (xy 190.174758 44.701033)
+ (xy 190.128727 44.547276)
+ (xy 190.122949 44.533882)
+ (xy 190.043165 44.395692)
+ (xy 190.034454 44.383991)
+ (xy 189.92495 44.267923)
+ (xy 189.913776 44.258548)
+ (xy 189.780458 44.170863)
+ (xy 189.767427 44.164319)
+ (xy 189.61747 44.109739)
+ (xy 189.603293 44.106379)
+ (xy 189.471996 44.091032)
+ (xy 189.457546 44.093502)
+ (xy 189.454 44.106196)
+ (xy 189.453999 44.852885)
+ (xy 188.946 44.852885)
+ (xy 188.946001 44.106559)
+ (xy 188.941706 44.091931)
+ (xy 188.929729 44.089868)
+ (xy 188.838565 44.097843)
+ (xy 188.826034 44.100241)
+ (xy 188.672276 44.146273)
+ (xy 188.658882 44.152051)
+ (xy 188.520692 44.231835)
+ (xy 188.508991 44.240546)
+ (xy 188.392923 44.35005)
+ (xy 188.383548 44.361224)
+ (xy 188.295863 44.494542)
+ (xy 188.289319 44.507573)
+ (xy 188.234739 44.65753)
+ (xy 188.231379 44.671708)
+ (xy 188.212426 44.833856)
+ (xy 188.212 44.841169)
+ (xy 185.493458 44.841169)
+ (xy 185.458346 44.724876)
+ (xy 185.453671 44.713534)
+ (xy 185.366643 44.549856)
+ (xy 185.359856 44.53964)
+ (xy 185.24269 44.395981)
+ (xy 185.234046 44.387277)
+ (xy 185.091211 44.269113)
+ (xy 185.08104 44.262253)
+ (xy 184.91797 44.174082)
+ (xy 184.906669 44.169332)
+ (xy 184.791307 44.13362)
+ (xy 184.780866 44.133468)
+ (xy 184.774 44.151472)
+ (xy 184.266 44.151472)
+ (xy 184.266 44.146948)
+ (xy 184.26296 44.136595)
+ (xy 184.244171 44.135258)
+ (xy 184.146443 44.164021)
+ (xy 184.135059 44.16862)
+ (xy 183.970778 44.254504)
+ (xy 183.960517 44.261218)
+ (xy 183.816041 44.37738)
+ (xy 183.807281 44.385958)
+ (xy 183.688123 44.527965)
+ (xy 183.681189 44.538092)
+ (xy 183.591887 44.700533)
+ (xy 183.587051 44.711816)
+ (xy 183.530998 44.888517)
+ (xy 183.52845 44.900504)
+ (xy 183.512393 45.043662)
+ (xy 183.512 45.050686)
+ (xy 176.887675 45.050686)
+ (xy 176.874309 44.914374)
+ (xy 176.871926 44.902339)
+ (xy 176.818346 44.724876)
+ (xy 176.813671 44.713534)
+ (xy 176.726643 44.549856)
+ (xy 176.719856 44.53964)
+ (xy 176.60269 44.395981)
+ (xy 176.594046 44.387277)
+ (xy 176.451211 44.269113)
+ (xy 176.44104 44.262253)
+ (xy 176.27797 44.174082)
+ (xy 176.266669 44.169332)
+ (xy 176.151307 44.13362)
+ (xy 176.140866 44.133468)
+ (xy 176.134 44.151472)
+ (xy 175.626 44.151472)
+ (xy 175.626 44.146948)
+ (xy 175.62296 44.136595)
+ (xy 175.604171 44.135258)
+ (xy 175.506443 44.164021)
+ (xy 175.495059 44.16862)
+ (xy 175.330778 44.254504)
+ (xy 175.320517 44.261218)
+ (xy 175.176041 44.37738)
+ (xy 175.167281 44.385958)
+ (xy 175.048123 44.527965)
+ (xy 175.041189 44.538092)
+ (xy 174.951887 44.700533)
+ (xy 174.947051 44.711816)
+ (xy 174.890998 44.888517)
+ (xy 174.88845 44.900504)
+ (xy 174.872393 45.043662)
+ (xy 174.872 45.050686)
+ (xy 136.802935 45.050686)
+ (xy 136.825958 45.026298)
+ (xy 136.833998 45.018507)
+ (xy 137.148107 44.740118)
+ (xy 137.15682 44.733063)
+ (xy 137.494409 44.483717)
+ (xy 137.503715 44.477463)
+ (xy 137.862158 44.259099)
+ (xy 137.871983 44.253698)
+ (xy 138.248404 44.068068)
+ (xy 138.25867 44.063562)
+ (xy 138.528497 43.959173)
+ (xy 138.650099 43.912129)
+ (xy 138.660711 43.908557)
+ (xy 139.064077 43.792512)
+ (xy 139.074963 43.789899)
+ (xy 139.487034 43.710173)
+ (xy 139.498123 43.708535)
+ (xy 139.917854 43.665531)
+ (xy 139.927068 43.664927)
+ (xy 140.200435 43.657052)
+ (xy 140.204063 43.657)
+ (xy 220.193715 43.657)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 180.761683 73.392005)
+ (xy 181.446629 74.076951)
+ (xy 181.451771 74.080387)
+ (xy 181.451775 74.08039)
+ (xy 181.5132 74.121433)
+ (xy 181.513202 74.121434)
+ (xy 181.523517 74.128326)
+ (xy 181.535684 74.130746)
+ (xy 181.535686 74.130747)
+ (xy 181.602042 74.143946)
+ (xy 181.614213 74.146367)
+ (xy 181.704909 74.128326)
+ (xy 181.714686 74.121793)
+ (xy 181.799086 74.121792)
+ (xy 181.799974 74.118479)
+ (xy 181.82377 74.124855)
+ (xy 181.959579 74.142735)
+ (xy 181.975955 74.142735)
+ (xy 182.111764 74.124855)
+ (xy 182.127584 74.120616)
+ (xy 182.168283 74.103758)
+ (xy 182.238873 74.096169)
+ (xy 182.30236 74.127948)
+ (xy 182.342501 74.220167)
+ (xy 182.342501 74.362447)
+ (xy 182.34008 74.387029)
+ (xy 182.3375 74.4)
+ (xy 182.339921 74.41217)
+ (xy 182.339921 74.412174)
+ (xy 182.348974 74.457682)
+ (xy 182.346142 74.50915)
+ (xy 182.347508 74.509366)
+ (xy 182.346035 74.518666)
+ (xy 182.343194 74.527649)
+ (xy 182.342114 74.665167)
+ (xy 182.344813 74.674191)
+ (xy 182.344813 74.674193)
+ (xy 182.376575 74.780398)
+ (xy 182.37693 74.851394)
+ (xy 182.338845 74.911311)
+ (xy 182.255858 74.9425)
+ (xy 180.643952 74.9425)
+ (xy 180.575831 74.922498)
+ (xy 180.529338 74.868842)
+ (xy 180.519234 74.798568)
+ (xy 180.531239 74.760182)
+ (xy 180.535652 74.751349)
+ (xy 180.539864 74.74292)
+ (xy 180.562443 74.607265)
+ (xy 180.5625 74.6)
+ (xy 180.544881 74.48281)
+ (xy 180.545904 74.439487)
+ (xy 180.546588 74.436048)
+ (xy 180.546588 74.436047)
+ (xy 180.551588 74.410912)
+ (xy 180.549009 74.397946)
+ (xy 180.546588 74.373364)
+ (xy 180.546588 73.4811)
+ (xy 180.56659 73.412979)
+ (xy 180.620246 73.366486)
+ (xy 180.69052 73.356382)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 185.873988 71.491715)
+ (xy 185.908091 71.514501)
+ (xy 185.950022 71.542518)
+ (xy 185.962193 71.544939)
+ (xy 186.02534 71.5575)
+ (xy 186.025341 71.5575)
+ (xy 186.050476 71.5625)
+ (xy 186.062646 71.560079)
+ (xy 186.062648 71.560079)
+ (xy 186.063442 71.559921)
+ (xy 186.088024 71.5575)
+ (xy 188.997784 71.5575)
+ (xy 189.022366 71.559921)
+ (xy 189.035331 71.5625)
+ (xy 189.034493 71.566713)
+ (xy 189.080022 71.580081)
+ (xy 189.126515 71.633737)
+ (xy 189.136619 71.704011)
+ (xy 189.100523 71.775645)
+ (xy 187.756706 73.105301)
+ (xy 187.694215 73.138996)
+ (xy 187.623427 73.133556)
+ (xy 187.578989 73.10483)
+ (xy 187.318634 72.844475)
+ (xy 187.302963 72.82538)
+ (xy 187.302511 72.824704)
+ (xy 187.295616 72.814384)
+ (xy 187.210454 72.757482)
+ (xy 187.135136 72.7425)
+ (xy 187.135135 72.7425)
+ (xy 187.11 72.7375)
+ (xy 187.09783 72.739921)
+ (xy 187.097828 72.739921)
+ (xy 187.097034 72.740079)
+ (xy 187.072452 72.7425)
+ (xy 186.337547 72.7425)
+ (xy 186.312965 72.740079)
+ (xy 186.312171 72.739921)
+ (xy 186.312169 72.739921)
+ (xy 186.299999 72.7375)
+ (xy 186.274864 72.7425)
+ (xy 186.211716 72.755061)
+ (xy 186.211715 72.755061)
+ (xy 186.199546 72.757482)
+ (xy 186.114384 72.814384)
+ (xy 186.107492 72.824699)
+ (xy 186.10749 72.824701)
+ (xy 186.107037 72.825379)
+ (xy 186.091368 72.844472)
+ (xy 185.844471 73.09137)
+ (xy 185.825375 73.107041)
+ (xy 185.824705 73.107488)
+ (xy 185.824703 73.10749)
+ (xy 185.814385 73.114384)
+ (xy 185.764357 73.189258)
+ (xy 185.757483 73.199546)
+ (xy 185.748671 73.243843)
+ (xy 185.742532 73.274706)
+ (xy 185.7375 73.3)
+ (xy 185.739922 73.312174)
+ (xy 185.740079 73.312964)
+ (xy 185.742501 73.337549)
+ (xy 185.7425 73.541151)
+ (xy 185.705595 73.630245)
+ (xy 185.661725 73.674115)
+ (xy 185.599413 73.708141)
+ (xy 185.528598 73.703076)
+ (xy 185.471762 73.660529)
+ (xy 185.44803 73.603754)
+ (xy 185.445546 73.587233)
+ (xy 185.442054 73.564006)
+ (xy 185.402452 73.481534)
+ (xy 185.3866 73.448522)
+ (xy 185.3866 73.448521)
+ (xy 185.382525 73.440036)
+ (xy 185.290974 73.340998)
+ (xy 185.2575 73.25547)
+ (xy 185.2575 72.983993)
+ (xy 185.259921 72.959411)
+ (xy 185.260079 72.958617)
+ (xy 185.2625 72.946446)
+ (xy 185.256166 72.914603)
+ (xy 185.244939 72.85816)
+ (xy 185.244938 72.858158)
+ (xy 185.242518 72.845991)
+ (xy 185.235626 72.835676)
+ (xy 185.235625 72.835674)
+ (xy 185.206741 72.792447)
+ (xy 185.20674 72.792446)
+ (xy 185.185616 72.76083)
+ (xy 185.174623 72.753485)
+ (xy 185.155528 72.737814)
+ (xy 185.144564 72.72685)
+ (xy 185.110538 72.664538)
+ (xy 185.115603 72.593723)
+ (xy 185.172094 72.52782)
+ (xy 185.175057 72.526161)
+ (xy 185.244852 72.487074)
+ (xy 185.275251 72.47005)
+ (xy 185.275252 72.470049)
+ (xy 185.28347 72.465447)
+ (xy 185.378394 72.36594)
+ (xy 185.415614 72.291451)
+ (xy 185.435653 72.251348)
+ (xy 185.435653 72.251347)
+ (xy 185.439864 72.24292)
+ (xy 185.462443 72.107265)
+ (xy 185.4625 72.1)
+ (xy 185.447997 72.003535)
+ (xy 185.443454 71.973316)
+ (xy 185.443453 71.973314)
+ (xy 185.442054 71.964006)
+ (xy 185.43399 71.947212)
+ (xy 185.410171 71.897608)
+ (xy 185.398715 71.827542)
+ (xy 185.426958 71.762405)
+ (xy 185.464984 71.735326)
+ (xy 185.463482 71.732643)
+ (xy 185.508591 71.707381)
+ (xy 185.58347 71.665447)
+ (xy 185.678394 71.56594)
+ (xy 185.691275 71.540161)
+ (xy 185.739616 71.488165)
+ (xy 185.808394 71.470558)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 182.560717 66.254056)
+ (xy 182.621447 66.302107)
+ (xy 182.624163 66.306171)
+ (xy 182.631034 66.316454)
+ (xy 182.7371 66.42252)
+ (xy 182.742242 66.425956)
+ (xy 182.742246 66.425959)
+ (xy 182.803671 66.467002)
+ (xy 182.803673 66.467003)
+ (xy 182.813988 66.473895)
+ (xy 182.826155 66.476315)
+ (xy 182.826157 66.476316)
+ (xy 182.830859 66.477251)
+ (xy 182.893769 66.510159)
+ (xy 182.929856 66.576248)
+ (xy 182.930106 66.577502)
+ (xy 182.933212 66.593119)
+ (xy 182.940104 66.603434)
+ (xy 182.940105 66.603436)
+ (xy 182.981148 66.664861)
+ (xy 182.981151 66.664865)
+ (xy 182.984587 66.670007)
+ (xy 183.090653 66.776073)
+ (xy 183.095795 66.779509)
+ (xy 183.095799 66.779512)
+ (xy 183.157224 66.820555)
+ (xy 183.157226 66.820556)
+ (xy 183.167541 66.827448)
+ (xy 183.179708 66.829868)
+ (xy 183.17971 66.829869)
+ (xy 183.184412 66.830804)
+ (xy 183.247322 66.863712)
+ (xy 183.283409 66.929801)
+ (xy 183.284283 66.934192)
+ (xy 183.286765 66.946672)
+ (xy 183.293657 66.956987)
+ (xy 183.293658 66.956989)
+ (xy 183.334701 67.018414)
+ (xy 183.334704 67.018418)
+ (xy 183.33814 67.02356)
+ (xy 183.444206 67.129626)
+ (xy 183.449348 67.133062)
+ (xy 183.449352 67.133065)
+ (xy 183.510777 67.174108)
+ (xy 183.510779 67.174109)
+ (xy 183.521094 67.181001)
+ (xy 183.533261 67.183421)
+ (xy 183.533263 67.183422)
+ (xy 183.537965 67.184357)
+ (xy 183.600875 67.217264)
+ (xy 183.636963 67.283355)
+ (xy 183.640319 67.300226)
+ (xy 183.646852 67.310003)
+ (xy 183.646852 67.394402)
+ (xy 183.650165 67.39529)
+ (xy 183.643789 67.419086)
+ (xy 183.625909 67.554895)
+ (xy 183.625909 67.571271)
+ (xy 183.643789 67.70708)
+ (xy 183.648028 67.7229)
+ (xy 183.700448 67.849453)
+ (xy 183.708635 67.863635)
+ (xy 183.745268 67.911375)
+ (xy 183.770869 67.977596)
+ (xy 183.756605 68.047144)
+ (xy 183.734401 68.077175)
+ (xy 180.539095 71.272482)
+ (xy 180.476783 71.306508)
+ (xy 180.405968 71.301443)
+ (xy 180.360908 71.272484)
+ (xy 178.262531 69.174107)
+ (xy 178.228505 69.111795)
+ (xy 178.23357 69.040979)
+ (xy 178.276117 68.984144)
+ (xy 178.329655 68.96444)
+ (xy 178.329041 68.961587)
+ (xy 178.454278 68.934625)
+ (xy 178.454281 68.934624)
+ (xy 178.463482 68.932643)
+ (xy 178.471694 68.928044)
+ (xy 178.471697 68.928043)
+ (xy 178.555694 68.881002)
+ (xy 178.58347 68.865447)
+ (xy 178.636637 68.809713)
+ (xy 178.649214 68.796529)
+ (xy 178.740384 68.7575)
+ (xy 179.808898 68.7575)
+ (xy 179.83348 68.759921)
+ (xy 179.834274 68.760079)
+ (xy 179.834276 68.760079)
+ (xy 179.846446 68.7625)
+ (xy 179.858616 68.760079)
+ (xy 179.859411 68.759921)
+ (xy 179.871581 68.7575)
+ (xy 179.871582 68.7575)
+ (xy 179.9469 68.742518)
+ (xy 180.032062 68.685616)
+ (xy 180.038954 68.675301)
+ (xy 180.038956 68.675299)
+ (xy 180.039413 68.674615)
+ (xy 180.055083 68.655522)
+ (xy 182.427589 66.283017)
+ (xy 182.489901 66.248991)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 185.707141 68.895564)
+ (xy 185.954261 69.142685)
+ (xy 186.199111 69.387535)
+ (xy 186.202764 69.391344)
+ (xy 186.241449 69.433414)
+ (xy 186.248746 69.437938)
+ (xy 186.248748 69.43794)
+ (xy 186.278943 69.456661)
+ (xy 186.288727 69.463385)
+ (xy 186.323866 69.490057)
+ (xy 186.331851 69.493218)
+ (xy 186.331852 69.493219)
+ (xy 186.338142 69.495709)
+ (xy 186.358156 69.505775)
+ (xy 186.371211 69.513869)
+ (xy 186.379455 69.516264)
+ (xy 186.379457 69.516265)
+ (xy 186.413578 69.526178)
+ (xy 186.424807 69.530023)
+ (xy 186.457835 69.5431)
+ (xy 186.457842 69.543102)
+ (xy 186.465824 69.546262)
+ (xy 186.474364 69.54716)
+ (xy 186.474366 69.54716)
+ (xy 186.481095 69.547867)
+ (xy 186.503075 69.55218)
+ (xy 186.517828 69.556466)
+ (xy 186.52441 69.556949)
+ (xy 186.524414 69.55695)
+ (xy 186.529601 69.557331)
+ (xy 186.529614 69.557331)
+ (xy 186.531909 69.5575)
+ (xy 186.566137 69.5575)
+ (xy 186.579307 69.55819)
+ (xy 186.589526 69.559264)
+ (xy 186.603207 69.560702)
+ (xy 186.617668 69.562222)
+ (xy 186.635162 69.559263)
+ (xy 186.656164 69.5575)
+ (xy 186.666145 69.5575)
+ (xy 186.723348 69.571233)
+ (xy 186.814048 69.617447)
+ (xy 186.823838 69.618998)
+ (xy 186.823839 69.618998)
+ (xy 186.848388 69.622886)
+ (xy 186.9375 69.637)
+ (xy 187.5125 69.637)
+ (xy 187.601612 69.622886)
+ (xy 187.626161 69.618998)
+ (xy 187.626162 69.618998)
+ (xy 187.635952 69.617447)
+ (xy 187.74732 69.560702)
+ (xy 187.799922 69.5081)
+ (xy 187.862234 69.474074)
+ (xy 187.933049 69.479139)
+ (xy 187.994129 69.537212)
+ (xy 187.998379 69.534758)
+ (xy 188.081835 69.679308)
+ (xy 188.090546 69.691009)
+ (xy 188.20005 69.807077)
+ (xy 188.211224 69.816452)
+ (xy 188.344542 69.904137)
+ (xy 188.357573 69.910681)
+ (xy 188.377354 69.917881)
+ (xy 188.434525 69.959975)
+ (xy 188.459863 70.026297)
+ (xy 188.445322 70.095788)
+ (xy 188.386857 70.147485)
+ (xy 188.387814 70.149163)
+ (xy 188.379772 70.15375)
+ (xy 188.379723 70.153793)
+ (xy 188.37085 70.157226)
+ (xy 188.262852 70.242365)
+ (xy 188.184662 70.355496)
+ (xy 188.181823 70.364473)
+ (xy 188.181822 70.364475)
+ (xy 188.14767 70.472463)
+ (xy 188.143194 70.486617)
+ (xy 188.143125 70.495454)
+ (xy 188.112843 70.559332)
+ (xy 188.018807 70.601467)
+ (xy 186.819037 70.601467)
+ (xy 186.729942 70.564562)
+ (xy 185.508645 69.343266)
+ (xy 185.492975 69.324173)
+ (xy 185.492518 69.323489)
+ (xy 185.492516 69.323487)
+ (xy 185.485624 69.313172)
+ (xy 185.400462 69.25627)
+ (xy 185.325144 69.241288)
+ (xy 185.325143 69.241288)
+ (xy 185.300008 69.236288)
+ (xy 185.301178 69.230407)
+ (xy 185.232126 69.201804)
+ (xy 185.104076 69.073754)
+ (xy 185.07005 69.011442)
+ (xy 185.075115 68.940627)
+ (xy 185.117662 68.883791)
+ (xy 185.193171 68.858659)
+ (xy 185.618045 68.858659)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 188.985583 65.149742)
+ (xy 189.663089 65.827249)
+ (xy 189.697113 65.889559)
+ (xy 189.692048 65.960375)
+ (xy 189.649501 66.01721)
+ (xy 189.582981 66.042021)
+ (xy 189.530897 66.034743)
+ (xy 189.46266 66.009907)
+ (xy 189.448483 66.006546)
+ (xy 189.309495 65.990301)
+ (xy 189.295046 65.992771)
+ (xy 189.2915 66.005465)
+ (xy 189.2915 68.340645)
+ (xy 189.271498 68.408766)
+ (xy 189.253489 68.422248)
+ (xy 189.256104 68.424514)
+ (xy 189.230671 68.453865)
+ (xy 189.229 68.461548)
+ (xy 189.229 69.978165)
+ (xy 189.195794 70.062032)
+ (xy 189.193391 70.063926)
+ (xy 189.115201 70.177057)
+ (xy 189.112361 70.186037)
+ (xy 189.112096 70.186578)
+ (xy 189.064164 70.238953)
+ (xy 188.995526 70.2571)
+ (xy 188.906421 70.216675)
+ (xy 188.889175 70.198019)
+ (xy 188.841548 70.170355)
+ (xy 188.776026 70.132296)
+ (xy 188.727168 70.080785)
+ (xy 188.716191 69.996559)
+ (xy 188.721 69.974452)
+ (xy 188.721 68.084355)
+ (xy 188.741002 68.016234)
+ (xy 188.759011 68.002752)
+ (xy 188.756396 68.000486)
+ (xy 188.781829 67.971135)
+ (xy 188.7835 67.963452)
+ (xy 188.7835 66.006012)
+ (xy 188.775593 65.979083)
+ (xy 188.770489 65.943585)
+ (xy 188.770489 65.238838)
+ (xy 188.790491 65.170717)
+ (xy 188.844147 65.124224)
+ (xy 188.914421 65.11412)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 177.860533 66.329053)
+ (xy 177.917369 66.3716)
+ (xy 177.942501 66.447108)
+ (xy 177.9425 66.580478)
+ (xy 177.9425 66.709493)
+ (xy 177.922498 66.777614)
+ (xy 177.894507 66.808442)
+ (xy 177.862852 66.833397)
+ (xy 177.784662 66.946528)
+ (xy 177.781823 66.955505)
+ (xy 177.781822 66.955507)
+ (xy 177.761433 67.019978)
+ (xy 177.743194 67.077649)
+ (xy 177.74312 67.08707)
+ (xy 177.743021 67.099632)
+ (xy 177.742114 67.215167)
+ (xy 177.744813 67.224191)
+ (xy 177.744813 67.224193)
+ (xy 177.765367 67.29292)
+ (xy 177.781517 67.346923)
+ (xy 177.80863 67.3875)
+ (xy 177.850392 67.45)
+ (xy 177.857921 67.461268)
+ (xy 177.865222 67.467212)
+ (xy 177.865223 67.467213)
+ (xy 177.881492 67.480458)
+ (xy 177.921691 67.538978)
+ (xy 177.92387 67.609941)
+ (xy 177.871942 67.682936)
+ (xy 177.836408 67.706678)
+ (xy 177.814383 67.721395)
+ (xy 177.807491 67.73171)
+ (xy 177.807489 67.731712)
+ (xy 177.807036 67.73239)
+ (xy 177.791367 67.751483)
+ (xy 177.661636 67.881215)
+ (xy 177.535696 68.007155)
+ (xy 177.535693 68.007157)
+ (xy 177.532261 68.010589)
+ (xy 177.46995 68.044613)
+ (xy 177.439502 68.046681)
+ (xy 177.436287 68.045936)
+ (xy 177.426892 68.046601)
+ (xy 177.426891 68.046601)
+ (xy 177.308511 68.054982)
+ (xy 177.308509 68.054983)
+ (xy 177.299109 68.055648)
+ (xy 177.17085 68.105268)
+ (xy 177.062852 68.190407)
+ (xy 176.984662 68.303538)
+ (xy 176.981823 68.312515)
+ (xy 176.981822 68.312517)
+ (xy 176.971716 68.344472)
+ (xy 176.943194 68.434659)
+ (xy 176.94312 68.44408)
+ (xy 176.942842 68.479462)
+ (xy 176.942114 68.572177)
+ (xy 176.944813 68.581201)
+ (xy 176.944813 68.581203)
+ (xy 176.95767 68.624193)
+ (xy 176.981517 68.703933)
+ (xy 177.016252 68.755917)
+ (xy 177.050536 68.807225)
+ (xy 177.057921 68.818278)
+ (xy 177.065222 68.824222)
+ (xy 177.065223 68.824223)
+ (xy 177.152597 68.895357)
+ (xy 177.164568 68.905103)
+ (xy 177.173301 68.90864)
+ (xy 177.173304 68.908642)
+ (xy 177.175281 68.909443)
+ (xy 177.177108 68.910892)
+ (xy 177.181405 68.913433)
+ (xy 177.181056 68.914023)
+ (xy 177.230909 68.953557)
+ (xy 177.253856 69.020743)
+ (xy 177.232923 69.095953)
+ (xy 177.204291 69.139048)
+ (xy 177.193571 69.155182)
+ (xy 177.190917 69.163349)
+ (xy 177.190917 69.16335)
+ (xy 177.182713 69.188599)
+ (xy 177.146391 69.30039)
+ (xy 177.146031 69.308976)
+ (xy 177.146031 69.308977)
+ (xy 177.143142 69.377908)
+ (xy 177.106348 69.461727)
+ (xy 176.911751 69.656324)
+ (xy 176.849439 69.69035)
+ (xy 176.778624 69.685285)
+ (xy 176.717891 69.637231)
+ (xy 176.711745 69.628032)
+ (xy 176.711742 69.628028)
+ (xy 176.708306 69.622886)
+ (xy 176.60224 69.51682)
+ (xy 176.597098 69.513384)
+ (xy 176.597094 69.513381)
+ (xy 176.535669 69.472338)
+ (xy 176.535667 69.472337)
+ (xy 176.525352 69.465445)
+ (xy 176.513185 69.463025)
+ (xy 176.513183 69.463024)
+ (xy 176.508481 69.462089)
+ (xy 176.445571 69.429181)
+ (xy 176.409484 69.363092)
+ (xy 176.408549 69.35839)
+ (xy 176.408548 69.358388)
+ (xy 176.406128 69.346221)
+ (xy 176.399236 69.335906)
+ (xy 176.399235 69.335904)
+ (xy 176.358192 69.274479)
+ (xy 176.358189 69.274475)
+ (xy 176.354753 69.269333)
+ (xy 176.248687 69.163267)
+ (xy 176.243545 69.159831)
+ (xy 176.243541 69.159828)
+ (xy 176.182116 69.118785)
+ (xy 176.182114 69.118784)
+ (xy 176.171799 69.111892)
+ (xy 176.159632 69.109472)
+ (xy 176.15963 69.109471)
+ (xy 176.154928 69.108536)
+ (xy 176.092018 69.075629)
+ (xy 176.05593 69.009538)
+ (xy 176.054995 69.004836)
+ (xy 176.054994 69.004834)
+ (xy 176.052574 68.992667)
+ (xy 176.045682 68.982352)
+ (xy 176.045681 68.98235)
+ (xy 176.004638 68.920925)
+ (xy 176.004635 68.920921)
+ (xy 176.001199 68.915779)
+ (xy 175.895133 68.809713)
+ (xy 175.889991 68.806277)
+ (xy 175.889987 68.806274)
+ (xy 175.828562 68.765231)
+ (xy 175.82856 68.76523)
+ (xy 175.818245 68.758338)
+ (xy 175.806078 68.755918)
+ (xy 175.806076 68.755917)
+ (xy 175.73972 68.742718)
+ (xy 175.727549 68.740297)
+ (xy 175.715378 68.742718)
+ (xy 175.649022 68.755917)
+ (xy 175.64902 68.755918)
+ (xy 175.636853 68.758338)
+ (xy 175.626538 68.76523)
+ (xy 175.626536 68.765231)
+ (xy 175.565111 68.806274)
+ (xy 175.565107 68.806277)
+ (xy 175.559965 68.809713)
+ (xy 174.822595 69.547083)
+ (xy 174.760283 69.581109)
+ (xy 174.689468 69.576044)
+ (xy 174.632632 69.533497)
+ (xy 174.6075 69.457988)
+ (xy 174.6075 69.407899)
+ (xy 174.607597 69.402952)
+ (xy 174.608581 69.377908)
+ (xy 174.609707 69.349249)
+ (xy 174.607906 69.342455)
+ (xy 174.6075 69.335083)
+ (xy 174.6075 67.542012)
+ (xy 174.627502 67.473891)
+ (xy 174.681158 67.427398)
+ (xy 174.751432 67.417294)
+ (xy 174.822595 67.452917)
+ (xy 175.559965 68.190287)
+ (xy 175.565107 68.193723)
+ (xy 175.565111 68.193726)
+ (xy 175.626536 68.234769)
+ (xy 175.626538 68.23477)
+ (xy 175.636853 68.241662)
+ (xy 175.64902 68.244082)
+ (xy 175.649022 68.244083)
+ (xy 175.715378 68.257282)
+ (xy 175.727549 68.259703)
+ (xy 175.73972 68.257282)
+ (xy 175.806076 68.244083)
+ (xy 175.806078 68.244082)
+ (xy 175.818245 68.241662)
+ (xy 175.82856 68.23477)
+ (xy 175.828562 68.234769)
+ (xy 175.889987 68.193726)
+ (xy 175.889991 68.193723)
+ (xy 175.895133 68.190287)
+ (xy 176.001199 68.084221)
+ (xy 176.004635 68.079079)
+ (xy 176.004638 68.079075)
+ (xy 176.045681 68.01765)
+ (xy 176.045682 68.017648)
+ (xy 176.052574 68.007333)
+ (xy 176.05593 67.990462)
+ (xy 176.088837 67.927552)
+ (xy 176.154928 67.891464)
+ (xy 176.15963 67.890529)
+ (xy 176.159632 67.890528)
+ (xy 176.171799 67.888108)
+ (xy 176.182114 67.881216)
+ (xy 176.182116 67.881215)
+ (xy 176.243541 67.840172)
+ (xy 176.243545 67.840169)
+ (xy 176.248687 67.836733)
+ (xy 176.354753 67.730667)
+ (xy 176.358189 67.725525)
+ (xy 176.358192 67.725521)
+ (xy 176.399235 67.664096)
+ (xy 176.399236 67.664094)
+ (xy 176.406128 67.653779)
+ (xy 176.408695 67.640878)
+ (xy 176.409484 67.636908)
+ (xy 176.442392 67.573998)
+ (xy 176.508481 67.537911)
+ (xy 176.513183 67.536976)
+ (xy 176.513185 67.536975)
+ (xy 176.525352 67.534555)
+ (xy 176.535667 67.527663)
+ (xy 176.535669 67.527662)
+ (xy 176.597094 67.486619)
+ (xy 176.597098 67.486616)
+ (xy 176.60224 67.48318)
+ (xy 176.708306 67.377114)
+ (xy 176.711742 67.371972)
+ (xy 176.711745 67.371968)
+ (xy 176.752788 67.310543)
+ (xy 176.752789 67.310541)
+ (xy 176.759681 67.300226)
+ (xy 176.763037 67.283355)
+ (xy 176.795944 67.220445)
+ (xy 176.862035 67.184357)
+ (xy 176.866737 67.183422)
+ (xy 176.866739 67.183421)
+ (xy 176.878906 67.181001)
+ (xy 176.889221 67.174109)
+ (xy 176.889223 67.174108)
+ (xy 176.950648 67.133065)
+ (xy 176.950652 67.133062)
+ (xy 176.955794 67.129626)
+ (xy 177.06186 67.02356)
+ (xy 177.065296 67.018418)
+ (xy 177.065299 67.018414)
+ (xy 177.106342 66.956989)
+ (xy 177.106343 66.956987)
+ (xy 177.113235 66.946672)
+ (xy 177.115718 66.934192)
+ (xy 177.116591 66.929801)
+ (xy 177.149499 66.866891)
+ (xy 177.215588 66.830804)
+ (xy 177.22029 66.829869)
+ (xy 177.220292 66.829868)
+ (xy 177.232459 66.827448)
+ (xy 177.242774 66.820556)
+ (xy 177.242776 66.820555)
+ (xy 177.304201 66.779512)
+ (xy 177.304205 66.779509)
+ (xy 177.309347 66.776073)
+ (xy 177.415413 66.670007)
+ (xy 177.418849 66.664865)
+ (xy 177.418852 66.664861)
+ (xy 177.459895 66.603436)
+ (xy 177.459896 66.603434)
+ (xy 177.466788 66.593119)
+ (xy 177.469895 66.577502)
+ (xy 177.470144 66.576248)
+ (xy 177.503052 66.513338)
+ (xy 177.569141 66.477251)
+ (xy 177.573843 66.476316)
+ (xy 177.573845 66.476315)
+ (xy 177.586012 66.473895)
+ (xy 177.596327 66.467003)
+ (xy 177.596329 66.467002)
+ (xy 177.657754 66.425959)
+ (xy 177.657758 66.425956)
+ (xy 177.6629 66.42252)
+ (xy 177.727406 66.358014)
+ (xy 177.789718 66.323988)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 166.591606 56.020966)
+ (xy 166.6225 56.103615)
+ (xy 166.622501 60.14114)
+ (xy 166.621628 60.155949)
+ (xy 166.617729 60.188893)
+ (xy 166.619421 60.198157)
+ (xy 166.619421 60.198158)
+ (xy 166.628437 60.247526)
+ (xy 166.629087 60.25143)
+ (xy 166.635881 60.29662)
+ (xy 166.637947 60.31036)
+ (xy 166.641119 60.316966)
+ (xy 166.642436 60.324177)
+ (xy 166.669926 60.377098)
+ (xy 166.671675 60.3806)
+ (xy 166.689985 60.418731)
+ (xy 166.697476 60.43433)
+ (xy 166.702378 60.439633)
+ (xy 166.702519 60.439843)
+ (xy 166.70583 60.446216)
+ (xy 166.711575 60.452942)
+ (xy 166.750889 60.492256)
+ (xy 166.754319 60.495822)
+ (xy 166.784435 60.528402)
+ (xy 166.784438 60.528404)
+ (xy 166.790826 60.535315)
+ (xy 166.796903 60.538845)
+ (xy 166.802407 60.543774)
+ (xy 167.165596 60.906965)
+ (xy 167.2025 60.99606)
+ (xy 167.2025 61.054811)
+ (xy 167.182498 61.122932)
+ (xy 167.13429 61.166777)
+ (xy 167.011799 61.23)
+ (xy 166.983787 61.244458)
+ (xy 166.805783 61.381045)
+ (xy 166.80201 61.385191)
+ (xy 166.802005 61.385196)
+ (xy 166.689764 61.508548)
+ (xy 166.654779 61.546996)
+ (xy 166.627207 61.59095)
+ (xy 166.539365 61.730983)
+ (xy 166.53555 61.737064)
+ (xy 166.451863 61.945242)
+ (xy 166.406364 62.164949)
+ (xy 166.40049 62.389242)
+ (xy 166.410009 62.451449)
+ (xy 166.432475 62.598258)
+ (xy 166.434429 62.611029)
+ (xy 166.456542 62.675616)
+ (xy 166.504786 62.816525)
+ (xy 166.507106 62.823302)
+ (xy 166.616224 63.019349)
+ (xy 166.619776 63.023689)
+ (xy 166.619779 63.023693)
+ (xy 166.703113 63.125507)
+ (xy 166.758335 63.192975)
+ (xy 166.792169 63.221872)
+ (xy 166.924682 63.335049)
+ (xy 166.924686 63.335052)
+ (xy 166.928947 63.338691)
+ (xy 167.122667 63.451892)
+ (xy 167.127933 63.453819)
+ (xy 167.127937 63.453821)
+ (xy 167.328106 63.527072)
+ (xy 167.328109 63.527073)
+ (xy 167.33337 63.528998)
+ (xy 167.338886 63.529961)
+ (xy 167.338891 63.529962)
+ (xy 167.509541 63.559745)
+ (xy 167.554399 63.567574)
+ (xy 167.560005 63.567545)
+ (xy 167.560009 63.567545)
+ (xy 167.663025 63.567005)
+ (xy 167.778765 63.566399)
+ (xy 167.781619 63.56587)
+ (xy 169.188099 63.56587)
+ (xy 169.188615 63.572014)
+ (xy 169.203192 63.745603)
+ (xy 169.204626 63.762685)
+ (xy 169.213909 63.795059)
+ (xy 169.25463 63.93707)
+ (xy 169.259066 63.952542)
+ (xy 169.261885 63.958027)
+ (xy 169.346529 64.122727)
+ (xy 169.346532 64.122732)
+ (xy 169.349347 64.128209)
+ (xy 169.472028 64.282994)
+ (xy 169.476722 64.286988)
+ (xy 169.476722 64.286989)
+ (xy 169.603018 64.394475)
+ (xy 169.622438 64.411003)
+ (xy 169.627816 64.414009)
+ (xy 169.627818 64.41401)
+ (xy 169.662507 64.433397)
+ (xy 169.794847 64.507359)
+ (xy 169.982688 64.568392)
+ (xy 170.178806 64.591778)
+ (xy 170.184941 64.591306)
+ (xy 170.184943 64.591306)
+ (xy 170.369589 64.577098)
+ (xy 170.369592 64.577097)
+ (xy 170.375732 64.576625)
+ (xy 170.383759 64.574384)
+ (xy 170.412039 64.566488)
+ (xy 170.565964 64.523512)
+ (xy 170.742257 64.43446)
+ (xy 170.757169 64.42281)
+ (xy 170.893039 64.316656)
+ (xy 170.897895 64.312862)
+ (xy 170.921179 64.285888)
+ (xy 170.995709 64.199544)
+ (xy 171.026951 64.16335)
+ (xy 171.03007 64.157861)
+ (xy 171.121465 63.996974)
+ (xy 171.121466 63.996973)
+ (xy 171.124508 63.991617)
+ (xy 171.126941 63.984305)
+ (xy 171.160474 63.8835)
+ (xy 171.186851 63.804207)
+ (xy 171.194958 63.740036)
+ (xy 171.211163 63.611758)
+ (xy 171.211163 63.611753)
+ (xy 171.211605 63.608257)
+ (xy 171.212 63.58)
+ (xy 171.192727 63.383435)
+ (xy 171.135641 63.194357)
+ (xy 171.127531 63.179105)
+ (xy 171.04581 63.02541)
+ (xy 171.045808 63.025407)
+ (xy 171.042916 63.019968)
+ (xy 170.918086 62.86691)
+ (xy 170.765903 62.741014)
+ (xy 170.592166 62.647074)
+ (xy 170.403491 62.58867)
+ (xy 170.397366 62.588026)
+ (xy 170.397365 62.588026)
+ (xy 170.213193 62.568669)
+ (xy 170.213192 62.568669)
+ (xy 170.207065 62.568025)
+ (xy 170.086743 62.578975)
+ (xy 170.016511 62.585366)
+ (xy 170.01651 62.585366)
+ (xy 170.01037 62.585925)
+ (xy 170.000425 62.588852)
+ (xy 169.826809 62.63995)
+ (xy 169.826806 62.639951)
+ (xy 169.820898 62.64169)
+ (xy 169.645866 62.733195)
+ (xy 169.641068 62.737053)
+ (xy 169.641066 62.737054)
+ (xy 169.570724 62.793611)
+ (xy 169.491941 62.856954)
+ (xy 169.487982 62.861672)
+ (xy 169.487981 62.861673)
+ (xy 169.369014 63.003451)
+ (xy 169.364985 63.008253)
+ (xy 169.362022 63.013642)
+ (xy 169.362019 63.013647)
+ (xy 169.303369 63.120332)
+ (xy 169.269835 63.181331)
+ (xy 169.267972 63.187204)
+ (xy 169.215558 63.352436)
+ (xy 169.210115 63.369593)
+ (xy 169.209429 63.37571)
+ (xy 169.209428 63.375714)
+ (xy 169.192626 63.525511)
+ (xy 169.188099 63.56587)
+ (xy 167.781619 63.56587)
+ (xy 167.795249 63.563344)
+ (xy 167.907621 63.542517)
+ (xy 167.999377 63.525511)
+ (xy 168.004626 63.523528)
+ (xy 168.004628 63.523527)
+ (xy 168.103609 63.486125)
+ (xy 168.209262 63.446202)
+ (xy 168.231918 63.432643)
+ (xy 168.309513 63.386203)
+ (xy 168.401785 63.330979)
+ (xy 168.406005 63.327297)
+ (xy 168.40601 63.327294)
+ (xy 168.566631 63.187175)
+ (xy 168.570861 63.183485)
+ (xy 168.621456 63.120332)
+ (xy 168.707641 63.012757)
+ (xy 168.707644 63.012752)
+ (xy 168.711147 63.00838)
+ (xy 168.786368 62.869839)
+ (xy 168.815531 62.816128)
+ (xy 168.815532 62.816127)
+ (xy 168.818206 62.811201)
+ (xy 168.870217 62.653936)
+ (xy 168.910597 62.595541)
+ (xy 168.989844 62.5675)
+ (xy 169.178086 62.5675)
+ (xy 169.202668 62.569921)
+ (xy 169.203462 62.570079)
+ (xy 169.203464 62.570079)
+ (xy 169.215634 62.5725)
+ (xy 169.227804 62.570079)
+ (xy 169.228599 62.569921)
+ (xy 169.240769 62.5675)
+ (xy 169.24077 62.5675)
+ (xy 169.316088 62.552518)
+ (xy 169.330537 62.542864)
+ (xy 169.390931 62.502511)
+ (xy 169.390932 62.50251)
+ (xy 169.40125 62.495616)
+ (xy 169.408595 62.484623)
+ (xy 169.424266 62.465527)
+ (xy 169.78539 62.104404)
+ (xy 169.874485 62.0675)
+ (xy 171.18115 62.0675)
+ (xy 171.270246 62.104405)
+ (xy 171.665597 62.499757)
+ (xy 171.702501 62.588852)
+ (xy 171.7025 63.221872)
+ (xy 171.7025 63.852452)
+ (xy 171.700079 63.877034)
+ (xy 171.6975 63.89)
+ (xy 171.699921 63.90217)
+ (xy 171.699921 63.902174)
+ (xy 171.702499 63.915133)
+ (xy 171.7025 63.915135)
+ (xy 171.717482 63.990453)
+ (xy 171.724378 64.000774)
+ (xy 171.767488 64.065296)
+ (xy 171.76749 64.065298)
+ (xy 171.774384 64.075616)
+ (xy 171.785386 64.082968)
+ (xy 171.804474 64.098634)
+ (xy 172.378745 64.672905)
+ (xy 172.412771 64.735217)
+ (xy 172.407706 64.806032)
+ (xy 172.365159 64.862868)
+ (xy 172.28965 64.888)
+ (xy 171.85 64.888)
+ (xy 171.830432 64.891892)
+ (xy 171.800174 64.897911)
+ (xy 171.800172 64.897912)
+ (xy 171.788005 64.900332)
+ (xy 171.756676 64.921266)
+ (xy 171.686675 64.9425)
+ (xy 168.093924 64.9425)
+ (xy 168.018712 64.91759)
+ (xy 167.921992 64.845629)
+ (xy 167.921993 64.845629)
+ (xy 167.917714 64.842446)
+ (xy 167.712417 64.738068)
+ (xy 167.49247 64.669772)
+ (xy 167.475124 64.667473)
+ (xy 167.269444 64.640212)
+ (xy 167.269439 64.640212)
+ (xy 167.264159 64.639512)
+ (xy 167.25883 64.639712)
+ (xy 167.258828 64.639712)
+ (xy 167.157051 64.643533)
+ (xy 167.034014 64.648152)
+ (xy 166.808615 64.695446)
+ (xy 166.803659 64.697403)
+ (xy 166.803653 64.697405)
+ (xy 166.682693 64.745175)
+ (xy 166.594407 64.780041)
+ (xy 166.397515 64.899518)
+ (xy 166.393485 64.903015)
+ (xy 166.230206 65.044701)
+ (xy 166.223568 65.050461)
+ (xy 166.194316 65.086136)
+ (xy 166.080924 65.224427)
+ (xy 166.08092 65.224433)
+ (xy 166.07754 65.228555)
+ (xy 166.074901 65.233191)
+ (xy 166.074899 65.233194)
+ (xy 165.988884 65.384301)
+ (xy 165.963607 65.428706)
+ (xy 165.885026 65.645193)
+ (xy 165.884077 65.650442)
+ (xy 165.884076 65.650445)
+ (xy 165.844995 65.86657)
+ (xy 165.844045 65.871824)
+ (xy 165.843174 65.9625)
+ (xy 165.841924 66.092776)
+ (xy 165.841834 66.102121)
+ (xy 165.842683 66.107391)
+ (xy 165.842683 66.107393)
+ (xy 165.87757 66.323988)
+ (xy 165.878457 66.329498)
+ (xy 165.880182 66.33455)
+ (xy 165.880182 66.334551)
+ (xy 165.889677 66.362361)
+ (xy 165.952868 66.547453)
+ (xy 166.062937 66.749755)
+ (xy 166.066235 66.753939)
+ (xy 166.066238 66.753943)
+ (xy 166.202216 66.92643)
+ (xy 166.20222 66.926434)
+ (xy 166.205519 66.930619)
+ (xy 166.209479 66.934191)
+ (xy 166.20948 66.934192)
+ (xy 166.244053 66.965376)
+ (xy 166.376536 67.084874)
+ (xy 166.485188 67.153694)
+ (xy 166.553618 67.197037)
+ (xy 166.571098 67.208109)
+ (xy 166.576025 67.210165)
+ (xy 166.576027 67.210166)
+ (xy 166.778713 67.294743)
+ (xy 166.783643 67.2968)
+ (xy 166.788847 67.297997)
+ (xy 166.788848 67.297997)
+ (xy 167.00289 67.347216)
+ (xy 167.002895 67.347217)
+ (xy 167.008093 67.348412)
+ (xy 167.013421 67.348715)
+ (xy 167.013424 67.348715)
+ (xy 167.171689 67.357702)
+ (xy 167.238029 67.361469)
+ (xy 167.243336 67.360869)
+ (xy 167.243338 67.360869)
+ (xy 167.366695 67.346923)
+ (xy 167.466879 67.335597)
+ (xy 167.471994 67.334116)
+ (xy 167.471998 67.334115)
+ (xy 167.573503 67.304721)
+ (xy 167.688097 67.271537)
+ (xy 167.895359 67.171119)
+ (xy 168.000518 67.095971)
+ (xy 168.078393 67.040321)
+ (xy 168.078395 67.040319)
+ (xy 168.082739 67.037215)
+ (xy 168.148998 66.970375)
+ (xy 168.241126 66.87744)
+ (xy 168.241127 66.877439)
+ (xy 168.244879 66.873654)
+ (xy 168.267685 66.841144)
+ (xy 168.374078 66.689481)
+ (xy 168.374079 66.689479)
+ (xy 168.377142 66.685113)
+ (xy 168.475748 66.476982)
+ (xy 168.479557 66.463385)
+ (xy 168.536437 66.260348)
+ (xy 168.536438 66.260342)
+ (xy 168.537875 66.255213)
+ (xy 168.538524 66.248991)
+ (xy 168.542473 66.211096)
+ (xy 168.54671 66.170438)
+ (xy 168.573665 66.104759)
+ (xy 168.631851 66.064078)
+ (xy 168.672031 66.0575)
+ (xy 171.686675 66.0575)
+ (xy 171.756676 66.078734)
+ (xy 171.788005 66.099668)
+ (xy 171.800172 66.102088)
+ (xy 171.800174 66.102089)
+ (xy 171.82684 66.107393)
+ (xy 171.85 66.112)
+ (xy 172.65 66.112)
+ (xy 172.67316 66.107393)
+ (xy 172.699826 66.102089)
+ (xy 172.699828 66.102088)
+ (xy 172.711995 66.099668)
+ (xy 172.722309 66.092776)
+ (xy 172.722312 66.092775)
+ (xy 172.754235 66.071444)
+ (xy 172.764551 66.064551)
+ (xy 172.771444 66.054235)
+ (xy 172.792775 66.022312)
+ (xy 172.792776 66.022309)
+ (xy 172.799668 66.011995)
+ (xy 172.802647 65.997023)
+ (xy 172.809317 65.963488)
+ (xy 172.812 65.95)
+ (xy 172.812 65.05)
+ (xy 172.804541 65.0125)
+ (xy 172.802089 65.000174)
+ (xy 172.802088 65.000172)
+ (xy 172.799668 64.988005)
+ (xy 172.792775 64.977689)
+ (xy 172.788025 64.966222)
+ (xy 172.790879 64.96504)
+ (xy 172.775444 64.915753)
+ (xy 172.794225 64.847285)
+ (xy 172.847041 64.79984)
+ (xy 172.901424 64.7875)
+ (xy 173.498576 64.7875)
+ (xy 173.566697 64.807502)
+ (xy 173.61319 64.861158)
+ (xy 173.623294 64.931432)
+ (xy 173.610238 64.965502)
+ (xy 173.611975 64.966222)
+ (xy 173.607225 64.977689)
+ (xy 173.600332 64.988005)
+ (xy 173.597912 65.000172)
+ (xy 173.597911 65.000174)
+ (xy 173.595459 65.0125)
+ (xy 173.588 65.05)
+ (xy 173.588 65.95)
+ (xy 173.590683 65.963488)
+ (xy 173.597354 65.997023)
+ (xy 173.600332 66.011995)
+ (xy 173.607224 66.022309)
+ (xy 173.607225 66.022312)
+ (xy 173.628556 66.054235)
+ (xy 173.635449 66.064551)
+ (xy 173.645765 66.071444)
+ (xy 173.654542 66.080221)
+ (xy 173.65153 66.083233)
+ (xy 173.682043 66.119763)
+ (xy 173.6925 66.17002)
+ (xy 173.692501 69.153983)
+ (xy 173.661444 69.232424)
+ (xy 173.662852 69.233397)
+ (xy 173.657497 69.241144)
+ (xy 173.657496 69.241146)
+ (xy 173.628961 69.282434)
+ (xy 173.626666 69.285646)
+ (xy 173.608323 69.31048)
+ (xy 173.591861 69.332768)
+ (xy 173.535299 69.375679)
+ (xy 173.464518 69.381198)
+ (xy 173.401988 69.347573)
+ (xy 173.36451 69.257908)
+ (xy 173.36451 68.531955)
+ (xy 173.366931 68.507373)
+ (xy 173.367089 68.506579)
+ (xy 173.367089 68.506577)
+ (xy 173.36951 68.494407)
+ (xy 173.36451 68.469272)
+ (xy 173.354282 68.417852)
+ (xy 173.351949 68.406124)
+ (xy 173.351949 68.406123)
+ (xy 173.349528 68.393954)
+ (xy 173.334106 68.370872)
+ (xy 173.299518 68.319107)
+ (xy 173.292626 68.308792)
+ (xy 173.282311 68.3019)
+ (xy 173.282309 68.301898)
+ (xy 173.281628 68.301443)
+ (xy 173.262535 68.285773)
+ (xy 172.471236 67.494474)
+ (xy 172.45557 67.475386)
+ (xy 172.448218 67.464384)
+ (xy 172.363056 67.407482)
+ (xy 172.287738 67.3925)
+ (xy 172.287737 67.3925)
+ (xy 172.284469 67.39185)
+ (xy 172.262602 67.3875)
+ (xy 172.250432 67.389921)
+ (xy 172.25043 67.389921)
+ (xy 172.249636 67.390079)
+ (xy 172.225054 67.3925)
+ (xy 171.174946 67.3925)
+ (xy 171.150364 67.390079)
+ (xy 171.14957 67.389921)
+ (xy 171.149568 67.389921)
+ (xy 171.137398 67.3875)
+ (xy 171.112263 67.3925)
+ (xy 171.112262 67.3925)
+ (xy 171.036944 67.407482)
+ (xy 170.951782 67.464384)
+ (xy 170.94489 67.474699)
+ (xy 170.944888 67.474701)
+ (xy 170.944435 67.475379)
+ (xy 170.928766 67.494472)
+ (xy 170.644473 67.778766)
+ (xy 170.625377 67.794437)
+ (xy 170.614384 67.801782)
+ (xy 170.60749 67.8121)
+ (xy 170.568948 67.869785)
+ (xy 170.557482 67.886945)
+ (xy 170.555061 67.899118)
+ (xy 170.55409 67.901461)
+ (xy 170.532007 67.928864)
+ (xy 170.536581 67.962816)
+ (xy 170.539921 67.962816)
+ (xy 170.539921 67.975227)
+ (xy 170.5375 67.987398)
+ (xy 170.539921 67.999568)
+ (xy 170.539921 67.99957)
+ (xy 170.540079 68.000364)
+ (xy 170.5425 68.024946)
+ (xy 170.542501 68.731162)
+ (xy 170.542501 68.847136)
+ (xy 170.522499 68.915257)
+ (xy 170.468843 68.96175)
+ (xy 170.398569 68.971854)
+ (xy 170.333989 68.942361)
+ (xy 170.301526 68.897344)
+ (xy 170.299668 68.888005)
+ (xy 170.264551 68.835449)
+ (xy 170.235354 68.81594)
+ (xy 170.222312 68.807225)
+ (xy 170.222309 68.807224)
+ (xy 170.211995 68.800332)
+ (xy 170.199828 68.797912)
+ (xy 170.199826 68.797911)
+ (xy 170.169568 68.791892)
+ (xy 170.15 68.788)
+ (xy 169.25 68.788)
+ (xy 169.230432 68.791892)
+ (xy 169.200174 68.797911)
+ (xy 169.200172 68.797912)
+ (xy 169.188005 68.800332)
+ (xy 169.177691 68.807224)
+ (xy 169.177688 68.807225)
+ (xy 169.164646 68.81594)
+ (xy 169.135449 68.835449)
+ (xy 169.128556 68.845765)
+ (xy 169.119779 68.854542)
+ (xy 169.116767 68.85153)
+ (xy 169.080237 68.882043)
+ (xy 169.02998 68.8925)
+ (xy 168.891692 68.8925)
+ (xy 168.802597 68.855595)
+ (xy 168.504009 68.557007)
+ (xy 168.494154 68.545918)
+ (xy 168.479443 68.527257)
+ (xy 168.479442 68.527256)
+ (xy 168.473613 68.519862)
+ (xy 168.474484 68.519176)
+ (xy 168.443007 68.465602)
+ (xy 168.445846 68.394663)
+ (xy 168.476131 68.34587)
+ (xy 168.877598 67.944404)
+ (xy 168.966693 67.9075)
+ (xy 169.02998 67.9075)
+ (xy 169.098101 67.927502)
+ (xy 169.118097 67.94714)
+ (xy 169.119779 67.945458)
+ (xy 169.128556 67.954235)
+ (xy 169.135449 67.964551)
+ (xy 169.145765 67.971444)
+ (xy 169.177688 67.992775)
+ (xy 169.177691 67.992776)
+ (xy 169.188005 67.999668)
+ (xy 169.200172 68.002088)
+ (xy 169.200174 68.002089)
+ (xy 169.225643 68.007155)
+ (xy 169.25 68.012)
+ (xy 170.15 68.012)
+ (xy 170.174357 68.007155)
+ (xy 170.199826 68.002089)
+ (xy 170.199828 68.002088)
+ (xy 170.211995 67.999668)
+ (xy 170.222309 67.992776)
+ (xy 170.222312 67.992775)
+ (xy 170.254235 67.971444)
+ (xy 170.264551 67.964551)
+ (xy 170.278408 67.943813)
+ (xy 170.292772 67.922316)
+ (xy 170.292773 67.922315)
+ (xy 170.299668 67.911995)
+ (xy 170.301113 67.904732)
+ (xy 170.319806 67.881536)
+ (xy 170.313969 67.85)
+ (xy 170.312 67.85)
+ (xy 170.312 67.05)
+ (xy 170.306692 67.023316)
+ (xy 170.302089 67.000174)
+ (xy 170.302088 67.000172)
+ (xy 170.299668 66.988005)
+ (xy 170.292776 66.977691)
+ (xy 170.292775 66.977688)
+ (xy 170.271444 66.945765)
+ (xy 170.264551 66.935449)
+ (xy 170.237599 66.91744)
+ (xy 170.222312 66.907225)
+ (xy 170.222309 66.907224)
+ (xy 170.211995 66.900332)
+ (xy 170.199828 66.897912)
+ (xy 170.199826 66.897911)
+ (xy 170.160235 66.890036)
+ (xy 170.15 66.888)
+ (xy 169.25 66.888)
+ (xy 169.239765 66.890036)
+ (xy 169.200174 66.897911)
+ (xy 169.200172 66.897912)
+ (xy 169.188005 66.900332)
+ (xy 169.177691 66.907224)
+ (xy 169.177688 66.907225)
+ (xy 169.162401 66.91744)
+ (xy 169.135449 66.935449)
+ (xy 169.128556 66.945765)
+ (xy 169.119779 66.954542)
+ (xy 169.116767 66.95153)
+ (xy 169.080237 66.982043)
+ (xy 169.02998 66.9925)
+ (xy 168.758217 66.9925)
+ (xy 168.743408 66.991627)
+ (xy 168.733977 66.990511)
+ (xy 168.710472 66.987729)
+ (xy 168.701208 66.989421)
+ (xy 168.701207 66.989421)
+ (xy 168.651866 66.998432)
+ (xy 168.647962 66.999082)
+ (xy 168.598317 67.006546)
+ (xy 168.598316 67.006546)
+ (xy 168.589006 67.007946)
+ (xy 168.582398 67.011119)
+ (xy 168.575188 67.012436)
+ (xy 168.566831 67.016777)
+ (xy 168.566825 67.016779)
+ (xy 168.522292 67.039912)
+ (xy 168.518765 67.041675)
+ (xy 168.514062 67.043933)
+ (xy 168.473527 67.063397)
+ (xy 168.473524 67.063399)
+ (xy 168.465036 67.067475)
+ (xy 168.459735 67.072376)
+ (xy 168.459518 67.072522)
+ (xy 168.453149 67.07583)
+ (xy 168.446423 67.081574)
+ (xy 168.407086 67.120911)
+ (xy 168.40352 67.12434)
+ (xy 168.382325 67.143933)
+ (xy 168.364051 67.160825)
+ (xy 168.36052 67.166904)
+ (xy 168.355597 67.1724)
+ (xy 168.122937 67.405061)
+ (xy 167.922403 67.605595)
+ (xy 167.833307 67.6425)
+ (xy 166.341692 67.6425)
+ (xy 166.252597 67.605595)
+ (xy 165.614405 66.967403)
+ (xy 165.5775 66.878308)
+ (xy 165.5775 57.21796)
+ (xy 165.597502 57.149839)
+ (xy 165.658963 57.100094)
+ (xy 165.664012 57.098186)
+ (xy 165.664014 57.098185)
+ (xy 165.669262 57.096202)
+ (xy 165.861785 56.980979)
+ (xy 165.866005 56.977297)
+ (xy 165.86601 56.977294)
+ (xy 166.026631 56.837175)
+ (xy 166.030861 56.833485)
+ (xy 166.071721 56.782484)
+ (xy 166.167641 56.662757)
+ (xy 166.167644 56.662752)
+ (xy 166.171147 56.65838)
+ (xy 166.264198 56.487)
+ (xy 166.275531 56.466128)
+ (xy 166.275532 56.466127)
+ (xy 166.278206 56.461201)
+ (xy 166.348657 56.248179)
+ (xy 166.371758 56.085861)
+ (xy 166.401157 56.021239)
+ (xy 166.460828 55.98277)
+ (xy 166.531825 55.982668)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 187.958077 67.654033)
+ (xy 188.017249 67.693265)
+ (xy 188.046376 67.763428)
+ (xy 188.047936 67.78126)
+ (xy 188.050337 67.793802)
+ (xy 188.096784 67.948949)
+ (xy 188.102562 67.962343)
+ (xy 188.175043 68.087884)
+ (xy 188.191781 68.156879)
+ (xy 188.15239 68.242533)
+ (xy 188.117925 68.275049)
+ (xy 188.108549 68.286224)
+ (xy 188.016829 68.425676)
+ (xy 188.015657 68.424905)
+ (xy 187.972651 68.470974)
+ (xy 187.903836 68.488441)
+ (xy 187.836503 68.465931)
+ (xy 187.792028 68.410591)
+ (xy 187.7825 68.362527)
+ (xy 187.7825 67.826243)
+ (xy 187.788404 67.788969)
+ (xy 187.792141 67.781634)
+ (xy 187.796407 67.7547)
+ (xy 187.826819 67.690546)
+ (xy 187.887087 67.653019)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 186.998379 64.8487)
+ (xy 187.002686 64.853433)
+ (xy 187.007921 64.861268)
+ (xy 187.015228 64.867217)
+ (xy 187.100277 64.936458)
+ (xy 187.114568 64.948093)
+ (xy 187.123299 64.951629)
+ (xy 187.1233 64.95163)
+ (xy 187.16502 64.968528)
+ (xy 187.242032 64.999721)
+ (xy 187.251417 65.000534)
+ (xy 187.251418 65.000534)
+ (xy 187.369661 65.010775)
+ (xy 187.369664 65.010775)
+ (xy 187.379041 65.011587)
+ (xy 187.388242 65.009606)
+ (xy 187.388244 65.009606)
+ (xy 187.472969 64.991365)
+ (xy 187.50297 64.984906)
+ (xy 187.573774 64.990123)
+ (xy 187.630519 65.032791)
+ (xy 187.655489 65.108084)
+ (xy 187.655489 65.866396)
+ (xy 187.618585 65.955491)
+ (xy 187.272982 66.301095)
+ (xy 187.183886 66.338)
+ (xy 186.91875 66.338)
+ (xy 186.793366 66.357859)
+ (xy 186.680256 66.415491)
+ (xy 186.590491 66.505256)
+ (xy 186.532859 66.618366)
+ (xy 186.513 66.74375)
+ (xy 186.513 67.65625)
+ (xy 186.532859 67.781634)
+ (xy 186.54859 67.812509)
+ (xy 186.561694 67.882284)
+ (xy 186.534994 67.948069)
+ (xy 186.476966 67.988975)
+ (xy 186.406035 67.992015)
+ (xy 186.347228 67.958805)
+ (xy 186.302071 67.913648)
+ (xy 186.298417 67.909839)
+ (xy 186.285861 67.896184)
+ (xy 186.25971 67.867745)
+ (xy 186.252411 67.86322)
+ (xy 186.252407 67.863216)
+ (xy 186.222211 67.844494)
+ (xy 186.212428 67.837771)
+ (xy 186.184134 67.816295)
+ (xy 186.184133 67.816294)
+ (xy 186.177292 67.811102)
+ (xy 186.163007 67.805446)
+ (xy 186.143007 67.795386)
+ (xy 186.140322 67.793722)
+ (xy 186.137247 67.791815)
+ (xy 186.137245 67.791814)
+ (xy 186.129948 67.78729)
+ (xy 186.087568 67.774977)
+ (xy 186.076343 67.771133)
+ (xy 186.043324 67.75806)
+ (xy 186.043318 67.758059)
+ (xy 186.035333 67.754897)
+ (xy 186.020071 67.753293)
+ (xy 185.998086 67.74898)
+ (xy 185.983331 67.744693)
+ (xy 185.976754 67.74421)
+ (xy 185.971558 67.743828)
+ (xy 185.971545 67.743828)
+ (xy 185.96925 67.743659)
+ (xy 185.935022 67.743659)
+ (xy 185.921851 67.742969)
+ (xy 185.919359 67.742707)
+ (xy 185.883491 67.738937)
+ (xy 185.865997 67.741896)
+ (xy 185.844995 67.743659)
+ (xy 185.590853 67.743659)
+ (xy 185.522732 67.723657)
+ (xy 185.476239 67.670001)
+ (xy 185.466135 67.599727)
+ (xy 185.501758 67.528564)
+ (xy 185.776951 67.253371)
+ (xy 185.780387 67.248229)
+ (xy 185.78039 67.248225)
+ (xy 185.821433 67.1868)
+ (xy 185.821434 67.186798)
+ (xy 185.828326 67.176483)
+ (xy 185.831178 67.162149)
+ (xy 185.843946 67.097958)
+ (xy 185.846367 67.085787)
+ (xy 185.828326 66.995091)
+ (xy 185.821793 66.985314)
+ (xy 185.821792 66.900914)
+ (xy 185.818479 66.900026)
+ (xy 185.824855 66.87623)
+ (xy 185.842735 66.740421)
+ (xy 185.842735 66.724045)
+ (xy 185.824855 66.588236)
+ (xy 185.820616 66.572416)
+ (xy 185.768196 66.445863)
+ (xy 185.760009 66.431682)
+ (xy 185.728671 66.390841)
+ (xy 185.717079 66.382377)
+ (xy 185.705102 66.38901)
+ (xy 184.823417 67.270695)
+ (xy 184.761105 67.304721)
+ (xy 184.69029 67.299656)
+ (xy 184.645227 67.270695)
+ (xy 184.611285 67.236753)
+ (xy 184.577259 67.174441)
+ (xy 184.582324 67.103626)
+ (xy 184.611285 67.058563)
+ (xy 185.492699 66.177149)
+ (xy 185.500313 66.163205)
+ (xy 185.499221 66.147934)
+ (xy 185.48651 66.113222)
+ (xy 185.523145 66.015889)
+ (xy 186.694629 64.844405)
+ (xy 186.783724 64.8075)
+ (xy 186.905185 64.8075)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 176.190906 63.794405)
+ (xy 176.883873 64.487373)
+ (xy 176.917898 64.549685)
+ (xy 176.912833 64.620501)
+ (xy 176.864775 64.681235)
+ (xy 176.855585 64.687375)
+ (xy 176.855583 64.687377)
+ (xy 176.850436 64.690816)
+ (xy 176.74437 64.796882)
+ (xy 176.740934 64.802024)
+ (xy 176.740931 64.802028)
+ (xy 176.699888 64.863453)
+ (xy 176.699887 64.863455)
+ (xy 176.692995 64.87377)
+ (xy 176.690575 64.885937)
+ (xy 176.690574 64.885939)
+ (xy 176.689639 64.890641)
+ (xy 176.656732 64.953551)
+ (xy 176.590641 64.989639)
+ (xy 176.585939 64.990574)
+ (xy 176.585937 64.990575)
+ (xy 176.57377 64.992995)
+ (xy 176.563455 64.999887)
+ (xy 176.563453 64.999888)
+ (xy 176.502028 65.040931)
+ (xy 176.502024 65.040934)
+ (xy 176.496882 65.04437)
+ (xy 176.390816 65.150436)
+ (xy 176.38738 65.155578)
+ (xy 176.387377 65.155582)
+ (xy 176.346334 65.217007)
+ (xy 176.346333 65.217009)
+ (xy 176.339441 65.227324)
+ (xy 176.337021 65.239491)
+ (xy 176.33702 65.239493)
+ (xy 176.336085 65.244195)
+ (xy 176.303177 65.307105)
+ (xy 176.237088 65.343192)
+ (xy 176.232386 65.344127)
+ (xy 176.232384 65.344128)
+ (xy 176.220217 65.346548)
+ (xy 176.209902 65.35344)
+ (xy 176.2099 65.353441)
+ (xy 176.148475 65.394484)
+ (xy 176.148471 65.394487)
+ (xy 176.143329 65.397923)
+ (xy 176.037263 65.503989)
+ (xy 176.033827 65.509131)
+ (xy 176.033824 65.509135)
+ (xy 175.992781 65.57056)
+ (xy 175.99278 65.570562)
+ (xy 175.985888 65.580877)
+ (xy 175.983468 65.593044)
+ (xy 175.983467 65.593046)
+ (xy 175.982532 65.597748)
+ (xy 175.949624 65.660658)
+ (xy 175.883535 65.696745)
+ (xy 175.878833 65.69768)
+ (xy 175.878831 65.697681)
+ (xy 175.866664 65.700101)
+ (xy 175.856349 65.706993)
+ (xy 175.856347 65.706994)
+ (xy 175.794922 65.748037)
+ (xy 175.794918 65.74804)
+ (xy 175.789776 65.751476)
+ (xy 175.68371 65.857542)
+ (xy 175.680274 65.862684)
+ (xy 175.680271 65.862688)
+ (xy 175.639228 65.924113)
+ (xy 175.639227 65.924115)
+ (xy 175.632335 65.93443)
+ (xy 175.629915 65.946597)
+ (xy 175.629914 65.946599)
+ (xy 175.628979 65.951301)
+ (xy 175.596072 66.014211)
+ (xy 175.529981 66.050299)
+ (xy 175.525279 66.051234)
+ (xy 175.525277 66.051235)
+ (xy 175.51311 66.053655)
+ (xy 175.502795 66.060547)
+ (xy 175.502793 66.060548)
+ (xy 175.441368 66.101591)
+ (xy 175.441364 66.101594)
+ (xy 175.436222 66.10503)
+ (xy 175.36656 66.174692)
+ (xy 175.304252 66.208715)
+ (xy 175.233436 66.203651)
+ (xy 175.188373 66.17469)
+ (xy 175.101406 66.087723)
+ (xy 175.064501 65.998628)
+ (xy 175.064501 64.881946)
+ (xy 175.066922 64.857364)
+ (xy 175.06708 64.85657)
+ (xy 175.06708 64.856568)
+ (xy 175.069501 64.844398)
+ (xy 175.060049 64.796882)
+ (xy 175.049519 64.743945)
+ (xy 174.992617 64.658783)
+ (xy 174.982302 64.651891)
+ (xy 174.9823 64.651889)
+ (xy 174.981622 64.651436)
+ (xy 174.962529 64.635767)
+ (xy 174.701234 64.374473)
+ (xy 174.685563 64.355377)
+ (xy 174.685113 64.354704)
+ (xy 174.678218 64.344384)
+ (xy 174.667561 64.337263)
+ (xy 174.603374 64.294376)
+ (xy 174.593056 64.287482)
+ (xy 174.517738 64.2725)
+ (xy 174.517737 64.2725)
+ (xy 174.492602 64.2675)
+ (xy 174.480432 64.269921)
+ (xy 174.48043 64.269921)
+ (xy 174.479636 64.270079)
+ (xy 174.455054 64.2725)
+ (xy 173.807369 64.2725)
+ (xy 173.739248 64.252498)
+ (xy 173.692755 64.198842)
+ (xy 173.682651 64.128568)
+ (xy 173.71466 64.064624)
+ (xy 173.714551 64.064551)
+ (xy 173.715132 64.063681)
+ (xy 173.730903 64.040079)
+ (xy 173.742775 64.022312)
+ (xy 173.742776 64.022309)
+ (xy 173.749668 64.011995)
+ (xy 173.753037 63.995061)
+ (xy 173.760793 63.956067)
+ (xy 173.762 63.95)
+ (xy 173.762 63.8835)
+ (xy 173.782002 63.815379)
+ (xy 173.835658 63.768886)
+ (xy 173.888 63.7575)
+ (xy 176.10181 63.7575)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 187.028 62.121001)
+ (xy 187.096121 62.141003)
+ (xy 187.142614 62.194659)
+ (xy 187.154 62.247001)
+ (xy 187.153999 63.393441)
+ (xy 187.158294 63.408069)
+ (xy 187.170271 63.410132)
+ (xy 187.261435 63.402157)
+ (xy 187.273966 63.399759)
+ (xy 187.427724 63.353727)
+ (xy 187.441118 63.347949)
+ (xy 187.466489 63.333301)
+ (xy 187.535484 63.316563)
+ (xy 187.602576 63.339783)
+ (xy 187.646463 63.39559)
+ (xy 187.655489 63.44242)
+ (xy 187.655489 63.992777)
+ (xy 187.635487 64.060898)
+ (xy 187.581831 64.107391)
+ (xy 187.501039 64.115523)
+ (xy 187.395465 64.091053)
+ (xy 187.395463 64.091053)
+ (xy 187.386287 64.088926)
+ (xy 187.376891 64.089591)
+ (xy 187.376888 64.089591)
+ (xy 187.258511 64.097972)
+ (xy 187.258509 64.097973)
+ (xy 187.249109 64.098638)
+ (xy 187.12085 64.148258)
+ (xy 187.012852 64.233397)
+ (xy 187.007495 64.241148)
+ (xy 187.001051 64.24801)
+ (xy 186.999351 64.246413)
+ (xy 186.954397 64.282803)
+ (xy 186.905923 64.2925)
+ (xy 186.662421 64.2925)
+ (xy 186.637839 64.290079)
+ (xy 186.637045 64.289921)
+ (xy 186.624874 64.2875)
+ (xy 186.524419 64.307482)
+ (xy 186.490499 64.330147)
+ (xy 186.490499 64.330146)
+ (xy 186.490496 64.330149)
+ (xy 186.449578 64.357488)
+ (xy 186.449576 64.35749)
+ (xy 186.439258 64.364384)
+ (xy 186.432362 64.374705)
+ (xy 186.431909 64.375382)
+ (xy 186.416246 64.394468)
+ (xy 185.629595 65.181119)
+ (xy 185.567285 65.215143)
+ (xy 185.49647 65.210079)
+ (xy 185.439634 65.167532)
+ (xy 185.414502 65.092023)
+ (xy 185.414502 63.770185)
+ (xy 185.416923 63.745603)
+ (xy 185.417081 63.744809)
+ (xy 185.419502 63.732638)
+ (xy 185.39952 63.632183)
+ (xy 185.392627 63.621868)
+ (xy 185.392625 63.621862)
+ (xy 185.381503 63.605218)
+ (xy 185.360287 63.537466)
+ (xy 185.379069 63.468999)
+ (xy 185.431886 63.421555)
+ (xy 185.475285 63.409694)
+ (xy 185.561435 63.402157)
+ (xy 185.573967 63.399758)
+ (xy 185.727724 63.353727)
+ (xy 185.741118 63.347949)
+ (xy 185.879308 63.268165)
+ (xy 185.891009 63.259454)
+ (xy 185.963303 63.191248)
+ (xy 186.026579 63.15905)
+ (xy 186.097217 63.166172)
+ (xy 186.141418 63.19643)
+ (xy 186.175045 63.232072)
+ (xy 186.186224 63.241452)
+ (xy 186.319542 63.329137)
+ (xy 186.332573 63.335681)
+ (xy 186.48253 63.390261)
+ (xy 186.496707 63.393621)
+ (xy 186.628004 63.408968)
+ (xy 186.642454 63.406498)
+ (xy 186.646 63.393804)
+ (xy 186.646001 62.647115)
+ (xy 186.641526 62.631876)
+ (xy 186.640136 62.630671)
+ (xy 186.632453 62.629)
+ (xy 186.223003 62.628999)
+ (xy 186.222997 62.629)
+ (xy 185.072 62.628999)
+ (xy 185.003879 62.608997)
+ (xy 184.957386 62.555341)
+ (xy 184.946 62.502999)
+ (xy 184.946001 62.247)
+ (xy 184.966003 62.178879)
+ (xy 185.019659 62.132386)
+ (xy 185.072001 62.121)
+ (xy 185.876997 62.121001)
+ (xy 185.877003 62.121)
+ (xy 186.645998 62.121001)
+ (xy 186.646004 62.121)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 204.448409 64.827502)
+ (xy 204.494902 64.881158)
+ (xy 204.505006 64.951432)
+ (xy 204.475102 65.01117)
+ (xy 204.477137 65.012648)
+ (xy 204.47131 65.020668)
+ (xy 204.464298 65.02768)
+ (xy 204.407553 65.139048)
+ (xy 204.406002 65.148838)
+ (xy 204.406002 65.148839)
+ (xy 204.402599 65.170324)
+ (xy 204.388 65.2625)
+ (xy 204.388 65.7375)
+ (xy 204.394099 65.776007)
+ (xy 204.405542 65.848253)
+ (xy 204.407553 65.860952)
+ (xy 204.464298 65.97232)
+ (xy 204.55268 66.060702)
+ (xy 204.664048 66.117447)
+ (xy 204.673838 66.118998)
+ (xy 204.673839 66.118998)
+ (xy 204.710147 66.124748)
+ (xy 204.7875 66.137)
+ (xy 204.871386 66.137)
+ (xy 204.960481 66.173905)
+ (xy 205.174098 66.387522)
+ (xy 205.177752 66.391331)
+ (xy 205.216449 66.433414)
+ (xy 205.253964 66.456674)
+ (xy 205.26371 66.463372)
+ (xy 205.279182 66.475116)
+ (xy 205.321347 66.532231)
+ (xy 205.329 66.575476)
+ (xy 205.329 67.227885)
+ (xy 205.333475 67.243124)
+ (xy 205.334865 67.244329)
+ (xy 205.342548 67.246)
+ (xy 206.093441 67.246)
+ (xy 206.108069 67.241705)
+ (xy 206.110132 67.229728)
+ (xy 206.102157 67.138565)
+ (xy 206.099759 67.126034)
+ (xy 206.053727 66.972276)
+ (xy 206.047949 66.958882)
+ (xy 205.968165 66.820692)
+ (xy 205.959451 66.808986)
+ (xy 205.922637 66.769966)
+ (xy 205.890439 66.706691)
+ (xy 205.897561 66.636052)
+ (xy 205.941743 66.580478)
+ (xy 206.014286 66.5575)
+ (xy 206.413603 66.5575)
+ (xy 206.481724 66.577502)
+ (xy 206.521888 66.619077)
+ (xy 206.556524 66.677294)
+ (xy 206.559922 66.683006)
+ (xy 206.700132 66.842885)
+ (xy 206.704667 66.84646)
+ (xy 206.704668 66.846461)
+ (xy 206.828387 66.943994)
+ (xy 206.8695 67.001876)
+ (xy 206.872794 67.072796)
+ (xy 206.837222 67.134238)
+ (xy 206.807577 67.153694)
+ (xy 206.808181 67.154849)
+ (xy 206.794749 67.161872)
+ (xy 206.597963 67.285794)
+ (xy 206.589882 67.291839)
+ (xy 206.415435 67.445635)
+ (xy 206.408424 67.452896)
+ (xy 206.260812 67.6326)
+ (xy 206.255059 67.640878)
+ (xy 206.224869 67.692749)
+ (xy 206.173315 67.741562)
+ (xy 206.111071 67.747813)
+ (xy 206.111071 67.754)
+ (xy 205.347115 67.754)
+ (xy 205.331876 67.758475)
+ (xy 205.330671 67.759865)
+ (xy 205.329 67.767548)
+ (xy 205.329 68.488)
+ (xy 205.327798 68.488)
+ (xy 205.328655 68.512)
+ (xy 205.329 68.512)
+ (xy 205.329 68.521665)
+ (xy 205.329119 68.524998)
+ (xy 205.329 68.525545)
+ (xy 205.328999 69.227885)
+ (xy 205.333474 69.243124)
+ (xy 205.334864 69.244329)
+ (xy 205.342547 69.246)
+ (xy 206.111556 69.246001)
+ (xy 206.111556 69.249813)
+ (xy 206.151453 69.249813)
+ (xy 206.220168 69.299886)
+ (xy 206.318014 69.443861)
+ (xy 206.324346 69.451736)
+ (xy 206.484123 69.620696)
+ (xy 206.491632 69.627457)
+ (xy 206.676375 69.768704)
+ (xy 206.684854 69.774168)
+ (xy 206.814675 69.843777)
+ (xy 206.865258 69.893595)
+ (xy 206.880878 69.962852)
+ (xy 206.856574 70.029559)
+ (xy 206.830523 70.055779)
+ (xy 206.72247 70.136466)
+ (xy 206.697063 70.163951)
+ (xy 206.620849 70.246399)
+ (xy 206.578123 70.292619)
+ (xy 206.520632 70.383737)
+ (xy 206.467366 70.430674)
+ (xy 206.414071 70.4425)
+ (xy 206.018648 70.4425)
+ (xy 205.950527 70.422498)
+ (xy 205.904034 70.368842)
+ (xy 205.89393 70.298568)
+ (xy 205.92865 70.231655)
+ (xy 205.927359 70.230572)
+ (xy 205.941452 70.213776)
+ (xy 206.029137 70.080458)
+ (xy 206.035681 70.067427)
+ (xy 206.090261 69.91747)
+ (xy 206.093621 69.903293)
+ (xy 206.108968 69.771996)
+ (xy 206.106498 69.757546)
+ (xy 206.093804 69.754)
+ (xy 205.347115 69.753999)
+ (xy 205.331876 69.758474)
+ (xy 205.330671 69.759864)
+ (xy 205.329 69.767547)
+ (xy 205.328999 70.422004)
+ (xy 205.308997 70.490125)
+ (xy 205.282294 70.519924)
+ (xy 205.271679 70.52852)
+ (xy 205.253083 70.541015)
+ (xy 205.239623 70.548415)
+ (xy 205.228935 70.557641)
+ (xy 205.227298 70.559278)
+ (xy 205.204736 70.581841)
+ (xy 205.194932 70.590668)
+ (xy 205.164955 70.614942)
+ (xy 205.15998 70.621943)
+ (xy 205.154678 70.629403)
+ (xy 205.141068 70.645508)
+ (xy 205.036766 70.749811)
+ (xy 204.960482 70.826095)
+ (xy 204.871386 70.863)
+ (xy 204.7875 70.863)
+ (xy 204.725184 70.87287)
+ (xy 204.673839 70.881002)
+ (xy 204.673838 70.881002)
+ (xy 204.664048 70.882553)
+ (xy 204.55268 70.939298)
+ (xy 204.464298 71.02768)
+ (xy 204.407553 71.139048)
+ (xy 204.406002 71.148838)
+ (xy 204.406002 71.148839)
+ (xy 204.405053 71.154832)
+ (xy 204.388 71.2625)
+ (xy 204.388 71.7375)
+ (xy 204.407553 71.860952)
+ (xy 204.464298 71.97232)
+ (xy 204.55268 72.060702)
+ (xy 204.664048 72.117447)
+ (xy 204.673838 72.118998)
+ (xy 204.673839 72.118998)
+ (xy 204.695875 72.122488)
+ (xy 204.7875 72.137)
+ (xy 205.3625 72.137)
+ (xy 205.454125 72.122488)
+ (xy 205.476161 72.118998)
+ (xy 205.476162 72.118998)
+ (xy 205.485952 72.117447)
+ (xy 205.59732 72.060702)
+ (xy 205.685702 71.97232)
+ (xy 205.742447 71.860952)
+ (xy 205.762 71.7375)
+ (xy 205.762 71.6835)
+ (xy 205.782002 71.615379)
+ (xy 205.835658 71.568886)
+ (xy 205.888 71.5575)
+ (xy 206.413603 71.5575)
+ (xy 206.481724 71.577502)
+ (xy 206.521888 71.619077)
+ (xy 206.5538 71.672715)
+ (xy 206.559922 71.683006)
+ (xy 206.700132 71.842885)
+ (xy 206.704667 71.84646)
+ (xy 206.704668 71.846461)
+ (xy 206.832044 71.946876)
+ (xy 206.867129 71.974535)
+ (xy 206.872245 71.977226)
+ (xy 206.872247 71.977228)
+ (xy 207.050203 72.070855)
+ (xy 207.055322 72.073548)
+ (xy 207.100087 72.087448)
+ (xy 207.252892 72.134896)
+ (xy 207.252897 72.134897)
+ (xy 207.258407 72.136608)
+ (xy 207.287746 72.140081)
+ (xy 207.427013 72.156564)
+ (xy 207.427019 72.156564)
+ (xy 207.4307 72.157)
+ (xy 207.954414 72.157)
+ (xy 208.040497 72.14909)
+ (xy 208.106004 72.143071)
+ (xy 208.106007 72.14307)
+ (xy 208.111758 72.142542)
+ (xy 208.146006 72.132883)
+ (xy 208.216998 72.133644)
+ (xy 208.276309 72.172666)
+ (xy 208.305109 72.237559)
+ (xy 208.294252 72.307721)
+ (xy 208.269301 72.343248)
+ (xy 207.706954 72.905595)
+ (xy 207.617859 72.9425)
+ (xy 203.133114 72.9425)
+ (xy 203.044019 72.905595)
+ (xy 201.715926 71.577502)
+ (xy 200.384822 70.246399)
+ (xy 200.350796 70.184087)
+ (xy 200.35151 70.174105)
+ (xy 200.278601 70.140178)
+ (xy 200.038791 69.900368)
+ (xy 200.004765 69.838056)
+ (xy 200.003437 69.791561)
+ (xy 200.003526 69.791003)
+ (xy 200.009138 69.755567)
+ (xy 200.011226 69.742388)
+ (xy 200.011226 69.742385)
+ (xy 200.012 69.7375)
+ (xy 200.012 69.633999)
+ (xy 200.032002 69.565878)
+ (xy 200.085658 69.519385)
+ (xy 200.155932 69.509281)
+ (xy 200.212921 69.537809)
+ (xy 200.214385 69.535617)
+ (xy 200.225385 69.542967)
+ (xy 200.244478 69.558637)
+ (xy 200.341363 69.655522)
+ (xy 200.357033 69.674615)
+ (xy 200.364383 69.685615)
+ (xy 200.361551 69.687507)
+ (xy 200.38844 69.740279)
+ (xy 200.403927 69.838056)
+ (xy 200.407553 69.860952)
+ (xy 200.464298 69.97232)
+ (xy 200.469981 69.978003)
+ (xy 200.491862 70.039326)
+ (xy 200.547095 70.055117)
+ (xy 200.55268 70.060702)
+ (xy 200.664048 70.117447)
+ (xy 200.673838 70.118998)
+ (xy 200.673839 70.118998)
+ (xy 200.710147 70.124748)
+ (xy 200.7875 70.137)
+ (xy 200.982714 70.137)
+ (xy 201.029097 70.145848)
+ (xy 201.047828 70.153264)
+ (xy 201.056372 70.154162)
+ (xy 201.063089 70.154868)
+ (xy 201.085075 70.159181)
+ (xy 201.09983 70.163468)
+ (xy 201.106407 70.163951)
+ (xy 201.111603 70.164333)
+ (xy 201.111616 70.164333)
+ (xy 201.113911 70.164502)
+ (xy 201.148139 70.164502)
+ (xy 201.161309 70.165192)
+ (xy 201.19967 70.169224)
+ (xy 201.217161 70.166266)
+ (xy 201.238171 70.164502)
+ (xy 202.641501 70.164502)
+ (xy 202.709622 70.184504)
+ (xy 202.756115 70.23816)
+ (xy 202.767501 70.290501)
+ (xy 202.7675 70.609536)
+ (xy 202.7675 70.922288)
+ (xy 202.730595 71.011383)
+ (xy 202.714298 71.02768)
+ (xy 202.657553 71.139048)
+ (xy 202.656002 71.148838)
+ (xy 202.656002 71.148839)
+ (xy 202.655053 71.154832)
+ (xy 202.638 71.2625)
+ (xy 202.638 71.7375)
+ (xy 202.657553 71.860952)
+ (xy 202.714298 71.97232)
+ (xy 202.80268 72.060702)
+ (xy 202.914048 72.117447)
+ (xy 202.923838 72.118998)
+ (xy 202.923839 72.118998)
+ (xy 202.945875 72.122488)
+ (xy 203.0375 72.137)
+ (xy 203.6125 72.137)
+ (xy 203.704125 72.122488)
+ (xy 203.726161 72.118998)
+ (xy 203.726162 72.118998)
+ (xy 203.735952 72.117447)
+ (xy 203.84732 72.060702)
+ (xy 203.935702 71.97232)
+ (xy 203.992447 71.860952)
+ (xy 204.012 71.7375)
+ (xy 204.012 71.2625)
+ (xy 203.994947 71.154832)
+ (xy 203.993998 71.148839)
+ (xy 203.993998 71.148838)
+ (xy 203.992447 71.139048)
+ (xy 203.935702 71.02768)
+ (xy 203.919405 71.011383)
+ (xy 203.8825 70.922288)
+ (xy 203.8825 70.131083)
+ (xy 203.902502 70.062962)
+ (xy 203.956158 70.016469)
+ (xy 204.026432 70.006365)
+ (xy 204.091012 70.035859)
+ (xy 204.117619 70.068083)
+ (xy 204.181835 70.179308)
+ (xy 204.190546 70.191009)
+ (xy 204.30005 70.307077)
+ (xy 204.311224 70.316452)
+ (xy 204.444542 70.404137)
+ (xy 204.457573 70.410681)
+ (xy 204.60753 70.465261)
+ (xy 204.621708 70.468621)
+ (xy 204.783856 70.487574)
+ (xy 204.791169 70.488)
+ (xy 204.802885 70.488)
+ (xy 204.818124 70.483525)
+ (xy 204.819329 70.482135)
+ (xy 204.821 70.474452)
+ (xy 204.821001 69.754)
+ (xy 204.821 69.753996)
+ (xy 204.821001 68.523003)
+ (xy 204.821 68.522997)
+ (xy 204.821 68.512)
+ (xy 204.822202 68.512)
+ (xy 204.821345 68.488)
+ (xy 204.821 68.488)
+ (xy 204.821 68.478334)
+ (xy 204.820881 68.475002)
+ (xy 204.821 68.474455)
+ (xy 204.821 66.530115)
+ (xy 204.816525 66.514876)
+ (xy 204.815135 66.513671)
+ (xy 204.807452 66.512)
+ (xy 204.79024 66.512)
+ (xy 204.784776 66.512238)
+ (xy 204.663565 66.522843)
+ (xy 204.651033 66.525242)
+ (xy 204.497276 66.571273)
+ (xy 204.483882 66.577051)
+ (xy 204.345692 66.656835)
+ (xy 204.333991 66.665546)
+ (xy 204.217923 66.77505)
+ (xy 204.208548 66.786224)
+ (xy 204.116829 66.925676)
+ (xy 204.115657 66.924905)
+ (xy 204.072651 66.970974)
+ (xy 204.003836 66.988441)
+ (xy 203.936503 66.965931)
+ (xy 203.892028 66.910591)
+ (xy 203.8825 66.862527)
+ (xy 203.8825 66.077712)
+ (xy 203.919405 65.988617)
+ (xy 203.935702 65.97232)
+ (xy 203.992447 65.860952)
+ (xy 203.994459 65.848253)
+ (xy 204.005901 65.776007)
+ (xy 204.012 65.7375)
+ (xy 204.012 65.2625)
+ (xy 203.997401 65.170324)
+ (xy 203.993998 65.148839)
+ (xy 203.993998 65.148838)
+ (xy 203.992447 65.139048)
+ (xy 203.935702 65.02768)
+ (xy 203.92869 65.020668)
+ (xy 203.922863 65.012648)
+ (xy 203.924526 65.01144)
+ (xy 203.896591 64.960283)
+ (xy 203.901656 64.889468)
+ (xy 203.944203 64.832632)
+ (xy 204.019712 64.8075)
+ (xy 204.380288 64.8075)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 181.138057 54.030271)
+ (xy 181.194892 54.072818)
+ (xy 181.21492 54.112829)
+ (xy 181.216475 54.118124)
+ (xy 181.217865 54.119329)
+ (xy 181.225548 54.121)
+ (xy 182.328 54.121001)
+ (xy 182.396121 54.141003)
+ (xy 182.442614 54.194659)
+ (xy 182.454 54.247001)
+ (xy 182.453999 54.503)
+ (xy 182.433997 54.571121)
+ (xy 182.380341 54.617614)
+ (xy 182.327999 54.629)
+ (xy 181.230115 54.628999)
+ (xy 181.214876 54.633474)
+ (xy 181.213671 54.634864)
+ (xy 181.212 54.642547)
+ (xy 181.212 54.65976)
+ (xy 181.212238 54.665224)
+ (xy 181.222843 54.786435)
+ (xy 181.225241 54.798967)
+ (xy 181.264579 54.930363)
+ (xy 181.264954 55.001358)
+ (xy 181.226887 55.061287)
+ (xy 181.143872 55.0925)
+ (xy 180.513 55.0925)
+ (xy 180.444879 55.072498)
+ (xy 180.398386 55.018842)
+ (xy 180.387 54.9665)
+ (xy 180.387 54.82)
+ (xy 180.37644 54.766913)
+ (xy 180.382768 54.696199)
+ (xy 180.410924 54.653235)
+ (xy 181.004929 54.059231)
+ (xy 181.067241 54.025206)
+ )
+ )
+ )
+)
diff --git a/pcb/OtterPill.kicad_prl b/pcb/OtterPill.kicad_prl
new file mode 100644
index 0000000..8c439c7
--- /dev/null
+++ b/pcb/OtterPill.kicad_prl
@@ -0,0 +1,72 @@
+{
+ "board": {
+ "active_layer": 36,
+ "active_layer_preset": "",
+ "hidden_nets": [],
+ "high_contrast_mode": 1,
+ "net_color_mode": 1,
+ "opacity": {
+ "pads": 1.0,
+ "tracks": 1.0,
+ "vias": 1.0,
+ "zones": 0.6
+ },
+ "ratsnest_display_mode": 0,
+ "selection_filter": {
+ "dimensions": true,
+ "footprints": true,
+ "graphics": true,
+ "keepouts": true,
+ "lockedItems": true,
+ "otherItems": true,
+ "pads": true,
+ "text": true,
+ "tracks": true,
+ "vias": true,
+ "zones": true
+ },
+ "visible_items": [
+ 0,
+ 1,
+ 2,
+ 3,
+ 4,
+ 5,
+ 6,
+ 7,
+ 8,
+ 9,
+ 10,
+ 11,
+ 12,
+ 13,
+ 14,
+ 15,
+ 16,
+ 17,
+ 18,
+ 19,
+ 20,
+ 21,
+ 22,
+ 23,
+ 24,
+ 25,
+ 26,
+ 27,
+ 28,
+ 29,
+ 30,
+ 36,
+ 37
+ ],
+ "visible_layers": "000ffff_80000001"
+ },
+ "meta": {
+ "filename": "OtterPill.kicad_prl",
+ "version": 2
+ },
+ "project": {
+ "files": []
+ }
+}
diff --git a/pcb/OtterPill.kicad_pro b/pcb/OtterPill.kicad_pro
new file mode 100644
index 0000000..9e837dd
--- /dev/null
+++ b/pcb/OtterPill.kicad_pro
@@ -0,0 +1,423 @@
+{
+ "board": {
+ "design_settings": {
+ "defaults": {
+ "board_outline_line_width": 0.049999999999999996,
+ "copper_line_width": 0.09999999999999999,
+ "copper_text_italic": false,
+ "copper_text_size_h": 1.5,
+ "copper_text_size_v": 1.5,
+ "copper_text_thickness": 0.3,
+ "copper_text_upright": true,
+ "courtyard_line_width": 0.049999999999999996,
+ "dimension_precision": 4,
+ "dimension_units": 3,
+ "dimensions": {
+ "arrow_length": 1270000,
+ "extension_offset": 500000,
+ "keep_text_aligned": true,
+ "suppress_zeroes": false,
+ "text_position": 0,
+ "units_format": 1
+ },
+ "fab_line_width": 0.09999999999999999,
+ "fab_text_italic": false,
+ "fab_text_size_h": 1.0,
+ "fab_text_size_v": 1.0,
+ "fab_text_thickness": 0.15,
+ "fab_text_upright": false,
+ "other_line_width": 0.15,
+ "other_text_italic": false,
+ "other_text_size_h": 1.0,
+ "other_text_size_v": 1.0,
+ "other_text_thickness": 0.15,
+ "other_text_upright": true,
+ "pads": {
+ "drill": 0.762,
+ "height": 1.524,
+ "width": 1.524
+ },
+ "silk_line_width": 0.09999999999999999,
+ "silk_text_italic": false,
+ "silk_text_size_h": 0.44999999999999996,
+ "silk_text_size_v": 0.44999999999999996,
+ "silk_text_thickness": 0.11249999999999999,
+ "silk_text_upright": true,
+ "zones": {
+ "45_degree_only": false,
+ "min_clearance": 0.508
+ }
+ },
+ "diff_pair_dimensions": [
+ {
+ "gap": 0.0,
+ "via_gap": 0.0,
+ "width": 0.0
+ }
+ ],
+ "drc_exclusions": [],
+ "meta": {
+ "version": 1
+ },
+ "rule_severities": {
+ "annular_width": "error",
+ "clearance": "error",
+ "copper_edge_clearance": "error",
+ "courtyards_overlap": "error",
+ "diff_pair_gap_out_of_range": "error",
+ "diff_pair_uncoupled_length_too_long": "error",
+ "drill_too_small": "error",
+ "duplicate_footprints": "warning",
+ "extra_footprint": "warning",
+ "hole_clearance": "error",
+ "hole_near_hole": "error",
+ "invalid_outline": "error",
+ "item_on_disabled_layer": "error",
+ "items_not_allowed": "error",
+ "keepout": "error",
+ "length_out_of_range": "error",
+ "malformed_courtyard": "error",
+ "microvia_drill_too_small": "error",
+ "missing_courtyard": "ignore",
+ "missing_footprint": "warning",
+ "net_conflict": "warning",
+ "npth_inside_courtyard": "ignore",
+ "padstack": "error",
+ "pth_inside_courtyard": "ignore",
+ "shorting_items": "error",
+ "silk_over_copper": "error",
+ "silk_overlap": "error",
+ "skew_out_of_range": "error",
+ "too_many_vias": "error",
+ "track_dangling": "warning",
+ "track_width": "error",
+ "tracks_crossing": "error",
+ "unconnected_items": "error",
+ "unresolved_variable": "error",
+ "via_dangling": "warning",
+ "via_hole_larger_than_pad": "error",
+ "zone_has_empty_net": "error",
+ "zones_intersect": "error"
+ },
+ "rule_severitieslegacy_courtyards_overlap": false,
+ "rule_severitieslegacy_no_courtyard_defined": false,
+ "rules": {
+ "allow_blind_buried_vias": false,
+ "allow_microvias": false,
+ "max_error": 0.005,
+ "min_clearance": 0.0,
+ "min_copper_edge_clearance": 0.01,
+ "min_hole_to_hole": 0.25,
+ "min_microvia_diameter": 0.19999999999999998,
+ "min_microvia_drill": 0.09999999999999999,
+ "min_silk_clearance": 0.0,
+ "min_through_hole_diameter": 0.3,
+ "min_track_width": 0.157,
+ "min_via_annular_width": 0.049999999999999996,
+ "min_via_diameter": 0.39999999999999997,
+ "solder_mask_clearance": 0.0,
+ "solder_mask_min_width": 0.0,
+ "solder_paste_clearance": 0.0,
+ "solder_paste_margin_ratio": -0.0
+ },
+ "track_widths": [
+ 0.157,
+ 0.157,
+ 0.2,
+ 0.4,
+ 0.6,
+ 0.8
+ ],
+ "via_dimensions": [
+ {
+ "diameter": 0.6,
+ "drill": 0.3
+ },
+ {
+ "diameter": 0.6,
+ "drill": 0.3
+ },
+ {
+ "diameter": 0.8,
+ "drill": 0.4
+ }
+ ],
+ "zones_allow_external_fillets": false,
+ "zones_use_no_outline": true
+ },
+ "layer_presets": []
+ },
+ "boards": [],
+ "cvpcb": {
+ "equivalence_files": []
+ },
+ "erc": {
+ "meta": {
+ "version": 0
+ },
+ "pin_map": [
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 0,
+ 1,
+ 0,
+ 1,
+ 0,
+ 2,
+ 2,
+ 2,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 1,
+ 0,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 1,
+ 1,
+ 2,
+ 1,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 1,
+ 2,
+ 0,
+ 1,
+ 0,
+ 2,
+ 2,
+ 2,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 0,
+ 1,
+ 0,
+ 1,
+ 0,
+ 2,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 1,
+ 1,
+ 0,
+ 1,
+ 0,
+ 2,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2
+ ]
+ ],
+ "rule_severities": {
+ "bus_definition_conflict": "error",
+ "bus_label_syntax": "error",
+ "bus_to_bus_conflict": "error",
+ "bus_to_net_conflict": "error",
+ "different_unit_footprint": "error",
+ "different_unit_net": "error",
+ "duplicate_sheet_names": "error",
+ "global_label_dangling": "error",
+ "hier_label_mismatch": "error",
+ "label_dangling": "error",
+ "multiple_net_names": "error",
+ "net_not_bus_member": "error",
+ "no_connect_connected": "error",
+ "no_connect_dangling": "error",
+ "pin_not_connected": "error",
+ "pin_not_driven": "error",
+ "pin_to_pin": "warning",
+ "similar_labels": "error",
+ "unresolved_variable": "error",
+ "wire_dangling": "error"
+ }
+ },
+ "libraries": {
+ "pinned_footprint_libs": [],
+ "pinned_symbol_libs": []
+ },
+ "meta": {
+ "filename": "OtterPill.kicad_pro",
+ "version": 1
+ },
+ "net_settings": {
+ "classes": [
+ {
+ "bus_width": 12.0,
+ "clearance": 0.157,
+ "diff_pair_gap": 0.25,
+ "diff_pair_via_gap": 0.25,
+ "diff_pair_width": 0.2,
+ "line_style": 0,
+ "microvia_diameter": 0.3,
+ "microvia_drill": 0.1,
+ "name": "Default",
+ "pcb_color": "rgba(0, 0, 0, 0.000)",
+ "schematic_color": "rgba(0, 0, 0, 0.000)",
+ "track_width": 0.157,
+ "via_diameter": 0.6,
+ "via_drill": 0.3,
+ "wire_width": 6.0
+ },
+ {
+ "bus_width": 12.0,
+ "clearance": 0.157,
+ "diff_pair_gap": 0.25,
+ "diff_pair_via_gap": 0.25,
+ "diff_pair_width": 0.2,
+ "line_style": 0,
+ "microvia_diameter": 0.3,
+ "microvia_drill": 0.1,
+ "name": "signal",
+ "nets": [],
+ "pcb_color": "rgba(0, 0, 0, 0.000)",
+ "schematic_color": "rgba(0, 0, 0, 0.000)",
+ "track_width": 0.157,
+ "via_diameter": 0.6,
+ "via_drill": 0.3,
+ "wire_width": 6.0
+ }
+ ],
+ "meta": {
+ "version": 0
+ },
+ "net_colors": null
+ },
+ "pcbnew": {
+ "last_paths": {
+ "gencad": "",
+ "idf": "",
+ "netlist": "",
+ "specctra_dsn": "",
+ "step": "",
+ "vrml": ""
+ },
+ "page_layout_descr_file": ""
+ },
+ "schematic": {
+ "drawing": {
+ "default_bus_thickness": 12.0,
+ "default_junction_size": 40.0,
+ "default_line_thickness": 6.0,
+ "default_text_size": 50.0,
+ "default_wire_thickness": 6.0,
+ "field_names": [],
+ "intersheets_ref_prefix": "",
+ "intersheets_ref_short": false,
+ "intersheets_ref_show": false,
+ "intersheets_ref_suffix": "",
+ "pin_symbol_size": 25.0,
+ "text_offset_ratio": 0.3
+ },
+ "legacy_lib_dir": "",
+ "legacy_lib_list": [],
+ "meta": {
+ "version": 0
+ },
+ "net_format_name": "",
+ "page_layout_descr_file": "",
+ "plot_directory": "",
+ "spice_adjust_passive_values": false,
+ "spice_external_command": "spice \"%I\"",
+ "subpart_first_id": 65,
+ "subpart_id_separator": 0
+ },
+ "sheets": [
+ [
+ "977b1b15-6390-419c-aee7-946cc293166f",
+ ""
+ ]
+ ],
+ "text_variables": {}
+}
diff --git a/pcb/OtterPill.kicad_sch b/pcb/OtterPill.kicad_sch
new file mode 100644
index 0000000..6e18828
--- /dev/null
+++ b/pcb/OtterPill.kicad_sch
@@ -0,0 +1,3622 @@
+(kicad_sch (version 20200828) (generator eeschema)
+
+ (page 1 1)
+
+ (paper "A4")
+
+ (lib_symbols
+ (symbol "Connector:Conn_01x01_Female" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at 0 2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Conn_01x01_Female" (id 1) (at 0 -2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "connector" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Connector*:*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Conn_01x01_Female_1_1"
+ (arc (start 0 0.508) (end 0 -0.508) (radius (at 0 0) (length 0.508) (angles 90.1 -90.1))
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 0)
+ (xy -0.508 0)
+ )
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (pin passive line (at -5.08 0 0) (length 3.81)
+ (name "Pin_1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Connector:Conn_01x04_Male" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Conn_01x04_Male" (id 1) (at 0 -7.62 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "connector" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Conn_01x04_Male_1_1"
+ (rectangle (start 0.8636 -4.953) (end 0 -5.207)
+ (stroke (width 0.1524)) (fill (type outline))
+ )
+ (rectangle (start 0.8636 -2.413) (end 0 -2.667)
+ (stroke (width 0.1524)) (fill (type outline))
+ )
+ (rectangle (start 0.8636 0.127) (end 0 -0.127)
+ (stroke (width 0.1524)) (fill (type outline))
+ )
+ (rectangle (start 0.8636 2.667) (end 0 2.413)
+ (stroke (width 0.1524)) (fill (type outline))
+ )
+ (polyline
+ (pts
+ (xy 1.27 -5.08)
+ (xy 0.8636 -5.08)
+ )
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 -2.54)
+ (xy 0.8636 -2.54)
+ )
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 0)
+ (xy 0.8636 0)
+ )
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 2.54)
+ (xy 0.8636 2.54)
+ )
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (pin passive line (at 5.08 2.54 180) (length 3.81)
+ (name "Pin_1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 5.08 0 180) (length 3.81)
+ (name "Pin_2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 5.08 -2.54 180) (length 3.81)
+ (name "Pin_3" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 5.08 -5.08 180) (length 3.81)
+ (name "Pin_4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Connector:USB_C_Receptacle_USB2.0" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at -10.16 19.05 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "USB_C_Receptacle_USB2.0" (id 1) (at 19.05 19.05 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 3.81 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" (id 3) (at 3.81 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "usb universal serial bus type-C USB2.0" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "USB 2.0-only Type-C Receptacle connector" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "USB*C*Receptacle*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "USB_C_Receptacle_USB2.0_0_1"
+ (arc (start -8.89 -3.81) (end -5.08 -3.81) (radius (at -6.985 -3.81) (length 1.905) (angles -179.9 -0.1))
+ (stroke (width 0.508)) (fill (type none))
+ )
+ (arc (start -7.62 -3.81) (end -6.35 -3.81) (radius (at -6.985 -3.81) (length 0.635) (angles -179.9 -0.1))
+ (stroke (width 0.254)) (fill (type none))
+ )
+ (arc (start -7.62 -3.81) (end -6.35 -3.81) (radius (at -6.985 -3.81) (length 0.635) (angles -179.9 -0.1))
+ (stroke (width 0.254)) (fill (type outline))
+ )
+ (arc (start -6.35 3.81) (end -7.62 3.81) (radius (at -6.985 3.81) (length 0.635) (angles 0.1 179.9))
+ (stroke (width 0.254)) (fill (type outline))
+ )
+ (arc (start -6.35 3.81) (end -7.62 3.81) (radius (at -6.985 3.81) (length 0.635) (angles 0.1 179.9))
+ (stroke (width 0.254)) (fill (type none))
+ )
+ (arc (start -5.08 3.81) (end -8.89 3.81) (radius (at -6.985 3.81) (length 1.905) (angles 0.1 179.9))
+ (stroke (width 0.508)) (fill (type none))
+ )
+ (circle (center -2.54 1.143) (radius 0.635) (stroke (width 0.254)) (fill (type outline)))
+ (circle (center 0 -5.842) (radius 1.27) (stroke (width 0)) (fill (type outline)))
+ (rectangle (start -10.16 17.78) (end 10.16 -17.78)
+ (stroke (width 0.254)) (fill (type background))
+ )
+ (rectangle (start -7.62 -3.81) (end -6.35 3.81)
+ (stroke (width 0.254)) (fill (type outline))
+ )
+ (rectangle (start 1.905 1.778) (end 3.175 3.048)
+ (stroke (width 0.254)) (fill (type outline))
+ )
+ (polyline
+ (pts
+ (xy -8.89 -3.81)
+ (xy -8.89 3.81)
+ )
+ (stroke (width 0.508)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.08 3.81)
+ (xy -5.08 -3.81)
+ )
+ (stroke (width 0.508)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 -5.842)
+ (xy 0 4.318)
+ )
+ (stroke (width 0.508)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 -3.302)
+ (xy -2.54 -0.762)
+ (xy -2.54 0.508)
+ )
+ (stroke (width 0.508)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 -2.032)
+ (xy 2.54 0.508)
+ (xy 2.54 1.778)
+ )
+ (stroke (width 0.508)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 4.318)
+ (xy 0 6.858)
+ (xy 1.27 4.318)
+ (xy -1.27 4.318)
+ )
+ (stroke (width 0.254)) (fill (type outline))
+ )
+ )
+ (symbol "USB_C_Receptacle_USB2.0_0_0"
+ (rectangle (start -0.254 -17.78) (end 0.254 -16.764)
+ (stroke (width 0)) (fill (type none))
+ )
+ (rectangle (start 10.16 -14.986) (end 9.144 -15.494)
+ (stroke (width 0)) (fill (type none))
+ )
+ (rectangle (start 10.16 -12.446) (end 9.144 -12.954)
+ (stroke (width 0)) (fill (type none))
+ )
+ (rectangle (start 10.16 -4.826) (end 9.144 -5.334)
+ (stroke (width 0)) (fill (type none))
+ )
+ (rectangle (start 10.16 -2.286) (end 9.144 -2.794)
+ (stroke (width 0)) (fill (type none))
+ )
+ (rectangle (start 10.16 0.254) (end 9.144 -0.254)
+ (stroke (width 0)) (fill (type none))
+ )
+ (rectangle (start 10.16 2.794) (end 9.144 2.286)
+ (stroke (width 0)) (fill (type none))
+ )
+ (rectangle (start 10.16 7.874) (end 9.144 7.366)
+ (stroke (width 0)) (fill (type none))
+ )
+ (rectangle (start 10.16 10.414) (end 9.144 9.906)
+ (stroke (width 0)) (fill (type none))
+ )
+ (rectangle (start 10.16 15.494) (end 9.144 14.986)
+ (stroke (width 0)) (fill (type none))
+ )
+ )
+ (symbol "USB_C_Receptacle_USB2.0_1_1"
+ (pin power_in line (at 0 -22.86 90) (length 5.08)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "A1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -22.86 90) (length 5.08) hide
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "A12" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 15.24 15.24 180) (length 5.08)
+ (name "VBUS" (effects (font (size 1.27 1.27))))
+ (number "A4" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 10.16 180) (length 5.08)
+ (name "CC1" (effects (font (size 1.27 1.27))))
+ (number "A5" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -2.54 180) (length 5.08)
+ (name "D+" (effects (font (size 1.27 1.27))))
+ (number "A6" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 2.54 180) (length 5.08)
+ (name "D-" (effects (font (size 1.27 1.27))))
+ (number "A7" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -12.7 180) (length 5.08)
+ (name "SBU1" (effects (font (size 1.27 1.27))))
+ (number "A8" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 15.24 15.24 180) (length 5.08) hide
+ (name "VBUS" (effects (font (size 1.27 1.27))))
+ (number "A9" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -22.86 90) (length 5.08) hide
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "B1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -22.86 90) (length 5.08) hide
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "B12" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 15.24 15.24 180) (length 5.08) hide
+ (name "VBUS" (effects (font (size 1.27 1.27))))
+ (number "B4" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 7.62 180) (length 5.08)
+ (name "CC2" (effects (font (size 1.27 1.27))))
+ (number "B5" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -5.08 180) (length 5.08)
+ (name "D+" (effects (font (size 1.27 1.27))))
+ (number "B6" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 0 180) (length 5.08)
+ (name "D-" (effects (font (size 1.27 1.27))))
+ (number "B7" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -15.24 180) (length 5.08)
+ (name "SBU2" (effects (font (size 1.27 1.27))))
+ (number "B8" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 15.24 15.24 180) (length 5.08) hide
+ (name "VBUS" (effects (font (size 1.27 1.27))))
+ (number "B9" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -7.62 -22.86 90) (length 5.08)
+ (name "SHIELD" (effects (font (size 1.27 1.27))))
+ (number "S1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "C" (id 0) (at 0.254 1.778 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "C_Small" (id 1) (at 0.254 -2.032 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "capacitor cap" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Unpolarized capacitor, small symbol" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "C_Small_0_1"
+ (polyline
+ (pts
+ (xy -1.524 -0.508)
+ (xy 1.524 -0.508)
+ )
+ (stroke (width 0.3302)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.524 0.508)
+ (xy 1.524 0.508)
+ )
+ (stroke (width 0.3048)) (fill (type none))
+ )
+ )
+ (symbol "C_Small_1_1"
+ (pin passive line (at 0 2.54 270) (length 2.032)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -2.54 90) (length 2.032)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Device:D_x2_ACom_KKA" (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "D" (id 0) (at 1.27 -2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "D_x2_ACom_KKA" (id 1) (at 0 2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "diode" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Dual diode, common anode on pin 3" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "D_x2_ACom_KKA_0_1"
+ (polyline
+ (pts
+ (xy -3.81 0)
+ (xy 3.81 0)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -2.54)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -3.81 1.27)
+ (xy -3.81 -1.27)
+ (xy -3.81 -1.27)
+ )
+ (stroke (width 0.2032)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 3.81 1.27)
+ (xy 3.81 -1.27)
+ (xy 3.81 -1.27)
+ )
+ (stroke (width 0.2032)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 -1.27)
+ (xy -3.81 0)
+ (xy -1.27 1.27)
+ (xy -1.27 -1.27)
+ (xy -1.27 -1.27)
+ (xy -1.27 -1.27)
+ )
+ (stroke (width 0.2032)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 1.27)
+ (xy 3.81 0)
+ (xy 1.27 -1.27)
+ (xy 1.27 1.27)
+ (xy 1.27 1.27)
+ (xy 1.27 1.27)
+ )
+ (stroke (width 0.2032)) (fill (type none))
+ )
+ (pin passive line (at -7.62 0 0) (length 3.81)
+ (name "K" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 0 180) (length 3.81)
+ (name "K" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -5.08 90) (length 2.54)
+ (name "A" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "R" (id 0) (at 0.762 0.508 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "R_Small" (id 1) (at 0.762 -1.016 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "R resistor" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Resistor, small symbol" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "R_Small_0_1"
+ (rectangle (start -0.762 1.778) (end 0.762 -1.778)
+ (stroke (width 0.2032)) (fill (type none))
+ )
+ )
+ (symbol "R_Small_1_1"
+ (pin passive line (at 0 2.54 270) (length 0.762)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -2.54 90) (length 0.762)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "MCU_ST_STM32F0:STM32F072C8Tx" (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at -15.24 36.83 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "STM32F072C8Tx" (id 1) (at 7.62 36.83 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_QFP:LQFP-48_7x7mm_P0.5mm" (id 2) (at -15.24 -35.56 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00090510.pdf" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "ARM Cortex-M0 STM32F0 STM32F0x2" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "ARM Cortex-M0 MCU, 64KB flash, 16KB RAM, 48MHz, 2-3.6V, 37 GPIO, LQFP-48" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "LQFP*7x7mm*P0.5mm*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "STM32F072C8Tx_0_1"
+ (rectangle (start -15.24 -35.56) (end 12.7 35.56)
+ (stroke (width 0.254)) (fill (type background))
+ )
+ )
+ (symbol "STM32F072C8Tx_1_1"
+ (pin input line (at -17.78 27.94 0) (length 2.54)
+ (name "BOOT0" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -17.78 33.02 0) (length 2.54)
+ (name "NRST" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 5.08 180) (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 2.54 180) (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -20.32 180) (length 2.54)
+ (name "PA10" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -22.86 180) (length 2.54)
+ (name "PA11" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -25.4 180) (length 2.54)
+ (name "PA12" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -27.94 180) (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -30.48 180) (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -33.02 180) (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 0 180) (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -2.54 180) (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -5.08 180) (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -7.62 180) (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -10.16 180) (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -12.7 180) (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -15.24 180) (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 15.24 -17.78 180) (length 2.54)
+ (name "PA9" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 5.08 0) (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 2.54 0) (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 -20.32 0) (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 -22.86 0) (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 -25.4 0) (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 -27.94 0) (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 -30.48 0) (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 -33.02 0) (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 0 0) (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 -2.54 0) (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 -5.08 0) (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 -7.62 0) (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 -10.16 0) (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 -12.7 0) (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 -15.24 0) (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 -17.78 0) (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 15.24 0) (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 12.7 0) (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -17.78 10.16 0) (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -17.78 22.86 0) (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -17.78 20.32 0) (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -5.08 38.1 270) (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -2.54 38.1 270) (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 0 38.1 270) (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 2.54 38.1 270) (length 2.54)
+ (name "VDDA" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 5.08 38.1 270) (length 2.54)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -5.08 -38.1 90) (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -2.54 -38.1 90) (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 0 -38.1 90) (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 2.54 -38.1 90) (length 2.54)
+ (name "VSSA" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Mechanical:MountingHole" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+ (property "Reference" "H" (id 0) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "MountingHole" (id 1) (at 0 3.175 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "mounting hole" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Mounting Hole without connection" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "MountingHole*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "MountingHole_0_1"
+ (circle (center 0 0) (radius 1.27) (stroke (width 1.27)) (fill (type none)))
+ )
+ )
+ (symbol "New_Library:Rotary_Encoder_Switch_with_gnd" (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "SW" (id 0) (at 3.81 6.35 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Rotary_Encoder_Switch_with_gnd" (id 1) (at 12.7 -6.35 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at -3.81 4.064 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 6.604 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "rotary switch encoder switch push button" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Rotary encoder, dual channel, incremental quadrate outputs, with switch" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "RotaryEncoder*Switch*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Rotary_Encoder_Switch_with_gnd_0_1"
+ (arc (start -0.381 -2.794) (end -0.381 2.667) (radius (at -0.381 -0.0508) (length 2.7432) (angles -89.9 89.9))
+ (stroke (width 0.254)) (fill (type none))
+ )
+ (circle (center -3.81 0) (radius 0.254) (stroke (width 0)) (fill (type outline)))
+ (circle (center -0.381 0) (radius 1.905) (stroke (width 0.254)) (fill (type none)))
+ (circle (center 4.318 -1.016) (radius 0.127) (stroke (width 0.254)) (fill (type none)))
+ (circle (center 4.318 1.016) (radius 0.127) (stroke (width 0.254)) (fill (type none)))
+ (rectangle (start -5.08 5.08) (end 5.08 -5.08)
+ (stroke (width 0.254)) (fill (type background))
+ )
+ (polyline
+ (pts
+ (xy -0.635 -1.778)
+ (xy -0.635 1.778)
+ )
+ (stroke (width 0.254)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.381 -1.778)
+ (xy -0.381 1.778)
+ )
+ (stroke (width 0.254)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.127 1.778)
+ (xy -0.127 -1.778)
+ )
+ (stroke (width 0.254)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 3.81 0)
+ (xy 3.429 0)
+ )
+ (stroke (width 0.254)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 3.81 1.016)
+ (xy 3.81 -1.016)
+ )
+ (stroke (width 0.254)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.08 -2.54)
+ (xy -3.81 -2.54)
+ (xy -3.81 -2.032)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.08 2.54)
+ (xy -3.81 2.54)
+ (xy -3.81 2.032)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.254 -3.048)
+ (xy -0.508 -2.794)
+ (xy 0.127 -2.413)
+ )
+ (stroke (width 0.254)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.254 2.921)
+ (xy -0.508 2.667)
+ (xy 0.127 2.286)
+ )
+ (stroke (width 0.254)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 5.08 -2.54)
+ (xy 4.318 -2.54)
+ (xy 4.318 -1.016)
+ )
+ (stroke (width 0.254)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 5.08 2.54)
+ (xy 4.318 2.54)
+ (xy 4.318 1.016)
+ )
+ (stroke (width 0.254)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.08 0)
+ (xy -3.81 0)
+ (xy -3.81 -1.016)
+ (xy -3.302 -2.032)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -4.318 0)
+ (xy -3.81 0)
+ (xy -3.81 1.016)
+ (xy -3.302 2.032)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ )
+ (symbol "Rotary_Encoder_Switch_with_gnd_1_1"
+ (pin passive line (at -7.62 2.54 0) (length 2.54)
+ (name "A" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -7.62 0 0) (length 2.54)
+ (name "C" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -7.62 -2.54 0) (length 2.54)
+ (name "B" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 2.54 180) (length 2.54)
+ (name "S1" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 -2.54 180) (length 2.54)
+ (name "S2" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -7.62 90) (length 2.54)
+ (name "case" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 7.62 270) (length 2.54)
+ (name "case" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "OtterPill-rescue:USBLC6-4-otter" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at 0 7.62 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "USBLC6-4-otter" (id 1) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "USBLC6-4-otter_0_1"
+ (rectangle (start -5.08 3.81) (end 5.08 -3.81)
+ (stroke (width 0)) (fill (type background))
+ )
+ )
+ (symbol "USBLC6-4-otter_1_1"
+ (pin input line (at -7.62 2.54 0) (length 2.54)
+ (name "IO1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -7.62 -2.54 0) (length 2.54)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -7.62 0 0) (length 2.54)
+ (name "IO2" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at 7.62 -2.54 180) (length 2.54)
+ (name "IO3" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at 7.62 2.54 180) (length 2.54)
+ (name "VBUS" (effects (font (size 0.9906 0.9906))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at 7.62 0 180) (length 2.54)
+ (name "IO4" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Regulator_Linear:AMS1117-3.3" (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at -3.81 3.175 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "AMS1117-3.3" (id 1) (at 0 3.175 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" (id 3) (at 2.54 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "linear regulator ldo fixed positive" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "AMS1117-3.3_0_1"
+ (rectangle (start -5.08 -5.08) (end 5.08 1.905)
+ (stroke (width 0.254)) (fill (type background))
+ )
+ )
+ (symbol "AMS1117-3.3_1_1"
+ (pin power_in line (at 0 -7.62 90) (length 2.54)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_out line (at 7.62 0 180) (length 2.54)
+ (name "VO" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -7.62 0 0) (length 2.54)
+ (name "VI" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_out line (at 7.62 -2.54 180) (length 2.54)
+ (name "PAD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Switch:SW_Coded_SH-7010" (pin_names hide) (in_bom yes) (on_board yes)
+ (property "Reference" "SW" (id 0) (at -7.62 8.89 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "SW_Coded_SH-7010" (id 1) (at -7.62 -8.89 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at -7.62 -11.43 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Datasheet" "https://www.nidec-copal-electronics.com/e/catalog/switch/sh-7000.pdf" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "rotary bcd Real" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Rotary switch, 4-bit encoding, 10 positions, Real code" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Nidec*Copal*SH*7010*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "SW_Coded_SH-7010_0_1"
+ (circle (center 0 0) (radius 3.81) (stroke (width 0)) (fill (type none)))
+ (rectangle (start 7.62 7.62) (end -7.62 -7.62)
+ (stroke (width 0.254)) (fill (type background))
+ )
+ (polyline
+ (pts
+ (xy -0.254 -2.54)
+ (xy -0.254 2.032)
+ (xy -0.762 1.524)
+ (xy -1.27 1.524)
+ (xy 0 2.794)
+ (xy 1.27 1.524)
+ (xy 0.762 1.524)
+ (xy 0.254 2.032)
+ (xy 0.254 -2.54)
+ (xy -0.254 -2.54)
+ (xy -0.254 -2.54)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ )
+ (symbol "SW_Coded_SH-7010_0_0"
+ (text "0" (at 5.715 0 2700)
+ (effects (font (size 1.27 1.27)))
+ )
+ (text "1" (at 4.6228 -3.3528 2340)
+ (effects (font (size 1.27 1.27)))
+ )
+ (text "2" (at 1.778 -5.4356 1980)
+ (effects (font (size 1.27 1.27)))
+ )
+ (text "3" (at -1.778 -5.4356 1620)
+ (effects (font (size 1.27 1.27)))
+ )
+ (text "4" (at -4.6228 -3.3528 1260)
+ (effects (font (size 1.27 1.27)))
+ )
+ (text "5" (at -5.715 0 900)
+ (effects (font (size 1.27 1.27)))
+ )
+ (text "6" (at -4.6228 3.3528 540)
+ (effects (font (size 1.27 1.27)))
+ )
+ (text "7" (at -1.778 5.4356 180)
+ (effects (font (size 1.27 1.27)))
+ )
+ (text "8" (at 1.778 5.4356 3420)
+ (effects (font (size 1.27 1.27)))
+ )
+ (text "9" (at 4.6228 3.3528 3060)
+ (effects (font (size 1.27 1.27)))
+ )
+ )
+ (symbol "SW_Coded_SH-7010_1_1"
+ (pin passive line (at 10.16 2.54 180) (length 2.54)
+ (name "1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 10.16 0 180) (length 2.54)
+ (name "2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 10.16 -2.54 180) (length 2.54)
+ (name "4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 10.16 -5.08 180) (length 2.54)
+ (name "8" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 10.16 5.08 180) (length 2.54)
+ (name "C" (effects (font (size 1.27 1.27))))
+ (number "C" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Switch:SW_Push" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "SW" (id 0) (at 1.27 2.54 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "SW_Push" (id 1) (at 0 -1.524 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "switch normally-open pushbutton push-button" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Push button switch, generic, two pins" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "SW_Push_0_1"
+ (circle (center -2.032 0) (radius 0.508) (stroke (width 0)) (fill (type none)))
+ (circle (center 2.032 0) (radius 0.508) (stroke (width 0)) (fill (type none)))
+ (polyline
+ (pts
+ (xy 0 1.27)
+ (xy 0 3.048)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.54 1.27)
+ (xy -2.54 1.27)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (pin passive line (at -5.08 0 0) (length 2.54)
+ (name "1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 5.08 0 180) (length 2.54)
+ (name "2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 0 3.556 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Power flag, +3.3V" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "+3V3_0_1"
+ (polyline
+ (pts
+ (xy -0.762 1.27)
+ (xy 0 2.54)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 2.54)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 2.54)
+ (xy 0.762 1.27)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ )
+ (symbol "+3V3_1_1"
+ (pin power_in line (at 0 0 90) (length 0) hide
+ (name "+3V3" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Power flag, ground" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "GND_0_1"
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -1.27)
+ (xy 1.27 -1.27)
+ (xy 0 -2.54)
+ (xy -1.27 -1.27)
+ (xy 0 -1.27)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ )
+ (symbol "GND_1_1"
+ (pin power_in line (at 0 0 270) (length 0) hide
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "power:VBUS" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "VBUS" (id 1) (at 0 3.81 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "power-flag USB" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Power flag, bus voltage" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "VBUS_0_1"
+ (polyline
+ (pts
+ (xy -0.762 1.27)
+ (xy 0 2.54)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 2.54)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 2.54)
+ (xy 0.762 1.27)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ )
+ (symbol "VBUS_1_1"
+ (pin power_in line (at 0 0 90) (length 0) hide
+ (name "VBUS" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ )
+
+ (junction (at 44.45 76.2) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 44.45 78.74) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 44.45 116.84) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 68.58 68.58) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 97.79 26.67) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 107.95 26.67) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 138.43 78.74) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 147.32 26.67) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 148.59 78.74) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 163.83 26.67) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 167.64 68.58) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 170.18 68.58) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 170.18 144.78) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 172.72 68.58) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 172.72 144.78) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 175.26 68.58) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 175.26 144.78) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 176.53 26.67) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 189.23 26.67) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 229.87 97.79) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 229.87 115.57) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 229.87 133.35) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 229.87 151.13) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 232.41 48.26) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 232.41 55.88) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 237.49 48.26) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 237.49 55.88) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 247.65 48.26) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 247.65 55.88) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 257.81 48.26) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 257.81 55.88) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 262.89 48.26) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 262.89 55.88) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 265.43 97.79) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 265.43 115.57) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 265.43 133.35) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 265.43 151.13) (diameter 1.016) (color 0 0 0 0))
+
+ (no_connect (at 44.45 88.9))
+ (no_connect (at 44.45 91.44))
+
+ (wire (pts (xy 35.56 114.3) (xy 39.37 114.3))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 39.37 116.84) (xy 35.56 116.84))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 44.45 73.66) (xy 44.45 76.2))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 44.45 78.74) (xy 45.72 78.74))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 44.45 81.28) (xy 44.45 78.74))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 44.45 114.3) (xy 44.45 116.84))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 45.72 76.2) (xy 44.45 76.2))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 49.53 116.84) (xy 44.45 116.84))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 65.405 68.58) (xy 68.58 68.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 68.58 66.04) (xy 65.405 66.04))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 68.58 68.58) (xy 68.58 66.04))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 68.58 72.39) (xy 68.58 68.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 97.79 26.67) (xy 97.79 25.4))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 97.79 26.67) (xy 107.95 26.67))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 97.79 27.94) (xy 97.79 26.67))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 107.95 26.67) (xy 120.65 26.67))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 107.95 27.94) (xy 107.95 26.67))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 107.95 124.46) (xy 107.95 127))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 120.65 26.67) (xy 132.08 26.67))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 137.16 78.74) (xy 138.43 78.74))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 147.32 26.67) (xy 147.32 29.21))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 147.32 26.67) (xy 156.21 26.67))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 148.59 78.74) (xy 138.43 78.74))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 148.59 91.44) (xy 148.59 78.74))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 154.94 78.74) (xy 148.59 78.74))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 154.94 91.44) (xy 148.59 91.44))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 156.21 26.67) (xy 163.83 26.67))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 163.83 26.67) (xy 176.53 26.67))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 163.83 27.94) (xy 163.83 26.67))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 170.18 68.58) (xy 167.64 68.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 170.18 144.78) (xy 167.64 144.78))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 172.72 68.58) (xy 170.18 68.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 172.72 144.78) (xy 170.18 144.78))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 175.26 68.58) (xy 172.72 68.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 175.26 144.78) (xy 172.72 144.78))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 175.26 144.78) (xy 177.8 144.78))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 176.53 26.67) (xy 189.23 26.67))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 176.53 27.94) (xy 176.53 26.67))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 177.8 68.58) (xy 175.26 68.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 189.23 27.94) (xy 189.23 26.67))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 223.52 46.99) (xy 223.52 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 223.52 48.26) (xy 224.79 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 223.52 55.88) (xy 224.79 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 223.52 57.15) (xy 223.52 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 229.87 48.26) (xy 232.41 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 229.87 55.88) (xy 232.41 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 229.87 81.28) (xy 229.87 97.79))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 229.87 97.79) (xy 229.87 115.57))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 229.87 115.57) (xy 229.87 133.35))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 229.87 133.35) (xy 229.87 151.13))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 229.87 151.13) (xy 229.87 156.21))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 232.41 48.26) (xy 232.41 43.18))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 232.41 55.88) (xy 232.41 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 232.41 58.42) (xy 232.41 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 232.41 66.04) (xy 232.41 63.5))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 232.41 81.28) (xy 229.87 81.28))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 237.49 48.26) (xy 237.49 43.18))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 237.49 48.26) (xy 240.03 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 237.49 55.88) (xy 237.49 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 237.49 55.88) (xy 240.03 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 237.49 58.42) (xy 237.49 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 237.49 66.04) (xy 237.49 63.5))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 240.03 81.28) (xy 237.49 81.28))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 245.11 48.26) (xy 247.65 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 247.65 46.99) (xy 247.65 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 247.65 48.26) (xy 250.19 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 247.65 55.88) (xy 245.11 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 247.65 55.88) (xy 250.19 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 247.65 57.15) (xy 247.65 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 255.27 48.26) (xy 257.81 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 255.27 55.88) (xy 257.81 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 255.27 81.28) (xy 255.27 86.36))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 255.27 86.36) (xy 262.89 86.36))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 257.81 48.26) (xy 257.81 43.18))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 257.81 55.88) (xy 257.81 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 257.81 58.42) (xy 257.81 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 257.81 66.04) (xy 257.81 63.5))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 257.81 83.82) (xy 257.81 81.28))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 262.89 48.26) (xy 262.89 43.18))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 262.89 48.26) (xy 265.43 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 262.89 55.88) (xy 262.89 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 262.89 55.88) (xy 265.43 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 262.89 58.42) (xy 262.89 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 262.89 66.04) (xy 262.89 63.5))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 262.89 86.36) (xy 262.89 81.28))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 265.43 83.82) (xy 257.81 83.82))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 265.43 97.79) (xy 265.43 83.82))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 265.43 97.79) (xy 265.43 115.57))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 265.43 115.57) (xy 265.43 133.35))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 265.43 133.35) (xy 265.43 151.13))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 265.43 151.13) (xy 265.43 156.21))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 271.78 46.99) (xy 271.78 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 271.78 48.26) (xy 270.51 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 271.78 55.88) (xy 270.51 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 271.78 57.15) (xy 271.78 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+
+ (global_label "CC2" (shape input) (at 35.56 114.3 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "CC1" (shape input) (at 35.56 116.84 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "CC1" (shape input) (at 44.45 66.04 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "CC2" (shape input) (at 44.45 68.58 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "USB_N" (shape input) (at 45.72 76.2 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "USB_P" (shape input) (at 45.72 78.74 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "CC1" (shape input) (at 60.325 66.04 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "CC2" (shape input) (at 60.325 68.58 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "CC2" (shape input) (at 73.66 93.98 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "CC1" (shape input) (at 73.66 96.52 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "SWDIO" (shape input) (at 82.55 154.94 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "SWCLK" (shape input) (at 82.55 157.48 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "USB_P" (shape input) (at 88.9 96.52 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "USB_N" (shape input) (at 88.9 99.06 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "VIN" (shape input) (at 102.87 26.67 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PB9" (shape input) (at 107.95 129.54 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PB6" (shape input) (at 107.95 132.08 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PB7" (shape input) (at 107.95 134.62 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PC14" (shape input) (at 107.95 137.16 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PC14" (shape input) (at 154.94 93.98 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PC15" (shape input) (at 154.94 96.52 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PB0" (shape input) (at 154.94 101.6 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PB1" (shape input) (at 154.94 104.14 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PB2" (shape input) (at 154.94 106.68 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PB3" (shape input) (at 154.94 109.22 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PB4" (shape input) (at 154.94 111.76 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PB5" (shape input) (at 154.94 114.3 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PB6" (shape input) (at 154.94 116.84 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PB7" (shape input) (at 154.94 119.38 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PB8" (shape input) (at 154.94 121.92 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PB9" (shape input) (at 154.94 124.46 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "SCL" (shape input) (at 154.94 127 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "SDA" (shape input) (at 154.94 129.54 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "INT_N" (shape input) (at 154.94 132.08 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PB13" (shape input) (at 154.94 134.62 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PB14" (shape input) (at 154.94 137.16 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PB15" (shape input) (at 154.94 139.7 180)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PA0" (shape input) (at 187.96 101.6 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA1" (shape input) (at 187.96 104.14 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA2" (shape input) (at 187.96 106.68 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA3" (shape input) (at 187.96 109.22 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA4" (shape input) (at 187.96 111.76 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA5" (shape input) (at 187.96 114.3 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA6" (shape input) (at 187.96 116.84 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA7" (shape input) (at 187.96 119.38 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA8" (shape input) (at 187.96 121.92 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA9" (shape input) (at 187.96 124.46 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA10" (shape input) (at 187.96 127 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "USB_N" (shape input) (at 187.96 129.54 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "USB_P" (shape input) (at 187.96 132.08 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "SWDIO" (shape input) (at 187.96 134.62 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "SWCLK" (shape input) (at 187.96 137.16 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA15" (shape input) (at 187.96 139.7 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA1" (shape input) (at 229.87 156.21 270)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (global_label "PA8" (shape input) (at 232.41 43.18 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA9" (shape input) (at 237.49 43.18 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PB2" (shape input) (at 247.65 76.2 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PB8" (shape input) (at 247.65 92.71 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PB1" (shape input) (at 247.65 110.49 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA15" (shape input) (at 247.65 128.27 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA0" (shape input) (at 247.65 146.05 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PB5" (shape input) (at 257.81 43.18 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PB4" (shape input) (at 262.89 43.18 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (global_label "PA4" (shape input) (at 265.43 156.21 270)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+
+ (symbol (lib_id "power:+3V3") (at 25.4 179.07 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc98a0a")
+ (property "Reference" "#PWR0135" (id 0) (at 25.4 182.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 25.781 174.6758 0))
+ (property "Footprint" "" (id 2) (at 25.4 179.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 25.4 179.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 39.37 179.07 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc9a6d6")
+ (property "Reference" "#PWR0137" (id 0) (at 39.37 182.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 39.751 174.6758 0))
+ (property "Footprint" "" (id 2) (at 39.37 179.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 39.37 179.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:VBUS") (at 44.45 60.96 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc6fc9e")
+ (property "Reference" "#PWR0106" (id 0) (at 44.45 64.77 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "VBUS" (id 1) (at 44.831 56.5658 0))
+ (property "Footprint" "" (id 2) (at 44.45 60.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 44.45 60.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 53.34 179.07 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc9b0f2")
+ (property "Reference" "#PWR0139" (id 0) (at 53.34 182.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 53.721 174.6758 0))
+ (property "Footprint" "" (id 2) (at 53.34 179.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 53.34 179.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 67.31 179.07 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc9bb0e")
+ (property "Reference" "#PWR0141" (id 0) (at 67.31 182.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 67.691 174.6758 0))
+ (property "Footprint" "" (id 2) (at 67.31 179.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 67.31 179.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:VBUS") (at 81.28 179.07 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc9d5c7")
+ (property "Reference" "#PWR0144" (id 0) (at 81.28 182.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "VBUS" (id 1) (at 81.661 174.6758 0))
+ (property "Footprint" "" (id 2) (at 81.28 179.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 81.28 179.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 82.55 152.4 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc92e42")
+ (property "Reference" "#PWR0130" (id 0) (at 78.74 152.4 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 85.8012 152.781 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 82.55 152.4 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 82.55 152.4 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 88.9 93.98 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005da7b56b")
+ (property "Reference" "#PWR0109" (id 0) (at 88.9 97.79 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 89.281 89.5858 0))
+ (property "Footprint" "" (id 2) (at 88.9 93.98 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 88.9 93.98 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:VBUS") (at 97.79 25.4 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc82f71")
+ (property "Reference" "#PWR0117" (id 0) (at 97.79 29.21 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "VBUS" (id 1) (at 98.171 21.0058 0))
+ (property "Footprint" "" (id 2) (at 97.79 25.4 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 97.79 25.4 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 107.95 124.46 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "af34eefe-8514-49d3-bdce-13fa88281e21")
+ (property "Reference" "#PWR0110" (id 0) (at 107.95 128.27 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 108.331 121.2088 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 107.95 124.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 107.95 124.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 138.43 63.5 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc6c830")
+ (property "Reference" "#PWR0104" (id 0) (at 138.43 67.31 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 138.811 59.1058 0))
+ (property "Footprint" "" (id 2) (at 138.43 63.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 138.43 63.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 167.64 68.58 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc6aae2")
+ (property "Reference" "#PWR0102" (id 0) (at 167.64 72.39 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 168.021 64.1858 0))
+ (property "Footprint" "" (id 2) (at 167.64 68.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 167.64 68.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 189.23 26.67 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc81bf3")
+ (property "Reference" "#PWR0116" (id 0) (at 189.23 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 189.611 22.2758 0))
+ (property "Footprint" "" (id 2) (at 189.23 26.67 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 189.23 26.67 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 223.52 46.99 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ebd4cf5")
+ (property "Reference" "#PWR0127" (id 0) (at 223.52 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 223.901 42.5958 0))
+ (property "Footprint" "" (id 2) (at 223.52 46.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 223.52 46.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 247.65 46.99 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ebd815a")
+ (property "Reference" "#PWR0128" (id 0) (at 247.65 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 248.031 42.5958 0))
+ (property "Footprint" "" (id 2) (at 247.65 46.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 247.65 46.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 271.78 46.99 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ebd85b9")
+ (property "Reference" "#PWR0146" (id 0) (at 271.78 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 272.161 42.5958 0))
+ (property "Footprint" "" (id 2) (at 271.78 46.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 271.78 46.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 21.59 99.06 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc706dc")
+ (property "Reference" "#PWR0108" (id 0) (at 21.59 105.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 21.717 103.4542 0))
+ (property "Footprint" "" (id 2) (at 21.59 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 21.59 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 25.4 184.15 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc98a11")
+ (property "Reference" "#PWR0136" (id 0) (at 25.4 190.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 25.527 188.5442 0))
+ (property "Footprint" "" (id 2) (at 25.4 184.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 25.4 184.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 29.21 99.06 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc7041d")
+ (property "Reference" "#PWR0107" (id 0) (at 29.21 105.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 29.337 103.4542 0))
+ (property "Footprint" "" (id 2) (at 29.21 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 29.21 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 39.37 184.15 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc9a6dc")
+ (property "Reference" "#PWR0138" (id 0) (at 39.37 190.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 39.497 188.5442 0))
+ (property "Footprint" "" (id 2) (at 39.37 184.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 39.37 184.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 49.53 116.84 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc6f139")
+ (property "Reference" "#PWR0105" (id 0) (at 49.53 123.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 49.657 121.2342 0))
+ (property "Footprint" "" (id 2) (at 49.53 116.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 49.53 116.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 53.34 184.15 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc9b0f8")
+ (property "Reference" "#PWR0140" (id 0) (at 53.34 190.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 53.467 188.5442 0))
+ (property "Footprint" "" (id 2) (at 53.34 184.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 53.34 184.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 67.31 184.15 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc9bb14")
+ (property "Reference" "#PWR0142" (id 0) (at 67.31 190.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 67.437 188.5442 0))
+ (property "Footprint" "" (id 2) (at 67.31 184.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 67.31 184.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 68.58 72.39 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "e81abdd7-f049-49c3-8ce8-8d71c0edbac7")
+ (property "Reference" "#PWR0115" (id 0) (at 68.58 78.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 68.707 76.7842 0))
+ (property "Footprint" "" (id 2) (at 68.58 72.39 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 68.58 72.39 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 73.66 99.06 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc740a9")
+ (property "Reference" "#PWR0112" (id 0) (at 73.66 105.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 73.787 103.4542 0))
+ (property "Footprint" "" (id 2) (at 73.66 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 73.66 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 81.28 184.15 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc9c530")
+ (property "Reference" "#PWR0143" (id 0) (at 81.28 190.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 81.407 188.5442 0))
+ (property "Footprint" "" (id 2) (at 81.28 184.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 81.28 184.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 82.55 160.02 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc927d6")
+ (property "Reference" "#PWR0129" (id 0) (at 88.9 160.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 85.8012 159.893 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 82.55 160.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 82.55 160.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 97.79 33.02 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc833b2")
+ (property "Reference" "#PWR0118" (id 0) (at 97.79 39.37 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 97.917 37.4142 0))
+ (property "Footprint" "" (id 2) (at 97.79 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 97.79 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 107.95 33.02 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc837f0")
+ (property "Reference" "#PWR0119" (id 0) (at 107.95 39.37 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 108.077 37.4142 0))
+ (property "Footprint" "" (id 2) (at 107.95 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 107.95 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 138.43 83.82 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc6b524")
+ (property "Reference" "#PWR0103" (id 0) (at 138.43 90.17 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 138.557 88.2142 0))
+ (property "Footprint" "" (id 2) (at 138.43 83.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 138.43 83.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 139.7 34.29 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "c3f3b65c-74df-48a9-80c8-9f700499660c")
+ (property "Reference" "#PWR0114" (id 0) (at 139.7 40.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 139.827 38.6842 0))
+ (property "Footprint" "" (id 2) (at 139.7 34.29 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 139.7 34.29 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 163.83 33.02 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc84c54")
+ (property "Reference" "#PWR0122" (id 0) (at 163.83 39.37 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 163.957 37.4142 0))
+ (property "Footprint" "" (id 2) (at 163.83 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 163.83 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 176.53 33.02 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005dae298e")
+ (property "Reference" "#PWR0113" (id 0) (at 176.53 39.37 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 176.657 37.4142 0))
+ (property "Footprint" "" (id 2) (at 176.53 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 176.53 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 177.8 144.78 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc6a3f1")
+ (property "Reference" "#PWR0101" (id 0) (at 177.8 151.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 177.927 149.1742 0))
+ (property "Footprint" "" (id 2) (at 177.8 144.78 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 177.8 144.78 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 189.23 33.02 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc8507e")
+ (property "Reference" "#PWR0123" (id 0) (at 189.23 39.37 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 189.357 37.4142 0))
+ (property "Footprint" "" (id 2) (at 189.23 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 189.23 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 223.52 57.15 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb8aa6c")
+ (property "Reference" "#PWR0125" (id 0) (at 223.52 63.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 223.647 60.4012 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 223.52 57.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 223.52 57.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 227.33 73.66 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ec3498f")
+ (property "Reference" "#PWR0147" (id 0) (at 227.33 80.01 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 227.457 76.9112 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 227.33 73.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 227.33 73.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 234.95 66.04 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eafff41")
+ (property "Reference" "#PWR0121" (id 0) (at 234.95 59.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 234.823 62.7888 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 234.95 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 234.95 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 242.57 73.66 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ec34ed9")
+ (property "Reference" "#PWR0148" (id 0) (at 242.57 80.01 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 242.697 76.9112 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 242.57 73.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 242.57 73.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 247.65 57.15 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb8714f")
+ (property "Reference" "#PWR0124" (id 0) (at 247.65 63.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 247.777 60.4012 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 247.65 57.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 247.65 57.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 252.73 73.66 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ec351f8")
+ (property "Reference" "#PWR0149" (id 0) (at 252.73 80.01 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 252.857 76.9112 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 252.73 73.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 252.73 73.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 260.35 66.04 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eaffbd0")
+ (property "Reference" "#PWR0111" (id 0) (at 260.35 59.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 260.223 62.7888 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 260.35 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 260.35 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 267.97 73.66 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ec354c1")
+ (property "Reference" "#PWR0150" (id 0) (at 267.97 80.01 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 268.097 76.9112 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 267.97 73.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 267.97 73.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 271.78 57.15 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb8e5b3")
+ (property "Reference" "#PWR0126" (id 0) (at 271.78 63.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 271.907 60.4012 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 271.78 57.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 271.78 57.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 41.91 114.3 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc6dff9")
+ (property "Reference" "R1" (id 0) (at 41.91 109.3216 90))
+ (property "Value" "DNP" (id 1) (at 41.91 111.633 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 41.91 114.3 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 41.91 114.3 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 41.91 116.84 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc6e27f")
+ (property "Reference" "R2" (id 0) (at 41.91 119.4816 90))
+ (property "Value" "DNP" (id 1) (at 41.91 121.793 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 41.91 116.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 41.91 116.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 62.865 66.04 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc7af23")
+ (property "Reference" "R8" (id 0) (at 62.865 61.0616 90))
+ (property "Value" "5k1" (id 1) (at 62.865 63.373 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 62.865 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 62.865 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 62.865 68.58 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc7b6da")
+ (property "Reference" "R9" (id 0) (at 62.865 71.2216 90))
+ (property "Value" "5k1" (id 1) (at 62.865 73.533 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 62.865 68.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 62.865 68.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 138.43 76.2 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005db13626")
+ (property "Reference" "R13" (id 0) (at 139.9286 75.0316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "100" (id 1) (at 139.9286 77.343 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 138.43 76.2 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 138.43 76.2 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 138.43 81.28 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc6b319")
+ (property "Reference" "R5" (id 0) (at 139.9286 80.1116 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "3k3" (id 1) (at 139.9286 82.423 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 138.43 81.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 138.43 81.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 227.33 48.26 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ebc5408")
+ (property "Reference" "R14" (id 0) (at 227.33 43.2816 90))
+ (property "Value" "10k" (id 1) (at 227.33 45.593 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 227.33 48.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 227.33 48.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 232.41 60.96 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb90930")
+ (property "Reference" "R15" (id 0) (at 230.9114 59.7916 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "10k" (id 1) (at 230.9114 62.103 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 232.41 60.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 232.41 60.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 237.49 60.96 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb902b4")
+ (property "Reference" "R16" (id 0) (at 238.9886 59.7916 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "10k" (id 1) (at 238.9886 62.103 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 237.49 60.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 237.49 60.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 242.57 48.26 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ebc58c6")
+ (property "Reference" "R17" (id 0) (at 242.57 43.2816 90))
+ (property "Value" "10k" (id 1) (at 242.57 45.593 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 242.57 48.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 242.57 48.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 252.73 48.26 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ebc5ce3")
+ (property "Reference" "R18" (id 0) (at 252.73 43.2816 90))
+ (property "Value" "10k" (id 1) (at 252.73 45.593 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 252.73 48.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 252.73 48.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 257.81 60.96 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb9012c")
+ (property "Reference" "R19" (id 0) (at 256.3114 59.7916 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "10k" (id 1) (at 256.3114 62.103 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 257.81 60.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 257.81 60.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 262.89 60.96 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb8f42e")
+ (property "Reference" "R20" (id 0) (at 264.3886 59.7916 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "10k" (id 1) (at 264.3886 62.103 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 262.89 60.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 262.89 60.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 267.97 48.26 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ebc5f30")
+ (property "Reference" "R21" (id 0) (at 267.97 43.2816 90))
+ (property "Value" "10k" (id 1) (at 267.97 45.593 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 267.97 48.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 267.97 48.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Connector:Conn_01x01_Female") (at 132.08 78.74 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005db0ecb3")
+ (property "Reference" "J7" (id 0) (at 134.7724 73.025 0))
+ (property "Value" "PAD" (id 1) (at 134.7724 75.3364 0))
+ (property "Footprint" "TestPoint:TestPoint_Pad_1.0x1.0mm" (id 2) (at 132.08 78.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 132.08 78.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Mechanical:MountingHole") (at 113.03 162.56 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ec8dbfd")
+ (property "Reference" "H1" (id 0) (at 115.57 161.3916 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "MountingHole" (id 1) (at 115.57 163.703 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "MountingHole:MountingHole_3.2mm_M3_DIN965" (id 2) (at 113.03 162.56 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 113.03 162.56 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Mechanical:MountingHole") (at 113.03 167.64 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ec8f214")
+ (property "Reference" "H2" (id 0) (at 115.57 166.4716 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "MountingHole" (id 1) (at 115.57 168.783 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "MountingHole:MountingHole_3.2mm_M3_DIN965" (id 2) (at 113.03 167.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 113.03 167.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Mechanical:MountingHole") (at 113.03 172.72 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ec925ec")
+ (property "Reference" "H3" (id 0) (at 115.57 171.5516 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "MountingHole" (id 1) (at 115.57 173.863 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "MountingHole:MountingHole_3.2mm_M3_DIN965" (id 2) (at 113.03 172.72 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 113.03 172.72 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Mechanical:MountingHole") (at 113.03 177.8 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ec926f2")
+ (property "Reference" "H4" (id 0) (at 115.57 176.6316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "MountingHole" (id 1) (at 115.57 178.943 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "MountingHole:MountingHole_3.2mm_M3_DIN965" (id 2) (at 113.03 177.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 113.03 177.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 25.4 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc98a03")
+ (property "Reference" "C6" (id 0) (at 27.7368 180.4416 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "100n 50V" (id 1) (at 27.7368 182.753 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 25.4 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 25.4 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 39.37 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc9a6d0")
+ (property "Reference" "C7" (id 0) (at 41.7068 180.4416 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "100n 50V" (id 1) (at 41.7068 182.753 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 39.37 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 39.37 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 53.34 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc9b0ec")
+ (property "Reference" "C8" (id 0) (at 55.6768 180.4416 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "100n 50V" (id 1) (at 55.6768 182.753 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 53.34 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 53.34 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 67.31 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc9bb08")
+ (property "Reference" "C9" (id 0) (at 69.6468 180.4416 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "100n 50V" (id 1) (at 69.6468 182.753 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 67.31 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 67.31 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 81.28 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc9c524")
+ (property "Reference" "C10" (id 0) (at 83.6168 180.4416 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "100n 50V" (id 1) (at 83.6168 182.753 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 81.28 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 81.28 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 97.79 30.48 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc7b876")
+ (property "Reference" "C1" (id 0) (at 100.1268 29.3116 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "10u 25V" (id 1) (at 100.1268 31.623 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 97.79 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 97.79 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C15850" (id 4) (at 97.79 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 107.95 30.48 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc7b488")
+ (property "Reference" "C2" (id 0) (at 110.2868 29.3116 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "100n 50V" (id 1) (at 110.2868 31.623 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 107.95 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 107.95 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 163.83 30.48 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc7c363")
+ (property "Reference" "C4" (id 0) (at 166.1668 29.3116 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "10u 25V" (id 1) (at 166.1668 31.623 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 163.83 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 163.83 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C15850" (id 4) (at 163.83 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 176.53 30.48 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005dae2987")
+ (property "Reference" "C11" (id 0) (at 178.8668 29.3116 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "10u 25V" (id 1) (at 178.8668 31.623 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 176.53 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 176.53 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C15850" (id 4) (at 176.53 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 189.23 30.48 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc7c738")
+ (property "Reference" "C5" (id 0) (at 191.5668 29.3116 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "100n 50V" (id 1) (at 191.5668 31.623 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 189.23 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 189.23 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 227.33 55.88 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb63940")
+ (property "Reference" "C12" (id 0) (at 227.33 50.0634 90))
+ (property "Value" "10n" (id 1) (at 227.33 52.3748 90))
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 227.33 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 227.33 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 242.57 55.88 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb636a4")
+ (property "Reference" "C13" (id 0) (at 242.57 50.0634 90))
+ (property "Value" "10n" (id 1) (at 242.57 52.3748 90))
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 242.57 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 242.57 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 252.73 55.88 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb631fd")
+ (property "Reference" "C14" (id 0) (at 252.73 50.0634 90))
+ (property "Value" "10n" (id 1) (at 252.73 52.3748 90))
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 252.73 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 252.73 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 267.97 55.88 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb62715")
+ (property "Reference" "C15" (id 0) (at 267.97 50.0634 90))
+ (property "Value" "10n" (id 1) (at 267.97 52.3748 90))
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (id 2) (at 267.97 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 267.97 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Switch:SW_Push") (at 138.43 68.58 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc6c487")
+ (property "Reference" "SW1" (id 0) (at 139.6492 67.4116 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "DFU" (id 1) (at 139.6492 69.723 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "Button_Switch_SMD:SW_SPST_PTS810" (id 2) (at 133.35 68.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 133.35 68.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Switch:SW_Push") (at 234.95 97.79 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb06ba6")
+ (property "Reference" "SW3" (id 0) (at 234.95 90.551 0))
+ (property "Value" "SW_Push" (id 1) (at 234.95 92.8624 0))
+ (property "Footprint" "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (id 2) (at 234.95 92.71 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 234.95 92.71 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Switch:SW_Push") (at 234.95 115.57 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb07bbf")
+ (property "Reference" "SW4" (id 0) (at 234.95 108.331 0))
+ (property "Value" "SW_Push" (id 1) (at 234.95 110.6424 0))
+ (property "Footprint" "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (id 2) (at 234.95 110.49 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 234.95 110.49 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Switch:SW_Push") (at 234.95 133.35 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb08167")
+ (property "Reference" "SW5" (id 0) (at 234.95 126.111 0))
+ (property "Value" "SW_Push" (id 1) (at 234.95 128.4224 0))
+ (property "Footprint" "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (id 2) (at 234.95 128.27 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 234.95 128.27 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Switch:SW_Push") (at 234.95 151.13 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb08551")
+ (property "Reference" "SW6" (id 0) (at 234.95 143.891 0))
+ (property "Value" "SW_Push" (id 1) (at 234.95 146.2024 0))
+ (property "Footprint" "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (id 2) (at 234.95 146.05 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 234.95 146.05 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Switch:SW_Push") (at 260.35 97.79 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb08aa9")
+ (property "Reference" "SW8" (id 0) (at 260.35 90.551 0))
+ (property "Value" "SW_Push" (id 1) (at 260.35 92.8624 0))
+ (property "Footprint" "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (id 2) (at 260.35 92.71 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 260.35 92.71 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Switch:SW_Push") (at 260.35 115.57 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb0972b")
+ (property "Reference" "SW9" (id 0) (at 260.35 108.331 0))
+ (property "Value" "SW_Push" (id 1) (at 260.35 110.6424 0))
+ (property "Footprint" "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (id 2) (at 260.35 110.49 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 260.35 110.49 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Switch:SW_Push") (at 260.35 133.35 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb09a9a")
+ (property "Reference" "SW10" (id 0) (at 260.35 126.111 0))
+ (property "Value" "SW_Push" (id 1) (at 260.35 128.4224 0))
+ (property "Footprint" "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (id 2) (at 260.35 128.27 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 260.35 128.27 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Switch:SW_Push") (at 260.35 151.13 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eb09c47")
+ (property "Reference" "SW11" (id 0) (at 260.35 143.891 0))
+ (property "Value" "SW_Push" (id 1) (at 260.35 146.2024 0))
+ (property "Footprint" "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB" (id 2) (at 260.35 146.05 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 260.35 146.05 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Connector:Conn_01x04_Male") (at 77.47 154.94 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc91817")
+ (property "Reference" "J5" (id 0) (at 80.2132 147.8026 0))
+ (property "Value" "SWD" (id 1) (at 80.2132 150.114 0))
+ (property "Footprint" "otter:PinHeader_1x04_P2.54mm_Horizontal" (id 2) (at 77.47 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 77.47 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:D_x2_ACom_KKA") (at 247.65 81.28 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ec07acf")
+ (property "Reference" "D3" (id 0) (at 247.65 84.4042 0))
+ (property "Value" "BAV23AQ-7-F " (id 1) (at 247.65 86.7156 0))
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 247.65 81.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 247.65 81.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C443822" (id 4) (at 247.65 81.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:D_x2_ACom_KKA") (at 247.65 97.79 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ec08fde")
+ (property "Reference" "D4" (id 0) (at 247.65 100.9142 0))
+ (property "Value" "BAV23AQ-7-F " (id 1) (at 247.65 103.2256 0))
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 247.65 97.79 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 247.65 97.79 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C443822" (id 4) (at 247.65 97.79 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:D_x2_ACom_KKA") (at 247.65 115.57 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ec09777")
+ (property "Reference" "D5" (id 0) (at 247.65 118.6942 0))
+ (property "Value" "BAV23AQ-7-F " (id 1) (at 247.65 121.0056 0))
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 247.65 115.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 247.65 115.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C443822" (id 4) (at 247.65 115.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:D_x2_ACom_KKA") (at 247.65 133.35 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ec09e56")
+ (property "Reference" "D6" (id 0) (at 247.65 136.4742 0))
+ (property "Value" "BAV23AQ-7-F " (id 1) (at 247.65 138.7856 0))
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 247.65 133.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 247.65 133.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C443822" (id 4) (at 247.65 133.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:D_x2_ACom_KKA") (at 247.65 151.13 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005ec0a355")
+ (property "Reference" "D7" (id 0) (at 247.65 154.2542 0))
+ (property "Value" "BAV23AQ-7-F " (id 1) (at 247.65 156.5656 0))
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 247.65 151.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 247.65 151.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C443822" (id 4) (at 247.65 151.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "OtterPill-rescue:USBLC6-4-otter") (at 81.28 96.52 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc734be")
+ (property "Reference" "U1" (id 0) (at 81.28 88.265 0))
+ (property "Value" "USBLC6-4" (id 1) (at 81.28 90.5764 0))
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 81.28 96.52 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 81.28 96.52 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Regulator_Linear:AMS1117-3.3") (at 139.7 26.67 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "8b8dfb3f-faa0-467e-adec-8cbf2b907b99")
+ (property "Reference" "U3" (id 0) (at 139.7 20.5548 0))
+ (property "Value" "AMS1117-3.3" (id 1) (at 139.7 22.8535 0))
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 139.7 21.59 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" (id 3) (at 142.24 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "New_Library:Rotary_Encoder_Switch_with_gnd") (at 234.95 73.66 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eaee6dd")
+ (property "Reference" "SW2" (id 0) (at 244.2718 73.66 0))
+ (property "Value" " BOURNS PEC12R-4230F-S0024 " (id 1) (at 224.79 83.82 0))
+ (property "Footprint" "footprints:Bourns_PEC12R_4xxxF-Sxxxx_horizontal_sw" (id 2) (at 239.014 69.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 241.554 73.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "New_Library:Rotary_Encoder_Switch_with_gnd") (at 260.35 73.66 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005eafecbb")
+ (property "Reference" "SW7" (id 0) (at 269.0876 73.66 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" " BOURNS PEC12R-4230F-S0024 " (id 1) (at 267.3604 73.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Footprint" "footprints:Bourns_PEC12R_4xxxF-Sxxxx_horizontal_sw" (id 2) (at 264.414 69.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 266.954 73.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Switch:SW_Coded_SH-7010") (at 97.79 132.08 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "9550dd11-5dd7-4fcb-a5d0-2d63d8a05a3f")
+ (property "Reference" "SW12" (id 0) (at 99.187 120.2498 0))
+ (property "Value" "SW_Coded_SH-7010" (id 1) (at 99.187 122.5485 0))
+ (property "Footprint" "footprints:Reichelt_RND_210-00137" (id 2) (at 90.17 143.51 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Datasheet" "https://www.nidec-copal-electronics.com/e/catalog/switch/sh-7000.pdf" (id 3) (at 97.79 132.08 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Connector:USB_C_Receptacle_USB2.0") (at 29.21 76.2 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005cc6ce04")
+ (property "Reference" "J1" (id 0) (at 31.9278 54.1782 0))
+ (property "Value" "USB-c" (id 1) (at 31.9278 56.4896 0))
+ (property "Footprint" "otter:USB-C 16Pin" (id 2) (at 33.02 76.2 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" (id 3) (at 33.02 76.2 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "MCU_ST_STM32F0:STM32F072C8Tx") (at 172.72 106.68 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "00000000-0000-0000-0000-00005dab6ef4")
+ (property "Reference" "U2" (id 0) (at 171.45 146.9644 0))
+ (property "Value" "STM32F072C8Tx" (id 1) (at 171.45 151.13 0))
+ (property "Footprint" "Package_QFP:LQFP-48_7x7mm_P0.5mm" (id 2) (at 157.48 142.24 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00090510.pdf" (id 3) (at 172.72 106.68 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol_instances
+ (path "/00000000-0000-0000-0000-00005cc6a3f1"
+ (reference "#PWR0101") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc6aae2"
+ (reference "#PWR0102") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc6b524"
+ (reference "#PWR0103") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc6c830"
+ (reference "#PWR0104") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc6f139"
+ (reference "#PWR0105") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc6fc9e"
+ (reference "#PWR0106") (unit 1) (value "VBUS") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc7041d"
+ (reference "#PWR0107") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc706dc"
+ (reference "#PWR0108") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005da7b56b"
+ (reference "#PWR0109") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/af34eefe-8514-49d3-bdce-13fa88281e21"
+ (reference "#PWR0110") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005eaffbd0"
+ (reference "#PWR0111") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc740a9"
+ (reference "#PWR0112") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005dae298e"
+ (reference "#PWR0113") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/c3f3b65c-74df-48a9-80c8-9f700499660c"
+ (reference "#PWR0114") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/e81abdd7-f049-49c3-8ce8-8d71c0edbac7"
+ (reference "#PWR0115") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc81bf3"
+ (reference "#PWR0116") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc82f71"
+ (reference "#PWR0117") (unit 1) (value "VBUS") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc833b2"
+ (reference "#PWR0118") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc837f0"
+ (reference "#PWR0119") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005eafff41"
+ (reference "#PWR0121") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc84c54"
+ (reference "#PWR0122") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc8507e"
+ (reference "#PWR0123") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005eb8714f"
+ (reference "#PWR0124") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005eb8aa6c"
+ (reference "#PWR0125") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005eb8e5b3"
+ (reference "#PWR0126") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005ebd4cf5"
+ (reference "#PWR0127") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005ebd815a"
+ (reference "#PWR0128") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc927d6"
+ (reference "#PWR0129") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc92e42"
+ (reference "#PWR0130") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc98a0a"
+ (reference "#PWR0135") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc98a11"
+ (reference "#PWR0136") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc9a6d6"
+ (reference "#PWR0137") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc9a6dc"
+ (reference "#PWR0138") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc9b0f2"
+ (reference "#PWR0139") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc9b0f8"
+ (reference "#PWR0140") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc9bb0e"
+ (reference "#PWR0141") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc9bb14"
+ (reference "#PWR0142") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc9c530"
+ (reference "#PWR0143") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc9d5c7"
+ (reference "#PWR0144") (unit 1) (value "VBUS") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005ebd85b9"
+ (reference "#PWR0146") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005ec3498f"
+ (reference "#PWR0147") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005ec34ed9"
+ (reference "#PWR0148") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005ec351f8"
+ (reference "#PWR0149") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005ec354c1"
+ (reference "#PWR0150") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cc7b876"
+ (reference "C1") (unit 1) (value "10u 25V") (footprint "Capacitor_SMD:C_0805_2012Metric")
+ )
+ (path "/00000000-0000-0000-0000-00005cc7b488"
+ (reference "C2") (unit 1) (value "100n 50V") (footprint "Capacitor_SMD:C_0603_1608Metric")
+ )
+ (path "/00000000-0000-0000-0000-00005cc7c363"
+ (reference "C4") (unit 1) (value "10u 25V") (footprint "Capacitor_SMD:C_0805_2012Metric")
+ )
+ (path "/00000000-0000-0000-0000-00005cc7c738"
+ (reference "C5") (unit 1) (value "100n 50V") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005cc98a03"
+ (reference "C6") (unit 1) (value "100n 50V") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005cc9a6d0"
+ (reference "C7") (unit 1) (value "100n 50V") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005cc9b0ec"
+ (reference "C8") (unit 1) (value "100n 50V") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005cc9bb08"
+ (reference "C9") (unit 1) (value "100n 50V") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005cc9c524"
+ (reference "C10") (unit 1) (value "100n 50V") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005dae2987"
+ (reference "C11") (unit 1) (value "10u 25V") (footprint "Capacitor_SMD:C_0805_2012Metric")
+ )
+ (path "/00000000-0000-0000-0000-00005eb63940"
+ (reference "C12") (unit 1) (value "10n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005eb636a4"
+ (reference "C13") (unit 1) (value "10n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005eb631fd"
+ (reference "C14") (unit 1) (value "10n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005eb62715"
+ (reference "C15") (unit 1) (value "10n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005ec07acf"
+ (reference "D3") (unit 1) (value "BAV23AQ-7-F ") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/00000000-0000-0000-0000-00005ec08fde"
+ (reference "D4") (unit 1) (value "BAV23AQ-7-F ") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/00000000-0000-0000-0000-00005ec09777"
+ (reference "D5") (unit 1) (value "BAV23AQ-7-F ") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/00000000-0000-0000-0000-00005ec09e56"
+ (reference "D6") (unit 1) (value "BAV23AQ-7-F ") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/00000000-0000-0000-0000-00005ec0a355"
+ (reference "D7") (unit 1) (value "BAV23AQ-7-F ") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/00000000-0000-0000-0000-00005ec8dbfd"
+ (reference "H1") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.2mm_M3_DIN965")
+ )
+ (path "/00000000-0000-0000-0000-00005ec8f214"
+ (reference "H2") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.2mm_M3_DIN965")
+ )
+ (path "/00000000-0000-0000-0000-00005ec925ec"
+ (reference "H3") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.2mm_M3_DIN965")
+ )
+ (path "/00000000-0000-0000-0000-00005ec926f2"
+ (reference "H4") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.2mm_M3_DIN965")
+ )
+ (path "/00000000-0000-0000-0000-00005cc6ce04"
+ (reference "J1") (unit 1) (value "USB-c") (footprint "otter:USB-C 16Pin")
+ )
+ (path "/00000000-0000-0000-0000-00005cc91817"
+ (reference "J5") (unit 1) (value "SWD") (footprint "otter:PinHeader_1x04_P2.54mm_Horizontal")
+ )
+ (path "/00000000-0000-0000-0000-00005db0ecb3"
+ (reference "J7") (unit 1) (value "PAD") (footprint "TestPoint:TestPoint_Pad_1.0x1.0mm")
+ )
+ (path "/00000000-0000-0000-0000-00005cc6dff9"
+ (reference "R1") (unit 1) (value "DNP") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005cc6e27f"
+ (reference "R2") (unit 1) (value "DNP") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005cc6b319"
+ (reference "R5") (unit 1) (value "3k3") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005cc7af23"
+ (reference "R8") (unit 1) (value "5k1") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005cc7b6da"
+ (reference "R9") (unit 1) (value "5k1") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005db13626"
+ (reference "R13") (unit 1) (value "100") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005ebc5408"
+ (reference "R14") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005eb90930"
+ (reference "R15") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005eb902b4"
+ (reference "R16") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005ebc58c6"
+ (reference "R17") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005ebc5ce3"
+ (reference "R18") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005eb9012c"
+ (reference "R19") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005eb8f42e"
+ (reference "R20") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005ebc5f30"
+ (reference "R21") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005cc6c487"
+ (reference "SW1") (unit 1) (value "DFU") (footprint "Button_Switch_SMD:SW_SPST_PTS810")
+ )
+ (path "/00000000-0000-0000-0000-00005eaee6dd"
+ (reference "SW2") (unit 1) (value " BOURNS PEC12R-4230F-S0024 ") (footprint "footprints:Bourns_PEC12R_4xxxF-Sxxxx_horizontal_sw")
+ )
+ (path "/00000000-0000-0000-0000-00005eb06ba6"
+ (reference "SW3") (unit 1) (value "SW_Push") (footprint "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB")
+ )
+ (path "/00000000-0000-0000-0000-00005eb07bbf"
+ (reference "SW4") (unit 1) (value "SW_Push") (footprint "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB")
+ )
+ (path "/00000000-0000-0000-0000-00005eb08167"
+ (reference "SW5") (unit 1) (value "SW_Push") (footprint "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB")
+ )
+ (path "/00000000-0000-0000-0000-00005eb08551"
+ (reference "SW6") (unit 1) (value "SW_Push") (footprint "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB")
+ )
+ (path "/00000000-0000-0000-0000-00005eafecbb"
+ (reference "SW7") (unit 1) (value " BOURNS PEC12R-4230F-S0024 ") (footprint "footprints:Bourns_PEC12R_4xxxF-Sxxxx_horizontal_sw")
+ )
+ (path "/00000000-0000-0000-0000-00005eb08aa9"
+ (reference "SW8") (unit 1) (value "SW_Push") (footprint "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB")
+ )
+ (path "/00000000-0000-0000-0000-00005eb0972b"
+ (reference "SW9") (unit 1) (value "SW_Push") (footprint "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB")
+ )
+ (path "/00000000-0000-0000-0000-00005eb09a9a"
+ (reference "SW10") (unit 1) (value "SW_Push") (footprint "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB")
+ )
+ (path "/00000000-0000-0000-0000-00005eb09c47"
+ (reference "SW11") (unit 1) (value "SW_Push") (footprint "Button_Switch_Keyboard:SW_Cherry_MX_1.00u_PCB")
+ )
+ (path "/9550dd11-5dd7-4fcb-a5d0-2d63d8a05a3f"
+ (reference "SW12") (unit 1) (value "SW_Coded_SH-7010") (footprint "footprints:Reichelt_RND_210-00137")
+ )
+ (path "/00000000-0000-0000-0000-00005cc734be"
+ (reference "U1") (unit 1) (value "USBLC6-4") (footprint "Package_TO_SOT_SMD:SOT-23-6")
+ )
+ (path "/00000000-0000-0000-0000-00005dab6ef4"
+ (reference "U2") (unit 1) (value "STM32F072C8Tx") (footprint "Package_QFP:LQFP-48_7x7mm_P0.5mm")
+ )
+ (path "/8b8dfb3f-faa0-467e-adec-8cbf2b907b99"
+ (reference "U3") (unit 1) (value "AMS1117-3.3") (footprint "Package_TO_SOT_SMD:SOT-223-3_TabPin2")
+ )
+ )
+)
diff --git a/pcb/footprints.pretty/Reichelt_RND_210-00137.kicad_mod b/pcb/footprints.pretty/Reichelt_RND_210-00137.kicad_mod
new file mode 100644
index 0000000..4a60494
--- /dev/null
+++ b/pcb/footprints.pretty/Reichelt_RND_210-00137.kicad_mod
@@ -0,0 +1,43 @@
+(module "Reichelt_RND_210-00137" (layer "F.Cu") (tedit 5F86C7DE)
+ (attr through_hole)
+ (fp_text reference "REF**" (at -1.8 -4.8 unlocked) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp d738f235-86ed-4011-8e80-72a9540aba34)
+ )
+ (fp_text value "Reichelt_RND_210-00137" (at 0 1 unlocked) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 693d965d-9cef-43ec-90b2-ad611efcd61c)
+ )
+ (fp_text user "5" (at 0 2.8 unlocked) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp d0948833-a6ab-4836-8204-337940ef4d46)
+ )
+ (fp_text user "0" (at 0 -2.7 unlocked) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp f3043f62-5ec2-46cd-aa0a-07a0ab8e85bd)
+ )
+ (fp_line (start 1 -1.2) (end 0.1 -0.6) (layer "F.SilkS") (width 0.2) (tstamp 00b60fdd-f0cf-4e7d-aca1-ba8381c6c9dc))
+ (fp_line (start 3.7 3.2) (end 3.7 3.7) (layer "F.SilkS") (width 0.2) (tstamp 037bf2ba-9aa1-4f42-863d-90e4abc7d981))
+ (fp_line (start -3.7 3.7) (end -3.7 3.2) (layer "F.SilkS") (width 0.2) (tstamp 05ff8759-d10c-4dd9-ae24-21e2dfa6be22))
+ (fp_line (start 0.1 -0.6) (end 0.5 -0.7) (layer "F.SilkS") (width 0.2) (tstamp 5271e975-f4ef-4e30-836d-0bbd6bb9844e))
+ (fp_line (start 0.5 -0.7) (end 0.7 -0.8) (layer "F.SilkS") (width 0.2) (tstamp 5df5d6b9-58c6-4c0f-aac8-36b908745770))
+ (fp_line (start -3.7 -1.8) (end -3.7 -0.7) (layer "F.SilkS") (width 0.2) (tstamp 7b3ef031-68da-43d2-8070-188e72bca417))
+ (fp_line (start 3.7 -1.8) (end 3.7 -0.7) (layer "F.SilkS") (width 0.2) (tstamp 971878c8-e675-4bda-9005-fd8ff0dc50ee))
+ (fp_line (start 3.7 0.7) (end 3.7 1.8) (layer "F.SilkS") (width 0.2) (tstamp 97491a46-5468-4244-9eb3-8bd884092f90))
+ (fp_line (start 3.7 3.7) (end -3.7 3.7) (layer "F.SilkS") (width 0.2) (tstamp a039202e-5aee-42d6-b4ce-c2ccec9e208e))
+ (fp_line (start -0.9 1.3) (end 1 -1.2) (layer "F.SilkS") (width 0.2) (tstamp a74bba55-e6bf-4db7-9894-a57699d507a7))
+ (fp_line (start 0.7 -0.8) (end 0.7 -0.2) (layer "F.SilkS") (width 0.2) (tstamp aabaf4f3-715b-49a9-80b7-8d2215706b06))
+ (fp_line (start -3.7 -3.7) (end 3.7 -3.7) (layer "F.SilkS") (width 0.2) (tstamp c7d22599-b4ba-458c-bc7a-5a0c52798974))
+ (fp_line (start -3.7 -3.2) (end -3.7 -3.7) (layer "F.SilkS") (width 0.2) (tstamp cf798022-9ba2-4aed-af14-bd076544bd51))
+ (fp_line (start 3.7 -3.7) (end 3.7 -3.2) (layer "F.SilkS") (width 0.2) (tstamp d13002da-032e-4723-b2c6-be7d4a71a3ff))
+ (fp_line (start 0.9 -1.1) (end 0.7 -0.2) (layer "F.SilkS") (width 0.2) (tstamp eaf13a6f-6404-410e-94e2-96e112460494))
+ (fp_line (start -3.7 0.7) (end -3.7 1.8) (layer "F.SilkS") (width 0.2) (tstamp ed5a0cfc-c635-4093-b66f-77ee945ec99a))
+ (fp_circle (center 0 0) (end 1.2 -1) (layer "F.SilkS") (width 0.2) (tstamp e940afc6-eb67-4fad-8c2a-a7ba76b22009))
+ (fp_rect (start -3.7 -3.7) (end 3.7 3.7) (layer "Dwgs.User") (width 0.12) (tstamp b3c9be87-0858-4a88-9622-45581e6c5f36))
+ (pad "1" smd rect (at -3.75 -2.54 90) (size 1 3) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp dfc7a67c-bed8-4b64-a95c-595178d39673))
+ (pad "2" smd rect (at 3.75 2.54 90) (size 1 3) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f158ab55-f810-459a-a6e8-8250b0566ee6))
+ (pad "4" smd rect (at -3.75 2.54 90) (size 1 3) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 407bc117-f3ac-4ea1-9583-c6cd9e99acb5))
+ (pad "8" smd rect (at 3.75 -2.54 90) (size 1 3) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 003756b2-c289-44d3-84b9-5fdffdf90dd0))
+ (pad "C" smd rect (at 3.75 0 90) (size 1 3) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp bdf0141c-c306-4614-96ac-de10e2a2595c))
+ (pad "C" smd rect (at -3.75 0 90) (size 1 3) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e05f1008-47b3-480b-975f-898311d9d9f7))
+)
diff --git a/pcb/gerber/OtterPill-B_Cu.gbl b/pcb/gerber/OtterPill-B_Cu.gbl
index 0cd9463..dde1136 100644
--- a/pcb/gerber/OtterPill-B_Cu.gbl
+++ b/pcb/gerber/OtterPill-B_Cu.gbl
@@ -1,5394 +1,16460 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.4+dfsg1-1*
-G04 #@! TF.CreationDate,2019-11-16T02:43:21+01:00*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-4013-gfd874d834)*
+G04 #@! TF.CreationDate,2020-10-14T12:43:31+02:00*
G04 #@! TF.ProjectId,OtterPill,4f747465-7250-4696-9c6c-2e6b69636164,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L2,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.4+dfsg1-1) date 2019-11-16 02:43:21*
+G04 Created by KiCad (PCBNEW (5.99.0-4013-gfd874d834)) date 2020-10-14 12:43:31*
%MOMM*%
%LPD*%
+G01*
G04 APERTURE LIST*
+G04 Aperture macros list*
+%AMRoundRect*
+0 Rectangle with rounded corners*
+0 $1 Rounding radius*
+0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
+0 Add a 4 corners polygon primitive as box body*
+4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
+0 Add four circle primitives for the rounded corners*
+1,1,$1+$1,$2,$3,0*
+1,1,$1+$1,$4,$5,0*
+1,1,$1+$1,$6,$7,0*
+1,1,$1+$1,$8,$9,0*
+0 Add four rect primitives between the rounded corners*
+20,1,$1+$1,$2,$3,$4,$5,0*
+20,1,$1+$1,$4,$5,$6,$7,0*
+20,1,$1+$1,$6,$7,$8,$9,0*
+20,1,$1+$1,$8,$9,$2,$3,0*%
+G04 Aperture macros list end*
+G04 #@! TA.AperFunction,Profile*
%ADD10C,0.050000*%
-%ADD11O,1.700000X1.700000*%
-%ADD12R,1.700000X1.700000*%
-%ADD13R,1.000000X1.000000*%
-%ADD14O,2.100000X1.000000*%
-%ADD15O,1.600000X1.000000*%
-%ADD16C,0.600000*%
-%ADD17C,0.157000*%
-%ADD18C,0.400000*%
-%ADD19C,0.200000*%
-%ADD20C,0.600000*%
-%ADD21C,0.800000*%
-%ADD22C,0.100000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD11O,2.400000X2.000000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD12C,2.400000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD13O,4.500000X3.500000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD14C,2.200000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD15RoundRect,0.237500X-0.287500X-0.237500X0.287500X-0.237500X0.287500X0.237500X-0.287500X0.237500X0*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD16R,1.500000X2.000000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD17R,3.800000X2.000000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD18RoundRect,0.237500X0.237500X-0.287500X0.237500X0.287500X-0.237500X0.287500X-0.237500X-0.287500X0*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD19RoundRect,0.243750X-0.243750X-0.456250X0.243750X-0.456250X0.243750X0.456250X-0.243750X0.456250X0*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD20R,0.650000X1.050000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD21RoundRect,0.243750X-0.456250X0.243750X-0.456250X-0.243750X0.456250X-0.243750X0.456250X0.243750X0*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD22R,1.000000X1.000000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD23RoundRect,0.237500X-0.237500X0.287500X-0.237500X-0.287500X0.237500X-0.287500X0.237500X0.287500X0*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD24RoundRect,0.237500X0.287500X0.237500X-0.287500X0.237500X-0.287500X-0.237500X0.287500X-0.237500X0*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD25RoundRect,0.243750X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD26R,1.700000X1.700000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD27O,1.700000X1.700000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD28RoundRect,0.218750X-0.256250X0.218750X-0.256250X-0.218750X0.256250X-0.218750X0.256250X0.218750X0*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD29R,0.800000X0.900000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD30R,0.900000X0.800000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD31R,0.600000X1.450000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD32R,0.300000X1.450000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD33O,1.000000X2.100000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD34O,1.000000X1.600000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD35R,0.650000X1.060000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD36RoundRect,0.075000X-0.415425X-0.521491X0.521491X0.415425X0.415425X0.521491X-0.521491X-0.415425X0*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD37RoundRect,0.075000X0.415425X-0.521491X0.521491X-0.415425X-0.415425X0.521491X-0.521491X0.415425X0*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD38R,1.000000X3.000000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ViaPad*
+%ADD39C,0.600000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,Conductor*
+%ADD40C,0.200000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,Conductor*
+%ADD41C,0.600000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,Conductor*
+%ADD42C,0.800000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,Conductor*
+%ADD43C,0.400000*%
+G04 #@! TD*
G04 APERTURE END LIST*
D10*
-X63200000Y-37100000D02*
+X225200000Y-48500000D02*
+X225200000Y-88500000D01*
+X140200000Y-93500000D02*
G75*
-G02X62900000Y-37400000I-300000J0D01*
+G02*
+X135200000Y-88500000I0J5000000D01*
G01*
-X62900000Y-19800000D02*
+X220200000Y-43500000D02*
G75*
-G02X63200000Y-20100000I0J-300000D01*
+G02*
+X225200000Y-48500000I0J-5000000D01*
G01*
-X20000000Y-20100000D02*
+X225200000Y-88500000D02*
G75*
-G02X20300000Y-19800000I300000J0D01*
+G02*
+X220200000Y-93500000I-5000000J0D01*
G01*
-X20300000Y-37400000D02*
+X140200000Y-43500000D02*
+X220200000Y-43500000D01*
+X135200000Y-48500000D02*
G75*
-G02X20000000Y-37100000I0J300000D01*
+G02*
+X140200000Y-43500000I5000000J0D01*
G01*
-X20000000Y-37100000D02*
-X20000000Y-20100000D01*
-X62900000Y-37400000D02*
-X20300000Y-37400000D01*
-X63200000Y-20100000D02*
-X63200000Y-37100000D01*
-X20300000Y-19800000D02*
-X62900000Y-19800000D01*
+X135200000Y-88500000D02*
+X135200000Y-48500000D01*
+X220200000Y-93500000D02*
+X140200000Y-93500000D01*
D11*
-X59200000Y-32470000D03*
-X59200000Y-29930000D03*
-X59200000Y-27390000D03*
+X152700000Y-66000000D03*
+X152700000Y-68500000D03*
+X152700000Y-71000000D03*
D12*
-X59200000Y-24850000D03*
+X167200000Y-66000000D03*
+X167200000Y-71000000D03*
D13*
-X53900000Y-33300000D03*
-D12*
-X21420000Y-21780000D03*
-X21420000Y-35340000D03*
-D11*
-X59260000Y-21100000D03*
-X56720000Y-21100000D03*
-X54180000Y-21100000D03*
-X51640000Y-21100000D03*
-X49100000Y-21100000D03*
-X46560000Y-21100000D03*
-X44020000Y-21100000D03*
-X41480000Y-21100000D03*
-X38940000Y-21100000D03*
-X36400000Y-21100000D03*
-X33860000Y-21100000D03*
-X31320000Y-21100000D03*
-X28780000Y-21100000D03*
-X26240000Y-21100000D03*
-D12*
-X23700000Y-21100000D03*
+X160400000Y-75100000D03*
+X160400000Y-61900000D03*
+D14*
+X145120000Y-75960000D03*
+X147660000Y-82310000D03*
D11*
-X59260000Y-36100000D03*
-X56720000Y-36100000D03*
-X54180000Y-36100000D03*
-X51640000Y-36100000D03*
-X49100000Y-36100000D03*
-X46560000Y-36100000D03*
-X44020000Y-36100000D03*
-X41480000Y-36100000D03*
-X38940000Y-36100000D03*
-X36400000Y-36100000D03*
-X33860000Y-36100000D03*
-X31320000Y-36100000D03*
-X28780000Y-36100000D03*
-X26240000Y-36100000D03*
+X207700000Y-71000000D03*
+X207700000Y-68500000D03*
+X207700000Y-66000000D03*
D12*
-X23700000Y-36100000D03*
+X193200000Y-71000000D03*
+X193200000Y-66000000D03*
+D13*
+X200000000Y-61900000D03*
+X200000000Y-75100000D03*
D14*
-X25454999Y-24250000D03*
-X25454999Y-32890000D03*
+X215280000Y-61040000D03*
+X212740000Y-54690000D03*
+X145120000Y-55960000D03*
+X147660000Y-62310000D03*
+X195280000Y-61040000D03*
+X192740000Y-54690000D03*
+X165120000Y-55960000D03*
+X167660000Y-62310000D03*
+X195280000Y-81040000D03*
+X192740000Y-74690000D03*
+X215280000Y-81040000D03*
+X212740000Y-74690000D03*
+X165120000Y-75960000D03*
+X167660000Y-82310000D03*
D15*
-X21274999Y-24250000D03*
-X21274999Y-32890000D03*
+X187225000Y-69000000D03*
+X188975000Y-69000000D03*
D16*
-X50300000Y-36750000D03*
-X52900000Y-36800000D03*
-X47800000Y-36800000D03*
-X39500000Y-22500000D03*
-X42700000Y-25797010D03*
-X31792990Y-28275000D03*
-X25150000Y-35225510D03*
-X42890000Y-27780000D03*
-X44250000Y-26530000D03*
-X48500000Y-28820000D03*
-X48710000Y-31440000D03*
-X58500000Y-23200000D03*
-X48620000Y-27890000D03*
-X49100000Y-28500000D03*
-X51470000Y-29480000D03*
-X53550000Y-29600000D03*
-X52200000Y-30400000D03*
-X55590000Y-29000000D03*
-X57150000Y-29050000D03*
-X55600000Y-30600000D03*
-X43640000Y-25760000D03*
-X36540000Y-23530000D03*
-X37000000Y-28100000D03*
-X32250000Y-31850000D03*
-X29480000Y-31570000D03*
-X30865510Y-32360000D03*
-X41640000Y-27460000D03*
-X41450000Y-26190000D03*
-X42730000Y-22130000D03*
-X40095772Y-33843328D03*
-X30050000Y-36800000D03*
-X39200000Y-27892990D03*
-X30292456Y-25856973D03*
-X37770000Y-24242990D03*
-X30340000Y-24170000D03*
-X49882999Y-24887575D03*
-X49200000Y-24900000D03*
-X49550000Y-25500000D03*
-X61680000Y-20540000D03*
-X62680000Y-20540000D03*
-X61680000Y-21540000D03*
-X62680000Y-21540000D03*
-X61680000Y-22540000D03*
-X62680000Y-22540000D03*
-X61680000Y-23540000D03*
-X62680000Y-23540000D03*
-X61680000Y-24540000D03*
-X62680000Y-24540000D03*
-X61680000Y-25540000D03*
-X62680000Y-25540000D03*
-X61680000Y-26540000D03*
-X62680000Y-26540000D03*
-X61680000Y-27540000D03*
-X62680000Y-27540000D03*
-X61680000Y-28540000D03*
-X62680000Y-28540000D03*
-X61680000Y-29540000D03*
-X62680000Y-29540000D03*
-X61680000Y-30540000D03*
-X62680000Y-30540000D03*
-X61680000Y-31540000D03*
-X62680000Y-31540000D03*
-X61680000Y-32540000D03*
-X62680000Y-32540000D03*
-X61680000Y-33540000D03*
-X62680000Y-33540000D03*
-X61680000Y-34540000D03*
-X62680000Y-34540000D03*
-X61680000Y-35540000D03*
-X62680000Y-35540000D03*
-X61680000Y-36540000D03*
-X62680000Y-36540000D03*
-X47656337Y-33643663D03*
-X48312533Y-32567179D03*
-X45320000Y-35300000D03*
-X32580000Y-23594000D03*
-X34612000Y-23594000D03*
-X47312000Y-22832000D03*
-X48582000Y-22832000D03*
-X47820000Y-23594000D03*
-X40230000Y-36810000D03*
-X42770000Y-36810000D03*
-X32580000Y-36830000D03*
-X27520000Y-36790000D03*
-X55470000Y-36820000D03*
-X57950000Y-36810000D03*
-X60610000Y-36760000D03*
-X55430000Y-20390000D03*
-X52880000Y-20430000D03*
-X50400000Y-20460000D03*
-X47800000Y-20410000D03*
-X45280000Y-20420000D03*
-X42740000Y-20420000D03*
-X40200000Y-20400000D03*
-X37690000Y-20380000D03*
-X35170000Y-20400000D03*
-X27510000Y-20420000D03*
-X25070000Y-20380000D03*
-X52980000Y-22550000D03*
-X50870000Y-25530000D03*
-X28592990Y-28500000D03*
-X51500030Y-31437000D03*
-X30330000Y-30470000D03*
-X27794282Y-25816292D03*
-X43196790Y-31800901D03*
-X41588375Y-30788003D03*
-X36014007Y-28902422D03*
-X36830287Y-30510287D03*
-X34070000Y-26200000D03*
-X35118772Y-27302757D03*
-X37449835Y-25864876D03*
-X29775000Y-29400000D03*
-X36113167Y-33728021D03*
-X36718588Y-33171011D03*
-X29800000Y-27575000D03*
-X24500000Y-28800000D03*
-X27700000Y-30900000D03*
-X24500000Y-27900000D03*
-X25150000Y-27200000D03*
-X25150000Y-30357010D03*
-X24770000Y-29600000D03*
-X32840000Y-30290000D03*
-X52315000Y-29530000D03*
-X55450000Y-32620000D03*
-X48000000Y-24750000D03*
-X48550000Y-24200000D03*
-X24300000Y-30450000D03*
-X24460000Y-26810000D03*
-X41050000Y-30250000D03*
-X40873240Y-31036298D03*
-X32620000Y-21830000D03*
-X33269503Y-26301268D03*
-X38114536Y-32091178D03*
-X32700000Y-26800000D03*
-X37360000Y-32030000D03*
-X44711128Y-30646985D03*
-X43238543Y-34479306D03*
-X40858662Y-27948664D03*
-X43887842Y-31491848D03*
-X42708663Y-33938663D03*
-X40831870Y-27058583D03*
-X31520000Y-34060002D03*
-X45330000Y-30211021D03*
-X45727511Y-28212050D03*
-X52870000Y-32640000D03*
+X207100000Y-55150000D03*
+X204800000Y-55150000D03*
D17*
-X52980000Y-22550000D02*
-X50870000Y-24660000D01*
-X50870000Y-24660000D02*
-X50870000Y-25530000D01*
+X204800000Y-48850000D03*
+D16*
+X202500000Y-55150000D03*
D18*
-X51640000Y-31576970D02*
-X51500030Y-31437000D01*
-X51640000Y-36100000D02*
-X51640000Y-31576970D01*
-D17*
-X28592990Y-29157254D02*
-X29905736Y-30470000D01*
-X29905736Y-30470000D02*
-X30330000Y-30470000D01*
-X28592990Y-28500000D02*
-X28592990Y-29157254D01*
-X28592990Y-26615000D02*
-X28094281Y-26116291D01*
-X28592990Y-28500000D02*
-X28592990Y-26615000D01*
-X28094281Y-26116291D02*
-X27794282Y-25816292D01*
-X45980201Y-27202033D02*
-X43196790Y-29985444D01*
-X56472033Y-27202033D02*
-X45980201Y-27202033D01*
-X59200000Y-29930000D02*
-X56472033Y-27202033D01*
-X43196790Y-31376637D02*
-X43196790Y-31800901D01*
-X43196790Y-29985444D02*
-X43196790Y-31376637D01*
-X57997919Y-27390000D02*
-X57495941Y-26888022D01*
-X59200000Y-27390000D02*
-X57997919Y-27390000D01*
-X57495941Y-26888022D02*
-X45850134Y-26888022D01*
-X45850134Y-26888022D02*
-X41950153Y-30788003D01*
-X41950153Y-30788003D02*
-X41588375Y-30788003D01*
-X31400000Y-21180000D02*
-X31320000Y-21100000D01*
-X36014007Y-28902422D02*
-X36014007Y-29694007D01*
-X36530288Y-30210288D02*
-X36830287Y-30510287D01*
-X36014007Y-29694007D02*
-X36530288Y-30210288D01*
-X34070000Y-26320000D02*
-X34070000Y-26200000D01*
-X35996429Y-28920000D02*
-X35080000Y-28920000D01*
-X35080000Y-28920000D02*
-X33700000Y-27540000D01*
-X36014007Y-28902422D02*
-X35996429Y-28920000D01*
-X33700000Y-27540000D02*
-X33700000Y-26690000D01*
-X33700000Y-26690000D02*
-X34070000Y-26320000D01*
-X35118772Y-27302757D02*
-X36011954Y-27302757D01*
-X36011954Y-27302757D02*
-X37149836Y-26164875D01*
-X37149836Y-26164875D02*
-X37449835Y-25864876D01*
+X189200000Y-46875000D03*
+X189200000Y-45125000D03*
D19*
-X35898021Y-33728021D02*
-X36113167Y-33728021D01*
-X35720000Y-33550000D02*
-X35898021Y-33728021D01*
-X34800000Y-33550000D02*
-X35720000Y-33550000D01*
-X29775000Y-29400000D02*
-X30650000Y-29400000D01*
-X30650000Y-29400000D02*
-X34800000Y-33550000D01*
-X34925899Y-33171011D02*
-X29800000Y-28045112D01*
-X36718588Y-33171011D02*
-X34925899Y-33171011D01*
-X29800000Y-27999264D02*
-X29800000Y-27575000D01*
-X29800000Y-28045112D02*
-X29800000Y-27999264D01*
-X32840000Y-30290000D02*
-X32350000Y-29800000D01*
-X32350000Y-29800000D02*
-X32350000Y-27490000D01*
-X32350000Y-27490000D02*
-X31790000Y-26930000D01*
-X31790000Y-26930000D02*
-X31790000Y-25100000D01*
-D20*
-X59260000Y-35527602D02*
-X59260000Y-36100000D01*
-D18*
-X52315000Y-29530000D02*
-X55405000Y-32620000D01*
-X55405000Y-32620000D02*
-X55450000Y-32620000D01*
+X187162500Y-67200000D03*
+X189037500Y-67200000D03*
D20*
-X48550000Y-24200000D02*
-X48000000Y-24750000D01*
-X47750001Y-24999999D02*
-X48000000Y-24750000D01*
-X27540000Y-24999999D02*
-X47750001Y-24999999D01*
-X25707000Y-26055361D02*
-X26484638Y-26055361D01*
-X26484638Y-26055361D02*
-X27540000Y-24999999D01*
+X191125000Y-45675000D03*
+X191125000Y-49825000D03*
+X193275000Y-45675000D03*
+X193275000Y-49825000D03*
D21*
-X21420000Y-21780000D02*
-X23650000Y-24010000D01*
-X23650000Y-26000000D02*
-X24460000Y-26810000D01*
-X23650000Y-24010000D02*
-X23650000Y-26000000D01*
-D17*
-X45720067Y-26574011D02*
-X42044078Y-30250000D01*
-X41474264Y-30250000D02*
-X41050000Y-30250000D01*
-X55720067Y-26574011D02*
-X45720067Y-26574011D01*
-X42044078Y-30250000D02*
-X41474264Y-30250000D01*
-X59260000Y-21100000D02*
-X57714011Y-22645989D01*
-X57714011Y-22645989D02*
-X57714010Y-24580068D01*
-X57714010Y-24580068D02*
-X55720067Y-26574011D01*
-X40573241Y-30736299D02*
-X40873240Y-31036298D01*
-X55590000Y-26260000D02*
-X45590000Y-26260000D01*
-X45590000Y-26260000D02*
-X42135501Y-29714499D01*
-X42135501Y-29714499D02*
-X40792959Y-29714499D01*
-X40792959Y-29714499D02*
-X40514499Y-29992959D01*
-X40514499Y-29992959D02*
-X40514499Y-30677557D01*
-X40514499Y-30677557D02*
-X40573241Y-30736299D01*
-X56720000Y-21100000D02*
-X57400000Y-21780000D01*
-X57400000Y-21780000D02*
-X57400000Y-24450000D01*
-X57400000Y-24450000D02*
-X55590000Y-26260000D01*
-X32320001Y-22129999D02*
-X32620000Y-21830000D01*
-X32200000Y-22250000D02*
-X32320001Y-22129999D01*
-X28780000Y-21100000D02*
-X29930000Y-22250000D01*
-X29930000Y-22250000D02*
-X32200000Y-22250000D01*
-X36930234Y-31374498D02*
-X37822120Y-31374498D01*
-X37822120Y-31374498D02*
-X38114536Y-31666914D01*
-X33269503Y-26301268D02*
-X33269503Y-27713767D01*
-X38114536Y-31666914D02*
-X38114536Y-32091178D01*
-X33269503Y-27713767D02*
-X36930234Y-31374498D01*
-X32700000Y-27794264D02*
-X36935736Y-32030000D01*
-X32700000Y-26800000D02*
-X32700000Y-27794264D01*
-X36935736Y-32030000D02*
-X37360000Y-32030000D01*
-X41480000Y-36100000D02*
-X41552542Y-36100000D01*
-X44711128Y-30646985D02*
-X44711128Y-33006721D01*
-X44711128Y-33006721D02*
-X43538542Y-34179307D01*
-X43538542Y-34179307D02*
-X43238543Y-34479306D01*
-X38940000Y-34404078D02*
-X39564011Y-33780067D01*
-X39564011Y-33780067D02*
-X39564011Y-29243315D01*
-X38940000Y-36100000D02*
-X38940000Y-34404078D01*
-X40558663Y-28248663D02*
-X40858662Y-27948664D01*
-X39564011Y-29243315D02*
-X40558663Y-28248663D01*
-X43887842Y-31491848D02*
-X43887842Y-32759484D01*
-X43008662Y-33638664D02*
-X42708663Y-33938663D01*
-X43887842Y-32759484D02*
-X43008662Y-33638664D01*
-X40831870Y-27218130D02*
-X40831870Y-27058583D01*
-X39250000Y-28800000D02*
-X40831870Y-27218130D01*
-X39250000Y-33650000D02*
-X39250000Y-28800000D01*
-X37960000Y-34940000D02*
-X39250000Y-33650000D01*
-X31320000Y-36100000D02*
-X32480000Y-34940000D01*
-X32480000Y-34940000D02*
-X37960000Y-34940000D01*
-X31524999Y-34065001D02*
-X31520000Y-34060002D01*
-X30900000Y-34690000D02*
-X31524999Y-34065001D01*
-X24103000Y-34690000D02*
-X30900000Y-34690000D01*
-X23700000Y-36100000D02*
-X23700000Y-35093000D01*
-X23700000Y-35093000D02*
-X24103000Y-34690000D01*
-X45330000Y-28609561D02*
-X45427512Y-28512049D01*
-X45330000Y-30211021D02*
-X45330000Y-28609561D01*
-X45427512Y-28512049D02*
-X45727511Y-28212050D01*
-X53240000Y-32640000D02*
-X53900000Y-33300000D01*
-X52870000Y-32640000D02*
-X53240000Y-32640000D01*
+X196450000Y-52812500D03*
+X196450000Y-54687500D03*
D22*
+X187300000Y-47800000D03*
+D23*
+X174500000Y-72125000D03*
+X174500000Y-73875000D03*
+D18*
+X189200000Y-50500000D03*
+X189200000Y-48750000D03*
+D24*
+X201575000Y-46250000D03*
+X199825000Y-46250000D03*
+D25*
+X201637500Y-48250000D03*
+X199762500Y-48250000D03*
+D26*
+X214200000Y-71500000D03*
+D27*
+X214200000Y-68960000D03*
+X214200000Y-66420000D03*
+X214200000Y-63880000D03*
+D28*
+X198450000Y-52962500D03*
+X198450000Y-54537500D03*
+D15*
+X203325000Y-67500000D03*
+X205075000Y-67500000D03*
+D24*
+X205075000Y-69500000D03*
+X203325000Y-69500000D03*
+X205075000Y-65500000D03*
+X203325000Y-65500000D03*
+D15*
+X203325000Y-71500000D03*
+X205075000Y-71500000D03*
+D24*
+X201075000Y-69500000D03*
+X199325000Y-69500000D03*
+D29*
+X174150000Y-65500000D03*
+X172250000Y-65500000D03*
+X173200000Y-63500000D03*
+D30*
+X217700000Y-76050000D03*
+X217700000Y-77950000D03*
+X215700000Y-77000000D03*
+X143700000Y-69450000D03*
+X143700000Y-67550000D03*
+X145700000Y-68500000D03*
+X169700000Y-69350000D03*
+X169700000Y-67450000D03*
+X171700000Y-68400000D03*
+X196200000Y-67550000D03*
+X196200000Y-69450000D03*
+X194200000Y-68500000D03*
+D18*
+X182200000Y-54375000D03*
+X182200000Y-52625000D03*
+D15*
+X177700000Y-57250000D03*
+X179450000Y-57250000D03*
+D18*
+X175950000Y-55125000D03*
+X175950000Y-53375000D03*
+D15*
+X184125000Y-54400000D03*
+X185875000Y-54400000D03*
+D18*
+X187600000Y-55375000D03*
+X187600000Y-53625000D03*
+D23*
+X186900000Y-60625000D03*
+X186900000Y-62375000D03*
+X185200000Y-60625000D03*
+X185200000Y-62375000D03*
+D31*
+X177000000Y-50500000D03*
+X177800000Y-50500000D03*
+D32*
+X178950000Y-50500000D03*
+X179950000Y-50500000D03*
+X180450000Y-50500000D03*
+X181450000Y-50500000D03*
+D31*
+X183400000Y-50500000D03*
+X182600000Y-50500000D03*
+D32*
+X181950000Y-50500000D03*
+X180950000Y-50500000D03*
+X179450000Y-50500000D03*
+X178450000Y-50500000D03*
+D33*
+X184520000Y-49585000D03*
+X175880000Y-49585000D03*
+D34*
+X184520000Y-45405000D03*
+X175880000Y-45405000D03*
+D35*
+X179900000Y-55350000D03*
+X178950000Y-55350000D03*
+X178000000Y-55350000D03*
+X178000000Y-53150000D03*
+X178950000Y-53150000D03*
+X179900000Y-53150000D03*
+D36*
+X179201212Y-73387876D03*
+X178847658Y-73034322D03*
+X178494105Y-72680769D03*
+X178140551Y-72327215D03*
+X177786998Y-71973662D03*
+X177433445Y-71620109D03*
+X177079891Y-71266555D03*
+X176726338Y-70913002D03*
+X176372785Y-70559449D03*
+X176019231Y-70205895D03*
+X175665678Y-69852342D03*
+X175312124Y-69498788D03*
+D37*
+X175312124Y-67501212D03*
+X175665678Y-67147658D03*
+X176019231Y-66794105D03*
+X176372785Y-66440551D03*
+X176726338Y-66086998D03*
+X177079891Y-65733445D03*
+X177433445Y-65379891D03*
+X177786998Y-65026338D03*
+X178140551Y-64672785D03*
+X178494105Y-64319231D03*
+X178847658Y-63965678D03*
+X179201212Y-63612124D03*
+D36*
+X181198788Y-63612124D03*
+X181552342Y-63965678D03*
+X181905895Y-64319231D03*
+X182259449Y-64672785D03*
+X182613002Y-65026338D03*
+X182966555Y-65379891D03*
+X183320109Y-65733445D03*
+X183673662Y-66086998D03*
+X184027215Y-66440551D03*
+X184380769Y-66794105D03*
+X184734322Y-67147658D03*
+X185087876Y-67501212D03*
+D37*
+X185087876Y-69498788D03*
+X184734322Y-69852342D03*
+X184380769Y-70205895D03*
+X184027215Y-70559449D03*
+X183673662Y-70913002D03*
+X183320109Y-71266555D03*
+X182966555Y-71620109D03*
+X182613002Y-71973662D03*
+X182259449Y-72327215D03*
+X181905895Y-72680769D03*
+X181552342Y-73034322D03*
+X181198788Y-73387876D03*
+D15*
+X199325000Y-67500000D03*
+X201075000Y-67500000D03*
+D38*
+X177660000Y-79250000D03*
+X182740000Y-86750000D03*
+X182740000Y-79250000D03*
+X177660000Y-86750000D03*
+X180200000Y-79250000D03*
+X180200000Y-86750000D03*
+D39*
+X185800000Y-64200000D03*
+X186100000Y-63400000D03*
+X188300000Y-68150000D03*
+X187450000Y-70100000D03*
+X181200000Y-54300000D03*
+X198500000Y-48700000D03*
+X175620000Y-64500000D03*
+X166140000Y-60780000D03*
+X176200000Y-72600000D03*
+X202160000Y-70800000D03*
+X188112019Y-69980226D03*
+X207300000Y-57000000D03*
+X180800000Y-56400000D03*
+X187720000Y-72680000D03*
+X198500000Y-48000000D03*
+X198500000Y-46600000D03*
+X182750000Y-67260000D03*
+X198800000Y-55700000D03*
+X198500000Y-47300000D03*
+X206300000Y-57000000D03*
+X205000000Y-68500000D03*
+X174500000Y-55100000D03*
+X184500000Y-52600000D03*
+X182000000Y-74500000D03*
+X177250000Y-67280000D03*
+X183200000Y-53500000D03*
+X175700000Y-72100000D03*
+X187800000Y-52600000D03*
+X188300000Y-67300000D03*
+X181600000Y-56400000D03*
+X196500000Y-56100000D03*
+X206600000Y-53300000D03*
+X195000000Y-54700000D03*
+X187200000Y-65900000D03*
+X179900000Y-65000000D03*
+X187800000Y-45100000D03*
+X200830000Y-57620000D03*
+X179200000Y-65600000D03*
+X149600000Y-68500000D03*
+X183385000Y-67815000D03*
+X199400000Y-54500000D03*
+X186100000Y-52600000D03*
+X186400000Y-65900000D03*
+X178900000Y-56400000D03*
+X181000000Y-74500000D03*
+X209000000Y-55400000D03*
+X198500000Y-49400000D03*
+X198500000Y-45900000D03*
+X207700000Y-53200000D03*
+X175900000Y-56500000D03*
+X185300000Y-52600000D03*
+X198240000Y-59660000D03*
+X180200000Y-83000000D03*
+X180100000Y-74600000D03*
+X172150000Y-69650000D03*
+X180100000Y-76400000D03*
+X178900000Y-54100000D03*
+X200800000Y-49100000D03*
+X180100000Y-62500000D03*
+X188600000Y-61800000D03*
+X196800000Y-58400000D03*
+X181300000Y-80200000D03*
+X186500000Y-58700000D03*
+X176100000Y-79600000D03*
+X175900000Y-82800000D03*
+X188600000Y-70558968D03*
+X187350000Y-64550000D03*
+X202050000Y-64750000D03*
+X184200000Y-63000000D03*
+X180700000Y-53000000D03*
+X177700000Y-54300000D03*
+X184100000Y-64000000D03*
+X177700000Y-51700000D03*
+X187000000Y-56000000D03*
+X194200000Y-51700000D03*
+X183000000Y-51700000D03*
+X178300000Y-68500000D03*
+X178600000Y-77400000D03*
+X182800000Y-74600000D03*
+X173800000Y-47300000D03*
+X185000000Y-72100000D03*
+X202150000Y-68550000D03*
+X185300000Y-71300000D03*
+X200400000Y-68850000D03*
+X185000000Y-73700000D03*
+X184200000Y-82500000D03*
+X184100000Y-73700000D03*
+X182800000Y-77100000D03*
+X190800000Y-69500000D03*
+X189530539Y-70380529D03*
+X186710280Y-73610280D03*
+X187950000Y-80800000D03*
+X178200000Y-67150000D03*
+X162650000Y-67450000D03*
+X177400000Y-68507010D03*
+X174000000Y-69550000D03*
+D40*
+X202400000Y-46100000D02*
+X202357010Y-46100000D01*
+X183385000Y-67815000D02*
+X183100000Y-68100000D01*
+D41*
+X177000000Y-50925000D02*
+X175925000Y-52000000D01*
+X188975000Y-69000000D02*
+X188975000Y-68825000D01*
+X188300000Y-67300000D02*
+X188937500Y-67300000D01*
+X188412018Y-69680227D02*
+X188112019Y-69980226D01*
+D40*
+X182200000Y-73681980D02*
+X182200000Y-74300000D01*
+D41*
+X183400000Y-50500000D02*
+X184500000Y-51600000D01*
+X177000000Y-50500000D02*
+X177000000Y-50925000D01*
+X189037500Y-67200000D02*
+X189037500Y-67412500D01*
+X179450000Y-57250000D02*
+X178950000Y-56750000D01*
+D40*
+X184102870Y-67647606D02*
+X183552394Y-67647606D01*
+X183100000Y-68100000D02*
+X183100000Y-68200000D01*
+D41*
+X184500000Y-51600000D02*
+X184500000Y-52600000D01*
+D40*
+X181552342Y-73034322D02*
+X182200000Y-73681980D01*
+D41*
+X188412018Y-69037982D02*
+X188412018Y-69680227D01*
+X178950000Y-56750000D02*
+X178950000Y-55350000D01*
+X175925000Y-52000000D02*
+X175600000Y-52000000D01*
+D40*
+X184734322Y-67147658D02*
+X184602818Y-67147658D01*
+D41*
+X188450000Y-69000000D02*
+X188412018Y-69037982D01*
+X188937500Y-67300000D02*
+X189037500Y-67200000D01*
+X188300000Y-67300000D02*
+X188300000Y-68150000D01*
+D40*
+X183552394Y-67647606D02*
+X183385000Y-67815000D01*
+D41*
+X188975000Y-69000000D02*
+X188450000Y-69000000D01*
+X189037500Y-67412500D02*
+X188300000Y-68150000D01*
+X188975000Y-68825000D02*
+X188300000Y-68150000D01*
+D40*
+X184602818Y-67147658D02*
+X184102870Y-67647606D01*
+X182200000Y-74300000D02*
+X182000000Y-74500000D01*
+D42*
+X180700000Y-71900000D02*
+X180200000Y-71900000D01*
+D40*
+X180100000Y-79150000D02*
+X180200000Y-79250000D01*
+D42*
+X184298841Y-68301159D02*
+X180700000Y-71900000D01*
+D41*
+X187675000Y-60625000D02*
+X188600000Y-61550000D01*
+D42*
+X180200000Y-71900000D02*
+X180200000Y-72400000D01*
+D40*
+X174025000Y-72125000D02*
+X174500000Y-72125000D01*
+D42*
+X204800000Y-48850000D02*
+X204800000Y-55150000D01*
+D41*
+X187200000Y-60625000D02*
+X187675000Y-60625000D01*
+D42*
+X185901159Y-68301159D02*
+X184298841Y-68301159D01*
+D40*
+X172150000Y-69670000D02*
+X174025000Y-71545000D01*
+X176372785Y-70559449D02*
+X177532234Y-69400000D01*
+X193275000Y-45475000D02*
+X193275000Y-45675000D01*
+X172150000Y-69650000D02*
+X172150000Y-69670000D01*
+D42*
+X207900972Y-73500000D02*
+X202850000Y-73500000D01*
+D41*
+X201575000Y-48187500D02*
+X201637500Y-48250000D01*
+D42*
+X188212989Y-66149511D02*
+X188212989Y-62130001D01*
+D43*
+X183400000Y-62500000D02*
+X180100000Y-62500000D01*
+X180100000Y-62500000D02*
+X179700000Y-62500000D01*
+D40*
+X184500061Y-68301159D02*
+X184298841Y-68301159D01*
+D42*
+X199325000Y-67500000D02*
+X199325000Y-67025000D01*
+D40*
+X180200000Y-86750000D02*
+X180200000Y-83000000D01*
+D42*
+X187162500Y-67200000D02*
+X188212989Y-66149511D01*
+D40*
+X180289088Y-72489088D02*
+X180289088Y-74410912D01*
+X185087876Y-67713344D02*
+X184500061Y-68301159D01*
+D42*
+X187225000Y-67262500D02*
+X187162500Y-67200000D01*
+D40*
+X193275000Y-49100000D02*
+X193275000Y-45675000D01*
+X174025000Y-71545000D02*
+X174025000Y-72125000D01*
+D42*
+X199325000Y-69500000D02*
+X199325000Y-67500000D01*
+X202850000Y-73500000D02*
+X199325000Y-69975000D01*
+X199325000Y-67025000D02*
+X197050000Y-64750000D01*
+D41*
+X201575000Y-46250000D02*
+X201575000Y-48187500D01*
+D40*
+X180200000Y-80100000D02*
+X180200000Y-79250000D01*
+X180100000Y-72489088D02*
+X179201212Y-73387876D01*
+X180200000Y-72400000D02*
+X180100000Y-72489088D01*
+D43*
+X185200000Y-60700000D02*
+X183400000Y-62500000D01*
+D40*
+X180289088Y-72489088D02*
+X180200000Y-72400000D01*
+D42*
+X199325000Y-69975000D02*
+X199325000Y-69500000D01*
+D41*
+X188600000Y-61550000D02*
+X188600000Y-61800000D01*
+D42*
+X197050000Y-64750000D02*
+X197050000Y-58800000D01*
+D40*
+X179700000Y-63113336D02*
+X179201212Y-63612124D01*
+X174807234Y-72125000D02*
+X174500000Y-72125000D01*
+X176372785Y-70559449D02*
+X174807234Y-72125000D01*
+D42*
+X214200000Y-71500000D02*
+X209900972Y-71500000D01*
+D43*
+X185200000Y-60625000D02*
+X185200000Y-60700000D01*
+D40*
+X180100000Y-76400000D02*
+X180100000Y-79150000D01*
+X201575000Y-46250000D02*
+X201575000Y-45775000D01*
+D42*
+X197050000Y-58800000D02*
+X196800000Y-58400000D01*
+D40*
+X181187876Y-73387876D02*
+X180289088Y-72489088D01*
+D43*
+X186500000Y-59925000D02*
+X187200000Y-60625000D01*
+D40*
+X193275000Y-49825000D02*
+X193275000Y-49100000D01*
+X180289088Y-74410912D02*
+X180100000Y-74600000D01*
+D42*
+X187225000Y-69000000D02*
+X187225000Y-67262500D01*
+D40*
+X179700000Y-62500000D02*
+X179700000Y-63113336D01*
+X200750000Y-44950000D02*
+X193800000Y-44950000D01*
+D42*
+X187225000Y-69000000D02*
+X186600000Y-69000000D01*
+D41*
+X201650000Y-47350000D02*
+X201575000Y-47275000D01*
+X201637500Y-48262500D02*
+X200800000Y-49100000D01*
+D42*
+X209900972Y-71500000D02*
+X207900972Y-73500000D01*
+D43*
+X186500000Y-58700000D02*
+X186500000Y-59925000D01*
+D40*
+X181198788Y-73387876D02*
+X181187876Y-73387876D01*
+X180300000Y-80200000D02*
+X180200000Y-80100000D01*
+D42*
+X186600000Y-69000000D02*
+X185901159Y-68301159D01*
+D40*
+X185087876Y-67501212D02*
+X185087876Y-67713344D01*
+D42*
+X188212989Y-62130001D02*
+X188542990Y-61800000D01*
+D41*
+X201637500Y-48250000D02*
+X201637500Y-48262500D01*
+X187200000Y-60625000D02*
+X185200000Y-60625000D01*
+D43*
+X178950000Y-54050000D02*
+X178900000Y-54100000D01*
+D42*
+X202237500Y-48850000D02*
+X201637500Y-48250000D01*
+D43*
+X178950000Y-53150000D02*
+X178950000Y-54050000D01*
+D40*
+X193800000Y-44950000D02*
+X193275000Y-45475000D01*
+D42*
+X180200000Y-71900000D02*
+X177700000Y-69400000D01*
+D40*
+X177532234Y-69400000D02*
+X177700000Y-69400000D01*
+X181300000Y-80200000D02*
+X180300000Y-80200000D01*
+D42*
+X204800000Y-48850000D02*
+X202237500Y-48850000D01*
+D40*
+X201575000Y-45775000D02*
+X200750000Y-44950000D01*
+D41*
+X201575000Y-47275000D02*
+X201575000Y-46250000D01*
+D40*
+X177660000Y-84560000D02*
+X175900000Y-82800000D01*
+X177660000Y-86750000D02*
+X177660000Y-84560000D01*
+X176100000Y-79600000D02*
+X176100000Y-75074874D01*
+X176100000Y-75074874D02*
+X178494105Y-72680769D01*
+X186660187Y-70858967D02*
+X188300001Y-70858967D01*
+X188300001Y-70858967D02*
+X188600000Y-70558968D01*
+X185300008Y-69498788D02*
+X186660187Y-70858967D01*
+X185087876Y-69498788D02*
+X185300008Y-69498788D01*
+X214200000Y-68960000D02*
+X210940000Y-65700000D01*
+X202750000Y-64550000D02*
+X202550000Y-64750000D01*
+X206300000Y-64550000D02*
+X202750000Y-64550000D01*
+X202550000Y-64750000D02*
+X202050000Y-64750000D01*
+X206550000Y-64300000D02*
+X206300000Y-64550000D01*
+X184380769Y-66794105D02*
+X186624874Y-64550000D01*
+X186624874Y-64550000D02*
+X186925736Y-64550000D01*
+X186925736Y-64550000D02*
+X187350000Y-64550000D01*
+X210050000Y-65700000D02*
+X208650000Y-64300000D01*
+X210940000Y-65700000D02*
+X210050000Y-65700000D01*
+X208650000Y-64300000D02*
+X206550000Y-64300000D01*
+X182200000Y-52625000D02*
+X182075000Y-52625000D01*
+X179900000Y-54800000D02*
+X179900000Y-55350000D01*
+X182075000Y-52625000D02*
+X179900000Y-54800000D01*
+X183650000Y-55350000D02*
+X180425000Y-55350000D01*
+X181950000Y-50500000D02*
+X181950000Y-52375000D01*
+X184125000Y-54400000D02*
+X184125000Y-54875000D01*
+X184125000Y-54875000D02*
+X183650000Y-55350000D01*
+X180425000Y-55350000D02*
+X179900000Y-55350000D01*
+X181950000Y-52375000D02*
+X182200000Y-52625000D01*
+X178000000Y-55350000D02*
+X177750000Y-55350000D01*
+X175950000Y-52850000D02*
+X175950000Y-53375000D01*
+X177750000Y-55350000D02*
+X175950000Y-53550000D01*
+X175950000Y-53550000D02*
+X175950000Y-53375000D01*
+X178950000Y-50500000D02*
+X178950000Y-51425000D01*
+X178000000Y-55350000D02*
+X178000000Y-56950000D01*
+X178075000Y-52300000D02*
+X176500000Y-52300000D01*
+X176500000Y-52300000D02*
+X175950000Y-52850000D01*
+X178000000Y-56950000D02*
+X177700000Y-57250000D01*
+X178950000Y-51425000D02*
+X178075000Y-52300000D01*
+X184027215Y-66440551D02*
+X185157002Y-65310764D01*
+X179900000Y-51900000D02*
+X179900000Y-53150000D01*
+X179950000Y-53100000D02*
+X179900000Y-53150000D01*
+X180950000Y-51425000D02*
+X180875000Y-51500000D01*
+X180550000Y-53150000D02*
+X180700000Y-53000000D01*
+X179950000Y-50500000D02*
+X179950000Y-53100000D01*
+X180875000Y-51500000D02*
+X180300000Y-51500000D01*
+X185157002Y-63732638D02*
+X184424364Y-63000000D01*
+X185157002Y-65310764D02*
+X185157002Y-63732638D01*
+X184424364Y-63000000D02*
+X184200000Y-63000000D01*
+X180950000Y-50500000D02*
+X180950000Y-51425000D01*
+X179900000Y-53150000D02*
+X180550000Y-53150000D01*
+X180300000Y-51500000D02*
+X179900000Y-51900000D01*
+X183673662Y-66086998D02*
+X184399999Y-65360661D01*
+X179450000Y-49575000D02*
+X179450000Y-50500000D01*
+X178000000Y-52879889D02*
+X178000000Y-53150000D01*
+X184399999Y-65360661D02*
+X184399999Y-64299999D01*
+X178000000Y-54000000D02*
+X177700000Y-54300000D01*
+X184399999Y-64299999D02*
+X184100000Y-64000000D01*
+X179450000Y-50500000D02*
+X179450000Y-51429889D01*
+X179507001Y-49517999D02*
+X179450000Y-49575000D01*
+X180305601Y-49517999D02*
+X179507001Y-49517999D01*
+X178000000Y-53150000D02*
+X178000000Y-54000000D01*
+X180450000Y-49662398D02*
+X180305601Y-49517999D01*
+X180450000Y-50500000D02*
+X180450000Y-49662398D01*
+X179450000Y-51429889D02*
+X178000000Y-52879889D01*
+D42*
+X196450000Y-52812500D02*
+X195312500Y-52812500D01*
+X198450000Y-52962500D02*
+X196600000Y-52962500D01*
+D40*
+X187600000Y-55400000D02*
+X187260000Y-55740000D01*
+D41*
+X177800000Y-50500000D02*
+X177800000Y-51600000D01*
+X182600000Y-51547602D02*
+X182752398Y-51700000D01*
+D43*
+X182600000Y-50500000D02*
+X182700000Y-50500000D01*
+D41*
+X177800000Y-51600000D02*
+X177700000Y-51700000D01*
+D42*
+X196600000Y-52962500D02*
+X196450000Y-52812500D01*
+X195312500Y-52812500D02*
+X194200000Y-51700000D01*
+X202500000Y-55150000D02*
+X200312500Y-52962500D01*
+D41*
+X182600000Y-50500000D02*
+X182600000Y-51547602D01*
+D42*
+X200312500Y-52962500D02*
+X198450000Y-52962500D01*
+D41*
+X182752398Y-51700000D02*
+X183000000Y-51700000D01*
+D43*
+X187600000Y-55400000D02*
+X187000000Y-56000000D01*
+D40*
+X183400000Y-73900000D02*
+X183900000Y-74400000D01*
+X190157010Y-71048790D02*
+X190157010Y-65957010D01*
+X189200000Y-62490000D02*
+X189200000Y-61230000D01*
+X187510000Y-59540000D02*
+X187510000Y-57500000D01*
+X187510000Y-57500000D02*
+X188467990Y-56542010D01*
+X188870000Y-64670000D02*
+X188870000Y-62820000D01*
+X190157010Y-65957010D02*
+X188870000Y-64670000D01*
+X178847658Y-73052342D02*
+X178100000Y-73800000D01*
+X189200000Y-61230000D02*
+X187510000Y-59540000D01*
+X189200000Y-48225000D02*
+X188800000Y-47825000D01*
+X187666652Y-73556652D02*
+X187110000Y-73000000D01*
+X186000000Y-75200000D02*
+X186005800Y-75200000D01*
+X188870000Y-62820000D02*
+X189200000Y-62490000D01*
+X188800000Y-47825000D02*
+X188800000Y-47800000D01*
+X187110000Y-73000000D02*
+X186300000Y-73000000D01*
+X179200000Y-75200000D02*
+X186000000Y-75200000D01*
+X188725000Y-48750000D02*
+X189200000Y-48750000D01*
+X178847658Y-73034322D02*
+X178847658Y-73052342D01*
+X188800000Y-47800000D02*
+X189200000Y-47400000D01*
+X190200000Y-71050000D02*
+X190157010Y-71048790D01*
+X178100000Y-74100000D02*
+X179200000Y-75200000D01*
+X183400000Y-72760660D02*
+X183400000Y-73900000D01*
+X185300000Y-74400000D02*
+X186000000Y-73700000D01*
+X187300000Y-47800000D02*
+X188800000Y-47800000D01*
+X182613002Y-71973662D02*
+X183400000Y-72760660D01*
+X187666652Y-73556652D02*
+X190200000Y-71050000D01*
+X183900000Y-74400000D02*
+X185300000Y-74400000D01*
+X186000000Y-73700000D02*
+X186000000Y-73300000D01*
+X186005800Y-75200000D02*
+X187666652Y-73556652D01*
+X188467990Y-56542010D02*
+X188467990Y-49007010D01*
+X178100000Y-73800000D02*
+X178100000Y-74100000D01*
+X188467990Y-49007010D02*
+X188725000Y-48750000D01*
+X186300000Y-73000000D02*
+X186000000Y-73300000D01*
+X189200000Y-48750000D02*
+X189200000Y-48225000D01*
+X189200000Y-47400000D02*
+X189200000Y-46875000D01*
+X189350000Y-49825000D02*
+X189200000Y-49975000D01*
+X191125000Y-49825000D02*
+X189350000Y-49825000D01*
+X189200000Y-49975000D02*
+X189200000Y-50500000D01*
+X191125000Y-45675000D02*
+X191125000Y-49825000D01*
+X178724264Y-68500000D02*
+X178300000Y-68500000D01*
+X179846446Y-68500000D02*
+X178724264Y-68500000D01*
+X182966555Y-65379891D02*
+X179846446Y-68500000D01*
+X178600000Y-77400000D02*
+X177900000Y-77400000D01*
+X182600000Y-73374874D02*
+X182600000Y-74400000D01*
+X177900000Y-77400000D02*
+X177660000Y-77640000D01*
+X181905895Y-72680769D02*
+X182600000Y-73374874D01*
+X182600000Y-74400000D02*
+X182800000Y-74600000D01*
+X177660000Y-77640000D02*
+X177660000Y-79250000D01*
+D41*
+X168470000Y-81500000D02*
+X167660000Y-82310000D01*
+X167200000Y-81850000D02*
+X167660000Y-82310000D01*
+X212280000Y-75150000D02*
+X204910000Y-75150000D01*
+X192120000Y-75310000D02*
+X188640000Y-75310000D01*
+X184070000Y-81500000D02*
+X168470000Y-81500000D01*
+D40*
+X175165731Y-70352289D02*
+X173902289Y-70352289D01*
+X171137398Y-67650000D02*
+X170800000Y-67987398D01*
+D41*
+X193890000Y-83000000D02*
+X193180000Y-82290000D01*
+X186650000Y-78920000D02*
+X184070000Y-81500000D01*
+D40*
+X169362602Y-71000000D02*
+X168897056Y-71000000D01*
+D41*
+X188640000Y-75310000D02*
+X186650000Y-77300000D01*
+X147700000Y-82350000D02*
+X147660000Y-82310000D01*
+X193180000Y-82290000D02*
+X193180000Y-76685634D01*
+X193180000Y-76685634D02*
+X192740000Y-76245634D01*
+D40*
+X175665678Y-69852342D02*
+X175165731Y-70352289D01*
+D41*
+X167200000Y-71000000D02*
+X167200000Y-81850000D01*
+X148350000Y-81700000D02*
+X147700000Y-82350000D01*
+D40*
+X170800000Y-69562602D02*
+X169362602Y-71000000D01*
+D41*
+X167660000Y-82310000D02*
+X152470000Y-82310000D01*
+X197060000Y-83000000D02*
+X193890000Y-83000000D01*
+X192740000Y-76245634D02*
+X192740000Y-74690000D01*
+X186650000Y-77300000D02*
+X186650000Y-78920000D01*
+X152470000Y-82310000D02*
+X151860000Y-81700000D01*
+X151860000Y-81700000D02*
+X148350000Y-81700000D01*
+D40*
+X173107010Y-69557010D02*
+X173107010Y-68494408D01*
+D41*
+X204910000Y-75150000D02*
+X197060000Y-83000000D01*
+D40*
+X172262602Y-67650000D02*
+X171137398Y-67650000D01*
+X173107010Y-68494408D02*
+X172262602Y-67650000D01*
+X170800000Y-67987398D02*
+X170800000Y-69562602D01*
+D41*
+X192740000Y-74690000D02*
+X192120000Y-75310000D01*
+X212740000Y-74690000D02*
+X212280000Y-75150000D01*
+D40*
+X168897056Y-71000000D02*
+X167200000Y-71000000D01*
+X173902289Y-70352289D02*
+X173107010Y-69557010D01*
+D41*
+X193200000Y-57000000D02*
+X192600000Y-56400000D01*
+X193200000Y-66000000D02*
+X193200000Y-57000000D01*
+X155280000Y-61770000D02*
+X164550000Y-52500000D01*
+D40*
+X169215634Y-62310000D02*
+X167660000Y-62310000D01*
+X175665678Y-67147658D02*
+X175665678Y-67016154D01*
+X171960000Y-63890000D02*
+X171960000Y-62430000D01*
+D41*
+X167660000Y-60754366D02*
+X167660000Y-62310000D01*
+X167770360Y-52500000D02*
+X172970360Y-47300000D01*
+D40*
+X175665678Y-67016154D02*
+X174807001Y-66157477D01*
+X171340000Y-61810000D02*
+X169715634Y-61810000D01*
+D41*
+X172970360Y-47300000D02*
+X173800000Y-47300000D01*
+X147660000Y-62310000D02*
+X148200000Y-61770000D01*
+D40*
+X172600000Y-64530000D02*
+X171960000Y-63890000D01*
+D41*
+X192600000Y-56400000D02*
+X192600000Y-54830000D01*
+D40*
+X174807001Y-64844399D02*
+X174492602Y-64530000D01*
+D41*
+X148200000Y-61770000D02*
+X155280000Y-61770000D01*
+X192600000Y-54830000D02*
+X192740000Y-54690000D01*
+X167750000Y-52500000D02*
+X167080000Y-53170000D01*
+D40*
+X169715634Y-61810000D02*
+X169215634Y-62310000D01*
+D41*
+X167080000Y-53170000D02*
+X167080000Y-60174366D01*
+X164550000Y-52500000D02*
+X167770360Y-52500000D01*
+D40*
+X174492602Y-64530000D02*
+X172600000Y-64530000D01*
+D41*
+X167080000Y-60174366D02*
+X167660000Y-60754366D01*
+D40*
+X174807001Y-66157477D02*
+X174807001Y-64844399D01*
+X171960000Y-62430000D02*
+X171340000Y-61810000D01*
+X183713002Y-70913002D02*
+X184900000Y-72100000D01*
+X201075000Y-67500000D02*
+X201600000Y-67500000D01*
+X183673662Y-70913002D02*
+X183713002Y-70913002D01*
+X201600000Y-67500000D02*
+X202150000Y-68050000D01*
+X202150000Y-68050000D02*
+X202150000Y-68550000D01*
+D42*
+X201075000Y-67500000D02*
+X203325000Y-67500000D01*
+D40*
+X184900000Y-72100000D02*
+X185000000Y-72100000D01*
+D42*
+X203325000Y-65500000D02*
+X203325000Y-67500000D01*
+D40*
+X201075000Y-69500000D02*
+X200550000Y-69500000D01*
+D42*
+X201075000Y-69500000D02*
+X201182002Y-69607002D01*
+X202800000Y-69500000D02*
+X203325000Y-69500000D01*
+D40*
+X184027215Y-70559449D02*
+X184767766Y-71300000D01*
+X184767766Y-71300000D02*
+X185300000Y-71300000D01*
+D42*
+X202692998Y-69607002D02*
+X202800000Y-69500000D01*
+D40*
+X200550000Y-69500000D02*
+X200400000Y-69350000D01*
+D42*
+X203325000Y-71500000D02*
+X203325000Y-69500000D01*
+X201182002Y-69607002D02*
+X202692998Y-69607002D01*
+D40*
+X200400000Y-69350000D02*
+X200400000Y-68850000D01*
+X185000000Y-72946446D02*
+X185000000Y-73700000D01*
+X183320109Y-71266555D02*
+X185000000Y-72946446D01*
+X182740000Y-86750000D02*
+X182740000Y-83960000D01*
+X182740000Y-83960000D02*
+X184200000Y-82500000D01*
+X182800000Y-79190000D02*
+X182740000Y-79250000D01*
+X183900000Y-72553554D02*
+X183900000Y-73500000D01*
+X182800000Y-77100000D02*
+X182800000Y-79190000D01*
+X183900000Y-73500000D02*
+X184100000Y-73700000D01*
+X182966555Y-71620109D02*
+X183900000Y-72553554D01*
+X177786998Y-65026338D02*
+X176260660Y-63500000D01*
+X176260660Y-63500000D02*
+X173200000Y-63500000D01*
+X190800000Y-69500000D02*
+X191800000Y-68500000D01*
+X189035332Y-71300000D02*
+X189530539Y-70804793D01*
+X191800000Y-68500000D02*
+X194200000Y-68500000D01*
+X186050476Y-71300000D02*
+X189035332Y-71300000D01*
+X184734322Y-69983846D02*
+X186050476Y-71300000D01*
+X189530539Y-70804793D02*
+X189530539Y-70380529D01*
+X184734322Y-69852342D02*
+X184734322Y-69983846D01*
+X193659278Y-83557010D02*
+X190902268Y-80800000D01*
+X183042991Y-73110757D02*
+X183042991Y-74047879D01*
+X197290721Y-83557011D02*
+X193659278Y-83557010D01*
+X183042991Y-74047879D02*
+X183795112Y-74800000D01*
+X185520560Y-74800000D02*
+X186710280Y-73610280D01*
+X205140721Y-75707011D02*
+X197290721Y-83557011D01*
+X215700000Y-77000000D02*
+X212590000Y-77000000D01*
+X182259449Y-72327215D02*
+X183042991Y-73110757D01*
+X188374264Y-80800000D02*
+X187950000Y-80800000D01*
+X190902268Y-80800000D02*
+X188374264Y-80800000D01*
+X212590000Y-77000000D02*
+X211297011Y-75707011D01*
+X211297011Y-75707011D02*
+X205140721Y-75707011D01*
+X183795112Y-74800000D02*
+X185520560Y-74800000D01*
+X177433445Y-65379891D02*
+X177564949Y-65379891D01*
+X157860000Y-64760000D02*
+X159960000Y-64760000D01*
+X149630000Y-65220000D02*
+X150880000Y-65220000D01*
+X150880000Y-65220000D02*
+X151860000Y-64240000D01*
+X151860000Y-64240000D02*
+X157340000Y-64240000D01*
+X159960000Y-64760000D02*
+X162650000Y-67450000D01*
+X145700000Y-68500000D02*
+X146350000Y-68500000D01*
+X178200000Y-66146446D02*
+X177433445Y-65379891D01*
+X157340000Y-64240000D02*
+X157860000Y-64760000D01*
+X178200000Y-67150000D02*
+X178200000Y-66146446D01*
+X146350000Y-68500000D02*
+X149630000Y-65220000D01*
+X177699999Y-68207011D02*
+X177400000Y-68507010D01*
+X179732329Y-67907011D02*
+X177999999Y-67907011D01*
+X182613002Y-65026338D02*
+X179732329Y-67907011D01*
+X177999999Y-67907011D02*
+X177699999Y-68207011D01*
+X172750000Y-69760000D02*
+X174247001Y-71257001D01*
+X171850000Y-68400000D02*
+X172750000Y-69300000D01*
+X172750000Y-69300000D02*
+X172750000Y-69760000D01*
+X174247001Y-71257001D02*
+X174968125Y-71257001D01*
+X174968125Y-71257001D02*
+X176019231Y-70205895D01*
+X171700000Y-68400000D02*
+X171850000Y-68400000D01*
+D41*
+X174150000Y-65500000D02*
+X174150000Y-69400000D01*
+X174150000Y-69400000D02*
+X174000000Y-69550000D01*
+D42*
+X217700000Y-76050000D02*
+X217700000Y-63460000D01*
+X217700000Y-63460000D02*
+X215280000Y-61040000D01*
+D41*
+X145120000Y-72020000D02*
+X143700000Y-70600000D01*
+X143700000Y-70600000D02*
+X143700000Y-69450000D01*
+X145120000Y-75960000D02*
+X145120000Y-72020000D01*
+D42*
+X196200000Y-67550000D02*
+X195280000Y-66630000D01*
+X195280000Y-66630000D02*
+X195280000Y-61040000D01*
+D41*
+X165457010Y-68857010D02*
+X165120000Y-69194020D01*
+X165120000Y-69194020D02*
+X165120000Y-75960000D01*
+X169700000Y-69350000D02*
+X168650000Y-69350000D01*
+X168650000Y-69350000D02*
+X168157010Y-68857010D01*
+X168157010Y-68857010D02*
+X165457010Y-68857010D01*
+D42*
+X205575000Y-66000000D02*
+X205075000Y-65500000D01*
+X207700000Y-66000000D02*
+X205575000Y-66000000D01*
+X205575000Y-71000000D02*
+X205075000Y-71500000D01*
+X207700000Y-71000000D02*
+X205575000Y-71000000D01*
+X167700000Y-65500000D02*
+X167200000Y-66000000D01*
+X172250000Y-65500000D02*
+X167700000Y-65500000D01*
+X217700000Y-78620000D02*
+X215280000Y-81040000D01*
+X217700000Y-77950000D02*
+X217700000Y-78620000D01*
+D41*
+X145120000Y-64580000D02*
+X145120000Y-55960000D01*
+X143700000Y-67550000D02*
+X143700000Y-66000000D01*
+X143700000Y-66000000D02*
+X145120000Y-64580000D01*
+D42*
+X196200000Y-69450000D02*
+X195280000Y-70370000D01*
+X195280000Y-70370000D02*
+X195280000Y-81040000D01*
+D41*
+X165120000Y-67120000D02*
+X165120000Y-57515634D01*
+X168725000Y-67450000D02*
+X168075000Y-68100000D01*
+X165120000Y-57515634D02*
+X165120000Y-55960000D01*
+X169700000Y-67450000D02*
+X168725000Y-67450000D01*
+X166100000Y-68100000D02*
+X165120000Y-67120000D01*
+X168075000Y-68100000D02*
+X166100000Y-68100000D01*
+G04 #@! TA.AperFunction,Conductor*
G36*
-X24413444Y-25524821D02*
+X220199320Y-43657125D02*
G01*
-X24392999Y-25627603D01*
-X24392999Y-25732397D01*
-X24413444Y-25835179D01*
-X24453547Y-25931996D01*
-X24511768Y-26019130D01*
-X24585869Y-26093231D01*
-X24673003Y-26151452D01*
-X24769820Y-26191555D01*
-X24872602Y-26212000D01*
-X24977396Y-26212000D01*
-X25080178Y-26191555D01*
-X25176995Y-26151452D01*
-X25264129Y-26093231D01*
-X25338230Y-26019130D01*
-X25396451Y-25931996D01*
-X25436554Y-25835179D01*
-X25456999Y-25732397D01*
-X25456999Y-25627603D01*
-X25436554Y-25524821D01*
-X25405562Y-25450000D01*
-X27443568Y-25450000D01*
-X27400470Y-25493098D01*
-X27344985Y-25576138D01*
-X27306766Y-25668406D01*
-X27287282Y-25766357D01*
-X27287282Y-25866227D01*
-X27306766Y-25964178D01*
-X27344985Y-26056446D01*
-X27400470Y-26139486D01*
-X27471088Y-26210104D01*
-X27554128Y-26265589D01*
-X27646396Y-26303808D01*
-X27744347Y-26323292D01*
-X27844217Y-26323292D01*
-X27888680Y-26314448D01*
-X27902317Y-26328085D01*
-X27902322Y-26328089D01*
-X28050000Y-26475767D01*
-X28050000Y-31750000D01*
-X25371058Y-31750000D01*
-X25396451Y-31711996D01*
-X25436554Y-31615179D01*
-X25456999Y-31512397D01*
-X25456999Y-31407603D01*
-X25436554Y-31304821D01*
-X25396451Y-31208004D01*
-X25338230Y-31120870D01*
-X25264129Y-31046769D01*
-X25176995Y-30988548D01*
-X25080178Y-30948445D01*
-X24977396Y-30928000D01*
-X24872602Y-30928000D01*
-X24769820Y-30948445D01*
-X24673003Y-30988548D01*
-X24585869Y-31046769D01*
-X24511768Y-31120870D01*
-X24453547Y-31208004D01*
-X24413444Y-31304821D01*
-X24392999Y-31407603D01*
-X24392999Y-31512397D01*
-X24413444Y-31615179D01*
-X24453547Y-31711996D01*
-X24478940Y-31750000D01*
-X23950000Y-31750000D01*
-X23950000Y-25450000D01*
-X24444436Y-25450000D01*
-X24413444Y-25524821D01*
-X24413444Y-25524821D01*
+X220485450Y-43669868D01*
+X220624888Y-43676078D01*
+X220636055Y-43677074D01*
+X221052037Y-43732947D01*
+X221063072Y-43734933D01*
+X221472427Y-43827562D01*
+X221483241Y-43830520D01*
+X221554565Y-43853488D01*
+X221882760Y-43959175D01*
+X221893256Y-43963079D01*
+X222195423Y-44091032D01*
+X222279726Y-44126730D01*
+X222289845Y-44131556D01*
+X222327223Y-44151472D01*
+X222640394Y-44318338D01*
+X222660263Y-44328925D01*
+X222669913Y-44334632D01*
+X222983794Y-44539640D01*
+X223021305Y-44564140D01*
+X223030406Y-44570679D01*
+X223316878Y-44796516D01*
+X223360010Y-44830519D01*
+X223368492Y-44837841D01*
+X223673702Y-45125958D01*
+X223681493Y-45133998D01*
+X223842138Y-45315255D01*
+X223959882Y-45448107D01*
+X223966937Y-45456820D01*
+X224216283Y-45794409D01*
+X224222537Y-45803715D01*
+X224440901Y-46162158D01*
+X224446302Y-46171983D01*
+X224631932Y-46548404D01*
+X224636438Y-46558670D01*
+X224699750Y-46722320D01*
+X224786071Y-46945444D01*
+X224787869Y-46950092D01*
+X224791443Y-46960711D01*
+X224906113Y-47359297D01*
+X224907486Y-47364069D01*
+X224910101Y-47374963D01*
+X224981843Y-47745765D01*
+X224989827Y-47787033D01*
+X224991465Y-47798123D01*
+X225021068Y-48087054D01*
+X225034469Y-48217854D01*
+X225035073Y-48227068D01*
+X225042948Y-48500435D01*
+X225043000Y-48504063D01*
+X225043001Y-88493691D01*
+X225042876Y-88499297D01*
+X225023922Y-88924887D01*
+X225022926Y-88936054D01*
+X224967053Y-89352036D01*
+X224965067Y-89363071D01*
+X224872442Y-89772415D01*
+X224869484Y-89783229D01*
+X224810533Y-89966294D01*
+X224768076Y-90098138D01*
+X224740831Y-90182742D01*
+X224736924Y-90193244D01*
+X224688016Y-90308746D01*
+X224573273Y-90579719D01*
+X224568447Y-90589838D01*
+X224371085Y-90960244D01*
+X224365378Y-90969895D01*
+X224135867Y-91321296D01*
+X224129324Y-91330401D01*
+X223869490Y-91660001D01*
+X223862168Y-91668483D01*
+X223660078Y-91882562D01*
+X223574051Y-91973692D01*
+X223566006Y-91981488D01*
+X223251896Y-92259880D01*
+X223243202Y-92266920D01*
+X222905590Y-92516285D01*
+X222896308Y-92522523D01*
+X222573253Y-92719330D01*
+X222537857Y-92740893D01*
+X222528033Y-92746294D01*
+X222151601Y-92931931D01*
+X222141335Y-92936437D01*
+X221749906Y-93087870D01*
+X221739301Y-93091440D01*
+X221335915Y-93207491D01*
+X221325029Y-93210104D01*
+X220912961Y-93289827D01*
+X220901884Y-93291463D01*
+X220594806Y-93322926D01*
+X220482145Y-93334469D01*
+X220472931Y-93335073D01*
+X220199565Y-93342948D01*
+X220195937Y-93343000D01*
+X140206286Y-93343000D01*
+X140200680Y-93342875D01*
+X140097011Y-93338258D01*
+X139775113Y-93323922D01*
+X139763946Y-93322926D01*
+X139347964Y-93267053D01*
+X139336929Y-93265067D01*
+X138927585Y-93172442D01*
+X138916771Y-93169484D01*
+X138663315Y-93087865D01*
+X138517252Y-93040829D01*
+X138506756Y-93036924D01*
+X138120281Y-92873273D01*
+X138110162Y-92868447D01*
+X137739756Y-92671085D01*
+X137730105Y-92665378D01*
+X137378704Y-92435867D01*
+X137369599Y-92429324D01*
+X137073310Y-92195750D01*
+X137039994Y-92169486D01*
+X137031512Y-92162164D01*
+X136945450Y-92080921D01*
+X136726303Y-91874045D01*
+X136718506Y-91866000D01*
+X136603972Y-91736771D01*
+X136440120Y-91551896D01*
+X136433080Y-91543202D01*
+X136183715Y-91205590D01*
+X136177477Y-91196308D01*
+X135959107Y-90837857D01*
+X135953706Y-90828033D01*
+X135768069Y-90451601D01*
+X135763563Y-90441335D01*
+X135724651Y-90340754D01*
+X135612130Y-90049906D01*
+X135608558Y-90039294D01*
+X135492509Y-89635915D01*
+X135489894Y-89625021D01*
+X135484153Y-89595346D01*
+X135456783Y-89453876D01*
+X137438604Y-89453876D01*
+X137438828Y-89458542D01*
+X137438828Y-89458547D01*
+X137440971Y-89503160D01*
+X137451134Y-89714734D01*
+X137502083Y-89970874D01*
+X137590333Y-90216670D01*
+X137592549Y-90220794D01*
+X137657006Y-90340754D01*
+X137713944Y-90446722D01*
+X137716739Y-90450465D01*
+X137716741Y-90450468D01*
+X137867410Y-90652238D01*
+X137867415Y-90652244D01*
+X137870202Y-90655976D01*
+X137873511Y-90659256D01*
+X137873516Y-90659262D01*
+X138052356Y-90836547D01*
+X138055673Y-90839835D01*
+X138266282Y-90994261D01*
+X138270425Y-90996441D01*
+X138270427Y-90996442D01*
+X138493254Y-91113677D01*
+X138493259Y-91113679D01*
+X138497404Y-91115860D01*
+X138501827Y-91117405D01*
+X138501828Y-91117405D01*
+X138727739Y-91196296D01*
+X138743961Y-91201961D01*
+X139000536Y-91250674D01*
+X139124612Y-91255549D01*
+X139256825Y-91260744D01*
+X139256830Y-91260744D01*
+X139261493Y-91260927D01*
+X139368659Y-91249190D01*
+X139516446Y-91233005D01*
+X139516451Y-91233004D01*
+X139521099Y-91232495D01*
+X139525623Y-91231304D01*
+X139769128Y-91167195D01*
+X139769130Y-91167194D01*
+X139773651Y-91166004D01*
+X139890364Y-91115860D01*
+X140009307Y-91064758D01*
+X140009309Y-91064757D01*
+X140013601Y-91062913D01*
+X140235678Y-90925488D01*
+X140435002Y-90756747D01*
+X140481230Y-90704034D01*
+X140604114Y-90563913D01*
+X140604118Y-90563908D01*
+X140607196Y-90560398D01*
+X140748476Y-90340754D01*
+X140855738Y-90102639D01*
+X140926627Y-89851286D01*
+X140959585Y-89592216D01*
+X140962000Y-89500000D01*
+X140958573Y-89453876D01*
+X219438604Y-89453876D01*
+X219438828Y-89458542D01*
+X219438828Y-89458547D01*
+X219440971Y-89503160D01*
+X219451134Y-89714734D01*
+X219502083Y-89970874D01*
+X219590333Y-90216670D01*
+X219592549Y-90220794D01*
+X219657006Y-90340754D01*
+X219713944Y-90446722D01*
+X219716739Y-90450465D01*
+X219716741Y-90450468D01*
+X219867410Y-90652238D01*
+X219867415Y-90652244D01*
+X219870202Y-90655976D01*
+X219873511Y-90659256D01*
+X219873516Y-90659262D01*
+X220052356Y-90836547D01*
+X220055673Y-90839835D01*
+X220266282Y-90994261D01*
+X220270425Y-90996441D01*
+X220270427Y-90996442D01*
+X220493254Y-91113677D01*
+X220493259Y-91113679D01*
+X220497404Y-91115860D01*
+X220501827Y-91117405D01*
+X220501828Y-91117405D01*
+X220727739Y-91196296D01*
+X220743961Y-91201961D01*
+X221000536Y-91250674D01*
+X221124612Y-91255549D01*
+X221256825Y-91260744D01*
+X221256830Y-91260744D01*
+X221261493Y-91260927D01*
+X221368659Y-91249190D01*
+X221516446Y-91233005D01*
+X221516451Y-91233004D01*
+X221521099Y-91232495D01*
+X221525623Y-91231304D01*
+X221769128Y-91167195D01*
+X221769130Y-91167194D01*
+X221773651Y-91166004D01*
+X221890364Y-91115860D01*
+X222009307Y-91064758D01*
+X222009309Y-91064757D01*
+X222013601Y-91062913D01*
+X222235678Y-90925488D01*
+X222435002Y-90756747D01*
+X222481230Y-90704034D01*
+X222604114Y-90563913D01*
+X222604118Y-90563908D01*
+X222607196Y-90560398D01*
+X222748476Y-90340754D01*
+X222855738Y-90102639D01*
+X222926627Y-89851286D01*
+X222959585Y-89592216D01*
+X222962000Y-89500000D01*
+X222954260Y-89395841D01*
+X222942992Y-89244212D01*
+X222942991Y-89244208D01*
+X222942646Y-89239560D01*
+X222885009Y-88984841D01*
+X222881513Y-88975851D01*
+X222792048Y-88745792D01*
+X222792047Y-88745790D01*
+X222790355Y-88741439D01*
+X222660764Y-88514702D01*
+X222499083Y-88309610D01*
+X222308863Y-88130669D01*
+X222094283Y-87981809D01*
+X222090093Y-87979743D01*
+X222090090Y-87979741D01*
+X221864245Y-87868367D01*
+X221864242Y-87868366D01*
+X221860057Y-87866302D01*
+X221611331Y-87786684D01*
+X221526364Y-87772846D01*
+X221358181Y-87745456D01*
+X221358177Y-87745456D01*
+X221353568Y-87744705D01*
+X221223000Y-87742995D01*
+X221097109Y-87741347D01*
+X221097106Y-87741347D01*
+X221092432Y-87741286D01*
+X220897590Y-87767803D01*
+X220838288Y-87775874D01*
+X220838286Y-87775874D01*
+X220833660Y-87776504D01*
+X220829178Y-87777810D01*
+X220829175Y-87777811D01*
+X220801307Y-87785934D01*
+X220582935Y-87849584D01*
+X220578688Y-87851542D01*
+X220578685Y-87851543D01*
+X220542191Y-87868367D01*
+X220345765Y-87958920D01*
+X220341856Y-87961483D01*
+X220131275Y-88099545D01*
+X220131270Y-88099549D01*
+X220127362Y-88102111D01*
+X219932523Y-88276012D01*
+X219765528Y-88476801D01*
+X219763104Y-88480795D01*
+X219763103Y-88480797D01*
+X219740067Y-88518760D01*
+X219630047Y-88700069D01*
+X219628238Y-88704383D01*
+X219628237Y-88704385D01*
+X219531090Y-88936054D01*
+X219529054Y-88940909D01*
+X219464769Y-89194032D01*
+X219438604Y-89453876D01*
+X140958573Y-89453876D01*
+X140954260Y-89395841D01*
+X140942992Y-89244212D01*
+X140942991Y-89244208D01*
+X140942646Y-89239560D01*
+X140885009Y-88984841D01*
+X140881513Y-88975851D01*
+X140792048Y-88745792D01*
+X140792047Y-88745790D01*
+X140790355Y-88741439D01*
+X140660764Y-88514702D01*
+X140499083Y-88309610D01*
+X140308863Y-88130669D01*
+X140094283Y-87981809D01*
+X140090093Y-87979743D01*
+X140090090Y-87979741D01*
+X139864245Y-87868367D01*
+X139864242Y-87868366D01*
+X139860057Y-87866302D01*
+X139611331Y-87786684D01*
+X139526364Y-87772846D01*
+X139358181Y-87745456D01*
+X139358177Y-87745456D01*
+X139353568Y-87744705D01*
+X139223000Y-87742995D01*
+X139097109Y-87741347D01*
+X139097106Y-87741347D01*
+X139092432Y-87741286D01*
+X138897590Y-87767803D01*
+X138838288Y-87775874D01*
+X138838286Y-87775874D01*
+X138833660Y-87776504D01*
+X138829178Y-87777810D01*
+X138829175Y-87777811D01*
+X138801307Y-87785934D01*
+X138582935Y-87849584D01*
+X138578688Y-87851542D01*
+X138578685Y-87851543D01*
+X138542191Y-87868367D01*
+X138345765Y-87958920D01*
+X138341856Y-87961483D01*
+X138131275Y-88099545D01*
+X138131270Y-88099549D01*
+X138127362Y-88102111D01*
+X137932523Y-88276012D01*
+X137765528Y-88476801D01*
+X137763104Y-88480795D01*
+X137763103Y-88480797D01*
+X137740067Y-88518760D01*
+X137630047Y-88700069D01*
+X137628238Y-88704383D01*
+X137628237Y-88704385D01*
+X137531090Y-88936054D01*
+X137529054Y-88940909D01*
+X137464769Y-89194032D01*
+X137438604Y-89453876D01*
+X135456783Y-89453876D01*
+X135410173Y-89212961D01*
+X135408536Y-89201878D01*
+X135408210Y-89198690D01*
+X135365531Y-88782145D01*
+X135364927Y-88772931D01*
+X135357052Y-88499565D01*
+X135357000Y-88495937D01*
+X135357000Y-78477360D01*
+X148038119Y-78477360D01*
+X148055048Y-78770970D01*
+X148055873Y-78775175D01*
+X148055874Y-78775183D01*
+X148078036Y-78888144D01*
+X148111668Y-79059567D01*
+X148113055Y-79063617D01*
+X148113056Y-79063622D01*
+X148190955Y-79291144D01*
+X148206932Y-79337809D01*
+X148339076Y-79600548D01*
+X148341502Y-79604077D01*
+X148341505Y-79604083D01*
+X148503224Y-79839385D01*
+X148505655Y-79842922D01*
+X148508542Y-79846095D01*
+X148508543Y-79846096D01*
+X148588621Y-79934101D01*
+X148703586Y-80060446D01*
+X148758880Y-80106679D01*
+X148925916Y-80246343D01*
+X148925921Y-80246347D01*
+X148929208Y-80249095D01*
+X149025921Y-80309763D01*
+X149174705Y-80403095D01*
+X149174709Y-80403097D01*
+X149178345Y-80405378D01*
+X149255095Y-80440032D01*
+X149442475Y-80524638D01*
+X149442479Y-80524640D01*
+X149446387Y-80526404D01*
+X149450507Y-80527624D01*
+X149450506Y-80527624D01*
+X149724261Y-80608714D01*
+X149724265Y-80608715D01*
+X149728374Y-80609932D01*
+X149732608Y-80610580D01*
+X149732613Y-80610581D01*
+X149961052Y-80645537D01*
+X150019089Y-80654418D01*
+X150168859Y-80656771D01*
+X150308859Y-80658970D01*
+X150308865Y-80658970D01*
+X150313150Y-80659037D01*
+X150605118Y-80623705D01*
+X150760447Y-80582955D01*
+X150885447Y-80550162D01*
+X150885448Y-80550162D01*
+X150889590Y-80549075D01*
+X151161301Y-80436529D01*
+X151415224Y-80288148D01*
+X151646660Y-80106679D01*
+X151851327Y-79895480D01*
+X151853860Y-79892032D01*
+X151853864Y-79892027D01*
+X152022899Y-79661913D01*
+X152025437Y-79658458D01*
+X152050581Y-79612149D01*
+X152163718Y-79403775D01*
+X152163719Y-79403773D01*
+X152165768Y-79399999D01*
+X152247258Y-79184344D01*
+X152268207Y-79128905D01*
+X152268208Y-79128901D01*
+X152269725Y-79124887D01*
+X152314841Y-78927899D01*
+X152334424Y-78842395D01*
+X152334425Y-78842391D01*
+X152335382Y-78838211D01*
+X152338220Y-78806418D01*
+X152361306Y-78547742D01*
+X152361526Y-78545278D01*
+X152362000Y-78500000D01*
+X152361831Y-78497519D01*
+X152342289Y-78210860D01*
+X152342288Y-78210854D01*
+X152341997Y-78206583D01*
+X152282357Y-77918595D01*
+X152184186Y-77641366D01*
+X152099705Y-77477688D01*
+X152051263Y-77383833D01*
+X152051263Y-77383832D01*
+X152049298Y-77380026D01*
+X152044190Y-77372757D01*
+X151958862Y-77251348D01*
+X151880190Y-77139409D01*
+X151749024Y-76998258D01*
+X151682912Y-76927113D01*
+X151682909Y-76927111D01*
+X151679991Y-76923970D01*
+X151452406Y-76737694D01*
+X151201646Y-76584028D01*
+X151197729Y-76582309D01*
+X151197726Y-76582307D01*
+X151069345Y-76525952D01*
+X150932351Y-76465816D01*
+X150928223Y-76464640D01*
+X150928220Y-76464639D01*
+X150834702Y-76438000D01*
+X150649505Y-76385245D01*
+X150645263Y-76384641D01*
+X150645257Y-76384640D01*
+X150362592Y-76344411D01*
+X150358341Y-76343806D01*
+X150203328Y-76342994D01*
+X150068533Y-76342288D01*
+X150068526Y-76342288D01*
+X150064247Y-76342266D01*
+X150060002Y-76342825D01*
+X150060000Y-76342825D01*
+X149994726Y-76351419D01*
+X149772665Y-76380654D01*
+X149488990Y-76458258D01*
+X149485042Y-76459942D01*
+X149222425Y-76571958D01*
+X149222421Y-76571960D01*
+X149218473Y-76573644D01*
+X149109394Y-76638926D01*
+X148969799Y-76722471D01*
+X148969795Y-76722474D01*
+X148966117Y-76724675D01*
+X148962774Y-76727353D01*
+X148962770Y-76727356D01*
+X148915225Y-76765447D01*
+X148736594Y-76908558D01*
+X148733650Y-76911660D01*
+X148733646Y-76911664D01*
+X148543393Y-77112149D01*
+X148534150Y-77121889D01*
+X148531651Y-77125367D01*
+X148531650Y-77125368D01*
+X148496566Y-77174193D01*
+X148362532Y-77360722D01*
+X148224915Y-77620635D01*
+X148223440Y-77624666D01*
+X148146606Y-77834625D01*
+X148123845Y-77896821D01*
+X148061193Y-78184168D01*
+X148060857Y-78188438D01*
+X148041633Y-78432715D01*
+X148038119Y-78477360D01*
+X135357000Y-78477360D01*
+X135357000Y-69050000D01*
+X143088000Y-69050000D01*
+X143088000Y-69850000D01*
+X143091073Y-69865447D01*
+X143097567Y-69898093D01*
+X143100332Y-69911995D01*
+X143107224Y-69922309D01*
+X143107225Y-69922312D01*
+X143124652Y-69948392D01*
+X143135449Y-69964551D01*
+X143186504Y-69998665D01*
+X143232030Y-70053142D01*
+X143242500Y-70103429D01*
+X143242500Y-70566782D01*
+X143241627Y-70581591D01*
+X143237729Y-70614527D01*
+X143239421Y-70623791D01*
+X143239421Y-70623792D01*
+X143248432Y-70673133D01*
+X143249082Y-70677037D01*
+X143253376Y-70705595D01*
+X143257946Y-70735993D01*
+X143261119Y-70742601D01*
+X143262436Y-70749811D01*
+X143266777Y-70758168D01*
+X143266779Y-70758174D01*
+X143289912Y-70802707D01*
+X143291681Y-70806247D01*
+X143313397Y-70851472D01*
+X143313399Y-70851475D01*
+X143317475Y-70859963D01*
+X143322380Y-70865269D01*
+X143322518Y-70865474D01*
+X143325830Y-70871850D01*
+X143331575Y-70878576D01*
+X143370880Y-70917881D01*
+X143374309Y-70921446D01*
+X143410825Y-70960949D01*
+X143416906Y-70964481D01*
+X143422412Y-70969413D01*
+X144625597Y-72172600D01*
+X144662501Y-72261695D01*
+X144662500Y-74704811D01*
+X144642498Y-74772932D01*
+X144594290Y-74816777D01*
+X144448770Y-74891886D01*
+X144443787Y-74894458D01*
+X144265783Y-75031045D01*
+X144262010Y-75035191D01*
+X144262005Y-75035196D01*
+X144166695Y-75139941D01*
+X144114779Y-75196996D01*
+X144042049Y-75312938D01*
+X144003031Y-75375139D01*
+X143995550Y-75387064D01*
+X143911863Y-75595242D01*
+X143866364Y-75814949D01*
+X143860490Y-76039242D01*
+X143861339Y-76044788D01*
+X143892463Y-76248179D01*
+X143894429Y-76261029D01*
+X143914197Y-76318767D01*
+X143965134Y-76467541D01*
+X143967106Y-76473302D01*
+X144076224Y-76669349D01*
+X144079776Y-76673689D01*
+X144079779Y-76673693D01*
+X144169571Y-76783397D01*
+X144218335Y-76842975D01*
+X144222603Y-76846620D01*
+X144384682Y-76985049D01*
+X144384686Y-76985052D01*
+X144388947Y-76988691D01*
+X144582667Y-77101892D01*
+X144587933Y-77103819D01*
+X144587937Y-77103821D01*
+X144788106Y-77177072D01*
+X144788109Y-77177073D01*
+X144793370Y-77178998D01*
+X144798886Y-77179961D01*
+X144798891Y-77179962D01*
+X144956632Y-77207492D01*
+X145014399Y-77217574D01*
+X145020005Y-77217545D01*
+X145020009Y-77217545D01*
+X145123025Y-77217005D01*
+X145238765Y-77216399D01*
+X145268727Y-77210846D01*
+X145349071Y-77195955D01*
+X145459377Y-77175511D01*
+X145464626Y-77173528D01*
+X145464628Y-77173527D01*
+X145609511Y-77118780D01*
+X145669262Y-77096202D01*
+X145675632Y-77092390D01*
+X145768064Y-77037070D01*
+X145861785Y-76980979D01*
+X145866005Y-76977297D01*
+X145866010Y-76977294D01*
+X146026631Y-76837175D01*
+X146030861Y-76833485D01*
+X146095893Y-76752312D01*
+X146167641Y-76662757D01*
+X146167644Y-76662752D01*
+X146171147Y-76658380D01*
+X146259439Y-76495765D01*
+X146275531Y-76466128D01*
+X146275532Y-76466127D01*
+X146278206Y-76461201D01*
+X146348657Y-76248179D01*
+X146380270Y-76026048D01*
+X146382000Y-75960000D01*
+X146380926Y-75947960D01*
+X146374846Y-75879839D01*
+X146362055Y-75736519D01*
+X146312856Y-75556678D01*
+X146304332Y-75525518D01*
+X146304331Y-75525514D01*
+X146302850Y-75520102D01*
+X146231384Y-75370271D01*
+X157656996Y-75370271D01*
+X157663275Y-75442049D01*
+X157664549Y-75450280D01*
+X157728160Y-75734860D01*
+X157730516Y-75742864D01*
+X157831205Y-76016525D01*
+X157834595Y-76024141D01*
+X157970589Y-76282076D01*
+X157974967Y-76289192D01*
+X158143880Y-76526875D01*
+X158149151Y-76533338D01*
+X158348020Y-76746600D01*
+X158354104Y-76752312D01*
+X158579425Y-76937393D01*
+X158586206Y-76942248D01*
+X158834038Y-77095910D01*
+X158841398Y-77099823D01*
+X159107364Y-77219353D01*
+X159115187Y-77222263D01*
+X159394637Y-77305571D01*
+X159402758Y-77307416D01*
+X159691433Y-77353137D01*
+X159698408Y-77353845D01*
+X159788477Y-77357936D01*
+X159791310Y-77358000D01*
+X160127885Y-77358000D01*
+X160143124Y-77353525D01*
+X160144329Y-77352135D01*
+X160146000Y-77344452D01*
+X160146000Y-77339885D01*
+X160653999Y-77339885D01*
+X160658474Y-77355124D01*
+X160659864Y-77356329D01*
+X160667547Y-77358000D01*
+X160973181Y-77358000D01*
+X160977373Y-77357861D01*
+X161195125Y-77343398D01*
+X161203394Y-77342295D01*
+X161489231Y-77284659D01*
+X161497292Y-77282469D01*
+X161772998Y-77187536D01*
+X161780685Y-77184305D01*
+X162041410Y-77053743D01*
+X162048619Y-77049513D01*
+X162289783Y-76885619D01*
+X162296358Y-76880482D01*
+X162513735Y-76686125D01*
+X162519575Y-76680161D01*
+X162709336Y-76458762D01*
+X162714329Y-76452088D01*
+X162873144Y-76207536D01*
+X162877218Y-76200246D01*
+X163002288Y-75936848D01*
+X163005364Y-75929080D01*
+X163094501Y-75651449D01*
+X163096518Y-75643360D01*
+X163145375Y-75371830D01*
+X163143943Y-75358571D01*
+X163129333Y-75354000D01*
+X160672115Y-75353999D01*
+X160656876Y-75358474D01*
+X160655671Y-75359864D01*
+X160654000Y-75367547D01*
+X160653999Y-77339885D01*
+X160146000Y-77339885D01*
+X160146001Y-75372115D01*
+X160141526Y-75356876D01*
+X160140136Y-75355671D01*
+X160132453Y-75354000D01*
+X157673687Y-75353999D01*
+X157659059Y-75358294D01*
+X157656996Y-75370271D01*
+X146231384Y-75370271D01*
+X146206256Y-75317590D01*
+X146145992Y-75233723D01*
+X146078604Y-75139941D01*
+X146078599Y-75139936D01*
+X146075328Y-75135383D01*
+X145914202Y-74979242D01*
+X145753298Y-74871119D01*
+X145732634Y-74857233D01*
+X145732633Y-74857233D01*
+X145727973Y-74854101D01*
+X145668901Y-74828170D01*
+X157654625Y-74828170D01*
+X157656057Y-74841429D01*
+X157670667Y-74846000D01*
+X160127885Y-74846001D01*
+X160143124Y-74841526D01*
+X160144329Y-74840136D01*
+X160146000Y-74832453D01*
+X160146000Y-74827885D01*
+X160653999Y-74827885D01*
+X160658474Y-74843124D01*
+X160659864Y-74844329D01*
+X160667547Y-74846000D01*
+X163126313Y-74846001D01*
+X163140941Y-74841706D01*
+X163143004Y-74829729D01*
+X163136725Y-74757951D01*
+X163135451Y-74749720D01*
+X163071840Y-74465140D01*
+X163069484Y-74457136D01*
+X162968795Y-74183475D01*
+X162965405Y-74175859D01*
+X162829411Y-73917924D01*
+X162825033Y-73910808D01*
+X162656120Y-73673125D01*
+X162650849Y-73666662D01*
+X162451980Y-73453400D01*
+X162445896Y-73447688D01*
+X162220575Y-73262607D01*
+X162213794Y-73257752D01*
+X161965962Y-73104090D01*
+X161958602Y-73100177D01*
+X161692636Y-72980647D01*
+X161684813Y-72977737D01*
+X161405363Y-72894429D01*
+X161397242Y-72892584D01*
+X161108567Y-72846863D01*
+X161101592Y-72846155D01*
+X161011523Y-72842064D01*
+X161008690Y-72842000D01*
+X160672115Y-72842000D01*
+X160656876Y-72846475D01*
+X160655671Y-72847865D01*
+X160654000Y-72855548D01*
+X160653999Y-74827885D01*
+X160146000Y-74827885D01*
+X160146001Y-72860115D01*
+X160141526Y-72844876D01*
+X160140136Y-72843671D01*
+X160132453Y-72842000D01*
+X159826819Y-72842000D01*
+X159822627Y-72842139D01*
+X159604875Y-72856602D01*
+X159596606Y-72857705D01*
+X159310769Y-72915341D01*
+X159302708Y-72917531D01*
+X159027002Y-73012464D01*
+X159019315Y-73015695D01*
+X158758590Y-73146257D01*
+X158751381Y-73150487D01*
+X158510217Y-73314381D01*
+X158503642Y-73319518D01*
+X158286265Y-73513875D01*
+X158280425Y-73519839D01*
+X158090664Y-73741238D01*
+X158085671Y-73747912D01*
+X157926856Y-73992464D01*
+X157922782Y-73999754D01*
+X157797712Y-74263152D01*
+X157794636Y-74270920D01*
+X157705499Y-74548551D01*
+X157703482Y-74556640D01*
+X157654625Y-74828170D01*
+X145668901Y-74828170D01*
+X145652851Y-74821125D01*
+X145598519Y-74775432D01*
+X145577500Y-74705754D01*
+X145577500Y-73405870D01*
+X149188099Y-73405870D01*
+X149188615Y-73412014D01*
+X149202160Y-73573315D01*
+X149204626Y-73602685D01*
+X149211848Y-73627871D01*
+X149251225Y-73765196D01*
+X149259066Y-73792542D01*
+X149261885Y-73798027D01*
+X149346529Y-73962727D01*
+X149346532Y-73962732D01*
+X149349347Y-73968209D01*
+X149353176Y-73973040D01*
+X149368571Y-73992464D01*
+X149472028Y-74122994D01*
+X149476722Y-74126988D01*
+X149476722Y-74126989D01*
+X149592583Y-74225594D01*
+X149622438Y-74251003D01*
+X149627816Y-74254009D01*
+X149627818Y-74254010D01*
+X149644176Y-74263152D01*
+X149794847Y-74347359D01*
+X149982688Y-74408392D01*
+X150178806Y-74431778D01*
+X150184941Y-74431306D01*
+X150184943Y-74431306D01*
+X150369589Y-74417098D01*
+X150369592Y-74417097D01*
+X150375732Y-74416625D01*
+X150565964Y-74363512D01*
+X150742257Y-74274460D01*
+X150777392Y-74247010D01*
+X150893039Y-74156656D01*
+X150897895Y-74152862D01*
+X150903527Y-74146338D01*
+X150940600Y-74103388D01*
+X151026951Y-74003350D01*
+X151034503Y-73990057D01*
+X151121465Y-73836974D01*
+X151121466Y-73836973D01*
+X151124508Y-73831617D01*
+X151133928Y-73803301D01*
+X151184904Y-73650059D01*
+X151186851Y-73644207D01*
+X151211605Y-73448257D01*
+X151211875Y-73428946D01*
+X151211951Y-73423522D01*
+X151211951Y-73423518D01*
+X151212000Y-73420000D01*
+X151192727Y-73223435D01*
+X151135641Y-73034357D01*
+X151107083Y-72980647D01*
+X151045810Y-72865410D01*
+X151045808Y-72865407D01*
+X151042916Y-72859968D01*
+X150918086Y-72706910D01*
+X150765903Y-72581014D01*
+X150592166Y-72487074D01*
+X150403491Y-72428670D01*
+X150397366Y-72428026D01*
+X150397365Y-72428026D01*
+X150213193Y-72408669D01*
+X150213192Y-72408669D01*
+X150207065Y-72408025D01*
+X150086743Y-72418975D01*
+X150016511Y-72425366D01*
+X150016510Y-72425366D01*
+X150010370Y-72425925D01*
+X149986576Y-72432928D01*
+X149826809Y-72479950D01*
+X149826806Y-72479951D01*
+X149820898Y-72481690D01*
+X149645866Y-72573195D01*
+X149641068Y-72577053D01*
+X149641066Y-72577054D01*
+X149636141Y-72581014D01*
+X149491941Y-72696954D01*
+X149487982Y-72701672D01*
+X149487981Y-72701673D01*
+X149387713Y-72821167D01*
+X149364985Y-72848253D01*
+X149362022Y-72853642D01*
+X149362019Y-72853647D01*
+X149293801Y-72977737D01*
+X149269835Y-73021331D01*
+X149210115Y-73209593D01*
+X149209429Y-73215710D01*
+X149209428Y-73215714D01*
+X149197154Y-73325139D01*
+X149188099Y-73405870D01*
+X145577500Y-73405870D01*
+X145577500Y-72053216D01*
+X145578373Y-72038406D01*
+X145581164Y-72014825D01*
+X145582271Y-72005472D01*
+X145571564Y-71946843D01*
+X145570919Y-71942969D01*
+X145570521Y-71940319D01*
+X145562054Y-71884006D01*
+X145558881Y-71877398D01*
+X145557564Y-71870187D01*
+X145538383Y-71833263D01*
+X145530097Y-71817311D01*
+X145528327Y-71813770D01*
+X145526890Y-71810777D01*
+X145502525Y-71760036D01*
+X145497620Y-71754730D01*
+X145497482Y-71754525D01*
+X145494170Y-71748149D01*
+X145488425Y-71741423D01*
+X145449100Y-71702098D01*
+X145445671Y-71698532D01*
+X145415570Y-71665969D01*
+X145409175Y-71659051D01*
+X145403095Y-71655520D01*
+X145397592Y-71650590D01*
+X144194405Y-70447403D01*
+X144157500Y-70358308D01*
+X144157500Y-70103429D01*
+X144177502Y-70035308D01*
+X144213496Y-69998665D01*
+X144264551Y-69964551D01*
+X144275348Y-69948392D01*
+X144292775Y-69922312D01*
+X144292776Y-69922309D01*
+X144299668Y-69911995D01*
+X144302434Y-69898093D01*
+X144308927Y-69865447D01*
+X144312000Y-69850000D01*
+X144312000Y-69050000D01*
+X144306180Y-69020743D01*
+X144302089Y-69000174D01*
+X144302088Y-69000172D01*
+X144299668Y-68988005D01*
+X144292776Y-68977691D01*
+X144292775Y-68977688D01*
+X144271444Y-68945765D01*
+X144264551Y-68935449D01*
+X144253467Y-68928043D01*
+X144222312Y-68907225D01*
+X144222309Y-68907224D01*
+X144211995Y-68900332D01*
+X144199828Y-68897912D01*
+X144199826Y-68897911D01*
+X144169568Y-68891892D01*
+X144150000Y-68888000D01*
+X143250000Y-68888000D01*
+X143230432Y-68891892D01*
+X143200174Y-68897911D01*
+X143200172Y-68897912D01*
+X143188005Y-68900332D01*
+X143177691Y-68907224D01*
+X143177688Y-68907225D01*
+X143146533Y-68928043D01*
+X143135449Y-68935449D01*
+X143128556Y-68945765D01*
+X143107225Y-68977688D01*
+X143107224Y-68977691D01*
+X143100332Y-68988005D01*
+X143097912Y-69000172D01*
+X143097911Y-69000174D01*
+X143093820Y-69020743D01*
+X143088000Y-69050000D01*
+X135357000Y-69050000D01*
+X135357000Y-67150000D01*
+X143088000Y-67150000D01*
+X143088000Y-67950000D01*
+X143091057Y-67965366D01*
+X143097104Y-67995766D01*
+X143100332Y-68011995D01*
+X143107224Y-68022309D01*
+X143107225Y-68022312D01*
+X143128556Y-68054235D01*
+X143135449Y-68064551D01*
+X143145765Y-68071444D01*
+X143177688Y-68092775D01*
+X143177691Y-68092776D01*
+X143188005Y-68099668D01*
+X143200172Y-68102088D01*
+X143200174Y-68102089D01*
+X143220777Y-68106187D01*
+X143250000Y-68112000D01*
+X144150000Y-68112000D01*
+X144179223Y-68106187D01*
+X144199826Y-68102089D01*
+X144199828Y-68102088D01*
+X144210325Y-68100000D01*
+X145088000Y-68100000D01*
+X145088000Y-68900000D01*
+X145091017Y-68915167D01*
+X145097567Y-68948093D01*
+X145100332Y-68961995D01*
+X145107224Y-68972309D01*
+X145107225Y-68972312D01*
+X145128117Y-69003578D01*
+X145135449Y-69014551D01*
+X145145765Y-69021444D01*
+X145177688Y-69042775D01*
+X145177691Y-69042776D01*
+X145188005Y-69049668D01*
+X145200172Y-69052088D01*
+X145200174Y-69052089D01*
+X145220777Y-69056187D01*
+X145250000Y-69062000D01*
+X146150000Y-69062000D01*
+X146179223Y-69056187D01*
+X146199826Y-69052089D01*
+X146199828Y-69052088D01*
+X146211995Y-69049668D01*
+X146222309Y-69042776D01*
+X146222312Y-69042775D01*
+X146254235Y-69021444D01*
+X146264551Y-69014551D01*
+X146271883Y-69003578D01*
+X146292775Y-68972312D01*
+X146292776Y-68972309D01*
+X146299668Y-68961995D01*
+X146302434Y-68948093D01*
+X146308983Y-68915167D01*
+X146312000Y-68900000D01*
+X146312000Y-68873464D01*
+X146332002Y-68805343D01*
+X146382253Y-68771113D01*
+X151013181Y-68771113D01*
+X151015233Y-68788850D01*
+X151017192Y-68798744D01*
+X151080516Y-69022527D01*
+X151084028Y-69031971D01*
+X151182317Y-69242751D01*
+X151187296Y-69251516D01*
+X151318014Y-69443861D01*
+X151324346Y-69451736D01*
+X151484123Y-69620696D01*
+X151491632Y-69627457D01*
+X151676375Y-69768704D01*
+X151684854Y-69774168D01*
+X151814675Y-69843777D01*
+X151865258Y-69893595D01*
+X151880878Y-69962852D01*
+X151856574Y-70029559D01*
+X151830523Y-70055779D01*
+X151722470Y-70136466D01*
+X151697063Y-70163951D01*
+X151620849Y-70246399D01*
+X151578123Y-70292619D01*
+X151575042Y-70297502D01*
+X151575039Y-70297506D01*
+X151483555Y-70442500D01*
+X151464650Y-70472463D01*
+X151385851Y-70669974D01*
+X151371795Y-70740640D01*
+X151346747Y-70866570D01*
+X151344366Y-70878538D01*
+X151344290Y-70884313D01*
+X151344290Y-70884317D01*
+X151343353Y-70955883D01*
+X151341582Y-71091169D01*
+X151342561Y-71096866D01*
+X151342561Y-71096867D01*
+X151365573Y-71230791D01*
+X151377594Y-71300748D01*
+X151451196Y-71500254D01*
+X151454148Y-71505215D01*
+X151454148Y-71505216D01*
+X151553061Y-71671473D01*
+X151559922Y-71683006D01*
+X151700132Y-71842885D01*
+X151704667Y-71846460D01*
+X151704668Y-71846461D01*
+X151832044Y-71946876D01*
+X151867129Y-71974535D01*
+X151872245Y-71977226D01*
+X151872247Y-71977228D01*
+X152050203Y-72070855D01*
+X152055322Y-72073548D01*
+X152100087Y-72087448D01*
+X152252892Y-72134896D01*
+X152252897Y-72134897D01*
+X152258407Y-72136608D01*
+X152287746Y-72140081D01*
+X152427013Y-72156564D01*
+X152427019Y-72156564D01*
+X152430700Y-72157000D01*
+X152954414Y-72157000D01*
+X153040497Y-72149090D01*
+X153106004Y-72143071D01*
+X153106007Y-72143070D01*
+X153111758Y-72142542D01*
+X153117315Y-72140975D01*
+X153117319Y-72140974D01*
+X153310865Y-72086388D01*
+X153310867Y-72086387D01*
+X153316424Y-72084820D01*
+X153321600Y-72082268D01*
+X153321604Y-72082266D01*
+X153501962Y-71993323D01*
+X153507143Y-71990768D01*
+X153677530Y-71863534D01*
+X153787836Y-71744206D01*
+X153817953Y-71711626D01*
+X153821877Y-71707381D01*
+X153824958Y-71702498D01*
+X153824961Y-71702494D01*
+X153932269Y-71532420D01*
+X153935350Y-71527537D01*
+X154014149Y-71330026D01*
+X154037974Y-71210246D01*
+X154054507Y-71127130D01*
+X154054507Y-71127126D01*
+X154055634Y-71121462D01*
+X154055720Y-71114942D01*
+X154057727Y-70961633D01*
+X154058418Y-70908831D01*
+X154052977Y-70877163D01*
+X154023385Y-70704949D01*
+X154023385Y-70704948D01*
+X154022406Y-70699252D01*
+X153948804Y-70499746D01*
+X153941816Y-70488000D01*
+X153843034Y-70321962D01*
+X153843032Y-70321959D01*
+X153840078Y-70316994D01*
+X153699868Y-70157115D01*
+X153694983Y-70153264D01*
+X153571613Y-70056006D01*
+X153530500Y-69998124D01*
+X153527206Y-69927204D01*
+X153562778Y-69865762D01*
+X153592423Y-69846306D01*
+X153591819Y-69845151D01*
+X153605251Y-69838128D01*
+X153802037Y-69714206D01*
+X153810118Y-69708161D01*
+X153984565Y-69554365D01*
+X153991576Y-69547104D01*
+X154139188Y-69367400D01*
+X154144941Y-69359122D01*
+X154261931Y-69158114D01*
+X154266286Y-69149023D01*
+X154349629Y-68931907D01*
+X154352477Y-68922230D01*
+X154383917Y-68771736D01*
+X154382905Y-68759066D01*
+X154367472Y-68754000D01*
+X152954002Y-68753999D01*
+X152953996Y-68754000D01*
+X151029316Y-68753999D01*
+X151015230Y-68758135D01*
+X151013181Y-68771113D01*
+X146382253Y-68771113D01*
+X146413418Y-68749885D01*
+X146438283Y-68744939D01*
+X146450454Y-68742518D01*
+X146535616Y-68685616D01*
+X146542508Y-68675301D01*
+X146542510Y-68675299D01*
+X146542967Y-68674615D01*
+X146558637Y-68655522D01*
+X146985895Y-68228264D01*
+X151016083Y-68228264D01*
+X151017095Y-68240934D01*
+X151032528Y-68246000D01*
+X152445998Y-68246001D01*
+X152446004Y-68246000D01*
+X154370684Y-68246001D01*
+X154384770Y-68241865D01*
+X154386819Y-68228887D01*
+X154384767Y-68211150D01*
+X154382808Y-68201256D01*
+X154319484Y-67977473D01*
+X154315972Y-67968029D01*
+X154217683Y-67757249D01*
+X154212704Y-67748484D01*
+X154081986Y-67556139D01*
+X154075654Y-67548264D01*
+X153915877Y-67379304D01*
+X153908368Y-67372543D01*
+X153723625Y-67231296D01*
+X153715146Y-67225832D01*
+X153585325Y-67156223D01*
+X153534742Y-67106405D01*
+X153519122Y-67037148D01*
+X153543426Y-66970441D01*
+X153569477Y-66944221D01*
+X153614513Y-66910591D01*
+X153677530Y-66863534D01*
+X153821877Y-66707381D01*
+X153824958Y-66702498D01*
+X153824961Y-66702494D01*
+X153932269Y-66532420D01*
+X153935350Y-66527537D01*
+X154008936Y-66343093D01*
+X154012009Y-66335390D01*
+X154014149Y-66330026D01*
+X154043196Y-66183993D01*
+X154054507Y-66127130D01*
+X154054507Y-66127126D01*
+X154055634Y-66121462D01*
+X154055819Y-66107393D01*
+X154057787Y-65957010D01*
+X154058418Y-65908831D01*
+X154055107Y-65889559D01*
+X154023385Y-65704949D01*
+X154023385Y-65704948D01*
+X154022406Y-65699252D01*
+X153948804Y-65499746D01*
+X153943008Y-65490004D01*
+X153843034Y-65321962D01*
+X153843032Y-65321959D01*
+X153840078Y-65316994D01*
+X153699868Y-65157115D01*
+X153692910Y-65151630D01*
+X153537410Y-65029043D01*
+X153537408Y-65029042D01*
+X153532871Y-65025465D01*
+X153527755Y-65022774D01*
+X153527753Y-65022772D01*
+X153349797Y-64929145D01*
+X153349795Y-64929144D01*
+X153344678Y-64926452D01*
+X153263566Y-64901266D01*
+X153147108Y-64865104D01*
+X153147103Y-64865103D01*
+X153141593Y-64863392D01*
+X153112254Y-64859919D01*
+X152972987Y-64843436D01*
+X152972981Y-64843436D01*
+X152969300Y-64843000D01*
+X152445586Y-64843000D01*
+X152359503Y-64850910D01*
+X152293996Y-64856929D01*
+X152293993Y-64856930D01*
+X152288242Y-64857458D01*
+X152282685Y-64859025D01*
+X152282681Y-64859026D01*
+X152089135Y-64913612D01*
+X152089133Y-64913613D01*
+X152083576Y-64915180D01*
+X152078400Y-64917732D01*
+X152078396Y-64917734D01*
+X151953024Y-64979561D01*
+X151892857Y-65009232D01*
+X151888231Y-65012686D01*
+X151888230Y-65012687D01*
+X151859174Y-65034384D01*
+X151722470Y-65136466D01*
+X151578123Y-65292619D01*
+X151575042Y-65297502D01*
+X151575039Y-65297506D01*
+X151494013Y-65425925D01*
+X151464650Y-65472463D01*
+X151385851Y-65669974D01*
+X151369640Y-65751476D01*
+X151346747Y-65866570D01*
+X151344366Y-65878538D01*
+X151344290Y-65884313D01*
+X151344290Y-65884317D01*
+X151343267Y-65962500D01*
+X151341582Y-66091169D01*
+X151342561Y-66096866D01*
+X151342561Y-66096867D01*
+X151367081Y-66239566D01*
+X151377594Y-66300748D01*
+X151451196Y-66500254D01*
+X151454148Y-66505215D01*
+X151454148Y-66505216D01*
+X151556524Y-66677294D01*
+X151559922Y-66683006D01*
+X151700132Y-66842885D01*
+X151704667Y-66846460D01*
+X151704668Y-66846461D01*
+X151828387Y-66943994D01*
+X151869500Y-67001876D01*
+X151872794Y-67072796D01*
+X151837222Y-67134238D01*
+X151807577Y-67153694D01*
+X151808181Y-67154849D01*
+X151794749Y-67161872D01*
+X151597963Y-67285794D01*
+X151589882Y-67291839D01*
+X151415435Y-67445635D01*
+X151408424Y-67452896D01*
+X151260812Y-67632600D01*
+X151255059Y-67640878D01*
+X151138069Y-67841886D01*
+X151133714Y-67850977D01*
+X151050371Y-68068093D01*
+X151047523Y-68077770D01*
+X151016083Y-68228264D01*
+X146985895Y-68228264D01*
+X149699755Y-65514405D01*
+X149788850Y-65477500D01*
+X150842452Y-65477500D01*
+X150867034Y-65479921D01*
+X150867828Y-65480079D01*
+X150867830Y-65480079D01*
+X150880000Y-65482500D01*
+X150892170Y-65480079D01*
+X150892799Y-65479954D01*
+X150905135Y-65477500D01*
+X150905136Y-65477500D01*
+X150980454Y-65462518D01*
+X150991615Y-65455061D01*
+X151028113Y-65430674D01*
+X151065616Y-65405616D01*
+X151072508Y-65395301D01*
+X151072511Y-65395298D01*
+X151072968Y-65394614D01*
+X151088635Y-65375526D01*
+X151929756Y-64534405D01*
+X152018851Y-64497500D01*
+X157181150Y-64497500D01*
+X157270246Y-64534405D01*
+X157461136Y-64725296D01*
+X157651368Y-64915528D01*
+X157667037Y-64934621D01*
+X157667490Y-64935299D01*
+X157667492Y-64935301D01*
+X157674384Y-64945616D01*
+X157695952Y-64960027D01*
+X157744580Y-64992518D01*
+X157759546Y-65002518D01*
+X157771717Y-65004939D01*
+X157834863Y-65017500D01*
+X157847828Y-65020079D01*
+X157847829Y-65020079D01*
+X157859999Y-65022500D01*
+X157872169Y-65020079D01*
+X157872171Y-65020079D01*
+X157872965Y-65019921D01*
+X157897547Y-65017500D01*
+X159801151Y-65017500D01*
+X159890246Y-65054405D01*
+X162156037Y-67320197D01*
+X162192938Y-67410281D01*
+X162192114Y-67515167D01*
+X162194813Y-67524191D01*
+X162194813Y-67524193D01*
+X162217887Y-67601348D01*
+X162231517Y-67646923D01*
+X162256924Y-67684946D01*
+X162301383Y-67751483D01*
+X162307921Y-67761268D01*
+X162315222Y-67767212D01*
+X162315223Y-67767213D01*
+X162404839Y-67840172D01*
+X162414568Y-67848093D01*
+X162423299Y-67851629D01*
+X162423300Y-67851630D01*
+X162463087Y-67867745D01*
+X162542032Y-67899721D01*
+X162551417Y-67900534D01*
+X162551418Y-67900534D01*
+X162669661Y-67910775D01*
+X162669664Y-67910775D01*
+X162679041Y-67911587D01*
+X162688242Y-67909606D01*
+X162688244Y-67909606D01*
+X162804278Y-67884625D01*
+X162804281Y-67884624D01*
+X162813482Y-67882643D01*
+X162821694Y-67878044D01*
+X162821697Y-67878043D01*
+X162925254Y-67820048D01*
+X162933470Y-67815447D01*
+X163028394Y-67715940D01*
+X163070037Y-67632600D01*
+X163085653Y-67601348D01*
+X163085653Y-67601347D01*
+X163089864Y-67592920D01*
+X163093014Y-67573998D01*
+X163111630Y-67462149D01*
+X163112443Y-67457265D01*
+X163112500Y-67450000D01*
+X163099100Y-67360869D01*
+X163093454Y-67323316D01*
+X163093453Y-67323314D01*
+X163092054Y-67314006D01*
+X163041362Y-67208439D01*
+X163036600Y-67198522D01*
+X163036600Y-67198521D01*
+X163032525Y-67190036D01*
+X162939175Y-67089051D01*
+X162931031Y-67084320D01*
+X162931029Y-67084319D01*
+X162828399Y-67024706D01*
+X162828395Y-67024704D01*
+X162820258Y-67019978D01*
+X162765396Y-67007262D01*
+X162695465Y-66991053D01*
+X162695463Y-66991053D01*
+X162686287Y-66988926D01*
+X162676891Y-66989591D01*
+X162676888Y-66989591D01*
+X162619236Y-66993673D01*
+X162521243Y-66957083D01*
+X160168637Y-64604478D01*
+X160152967Y-64585385D01*
+X160152510Y-64584701D01*
+X160152508Y-64584699D01*
+X160145616Y-64574384D01*
+X160071957Y-64525168D01*
+X160070772Y-64524376D01*
+X160060454Y-64517482D01*
+X159985136Y-64502500D01*
+X159985135Y-64502500D01*
+X159960000Y-64497500D01*
+X159947830Y-64499921D01*
+X159947828Y-64499921D01*
+X159947034Y-64500079D01*
+X159922452Y-64502500D01*
+X158018851Y-64502500D01*
+X157929756Y-64465596D01*
+X157548632Y-64084473D01*
+X157532961Y-64065377D01*
+X157532511Y-64064704D01*
+X157525616Y-64054384D01*
+X157503670Y-64039720D01*
+X157450772Y-64004376D01*
+X157440454Y-63997482D01*
+X157365136Y-63982500D01*
+X157365135Y-63982500D01*
+X157340000Y-63977500D01*
+X157327830Y-63979921D01*
+X157327828Y-63979921D01*
+X157327034Y-63980079D01*
+X157302452Y-63982500D01*
+X151897547Y-63982500D01*
+X151872965Y-63980079D01*
+X151872171Y-63979921D01*
+X151872169Y-63979921D01*
+X151859999Y-63977500D01*
+X151834864Y-63982500D01*
+X151771716Y-63995061D01*
+X151771715Y-63995061D01*
+X151759546Y-63997482D01*
+X151674384Y-64054384D01*
+X151667492Y-64064699D01*
+X151667490Y-64064701D01*
+X151667037Y-64065379D01*
+X151651368Y-64084472D01*
+X151216764Y-64519077D01*
+X150810246Y-64925595D01*
+X150721150Y-64962500D01*
+X149667547Y-64962500D01*
+X149642965Y-64960079D01*
+X149642171Y-64959921D01*
+X149642169Y-64959921D01*
+X149629999Y-64957500D01*
+X149616009Y-64960283D01*
+X149604864Y-64962500D01*
+X149541716Y-64975061D01*
+X149541715Y-64975061D01*
+X149529546Y-64977482D01*
+X149519228Y-64984376D01*
+X149502654Y-64995450D01*
+X149444384Y-65034384D01*
+X149437492Y-65044699D01*
+X149437490Y-65044701D01*
+X149437035Y-65045382D01*
+X149421365Y-65064475D01*
+X147875864Y-66609977D01*
+X146467579Y-68018262D01*
+X146405267Y-68052288D01*
+X146334452Y-68047223D01*
+X146280348Y-68004415D01*
+X146280221Y-68004542D01*
+X146279133Y-68003454D01*
+X146273721Y-67999172D01*
+X146271445Y-67995766D01*
+X146271444Y-67995765D01*
+X146264551Y-67985449D01*
+X146244055Y-67971754D01*
+X146222312Y-67957225D01*
+X146222309Y-67957224D01*
+X146211995Y-67950332D01*
+X146199828Y-67947912D01*
+X146199826Y-67947911D01*
+X146169568Y-67941892D01*
+X146150000Y-67938000D01*
+X145250000Y-67938000D01*
+X145230432Y-67941892D01*
+X145200174Y-67947911D01*
+X145200172Y-67947912D01*
+X145188005Y-67950332D01*
+X145177691Y-67957224D01*
+X145177688Y-67957225D01*
+X145155945Y-67971754D01*
+X145135449Y-67985449D01*
+X145128556Y-67995765D01*
+X145107225Y-68027688D01*
+X145107224Y-68027691D01*
+X145100332Y-68038005D01*
+X145097912Y-68050172D01*
+X145097911Y-68050174D01*
+X145092433Y-68077713D01*
+X145088000Y-68100000D01*
+X144210325Y-68100000D01*
+X144211995Y-68099668D01*
+X144222309Y-68092776D01*
+X144222312Y-68092775D01*
+X144254235Y-68071444D01*
+X144264551Y-68064551D01*
+X144271444Y-68054235D01*
+X144292775Y-68022312D01*
+X144292776Y-68022309D01*
+X144299668Y-68011995D01*
+X144302897Y-67995766D01*
+X144308943Y-67965366D01*
+X144312000Y-67950000D01*
+X144312000Y-67150000D01*
+X144303328Y-67106405D01*
+X144302089Y-67100174D01*
+X144302088Y-67100172D01*
+X144299668Y-67088005D01*
+X144292776Y-67077691D01*
+X144292775Y-67077688D01*
+X144271444Y-67045765D01*
+X144264551Y-67035449D01*
+X144213496Y-67001335D01*
+X144167970Y-66946858D01*
+X144157500Y-66896571D01*
+X144157500Y-66241692D01*
+X144194405Y-66152596D01*
+X144475178Y-65871824D01*
+X145420014Y-64926989D01*
+X145431104Y-64917135D01*
+X145449750Y-64902436D01*
+X145449753Y-64902432D01*
+X145457148Y-64896603D01*
+X145462501Y-64888858D01*
+X145462503Y-64888856D01*
+X145491043Y-64847562D01*
+X145493343Y-64844344D01*
+X145496529Y-64840030D01*
+X145528741Y-64796418D01*
+X145531169Y-64789504D01*
+X145535338Y-64783472D01*
+X145547450Y-64745175D01*
+X145553307Y-64726654D01*
+X145554560Y-64722898D01*
+X145571188Y-64675549D01*
+X145571188Y-64675547D01*
+X145574308Y-64666664D01*
+X145574592Y-64659450D01*
+X145574641Y-64659199D01*
+X145576806Y-64652351D01*
+X145577500Y-64643533D01*
+X145577500Y-64587883D01*
+X145577597Y-64582937D01*
+X145578656Y-64555982D01*
+X145579706Y-64529249D01*
+X145577906Y-64522458D01*
+X145577500Y-64515091D01*
+X145577500Y-62389242D01*
+X146400490Y-62389242D01*
+X146410009Y-62451449D01*
+X146432475Y-62598258D01*
+X146434429Y-62611029D01*
+X146456542Y-62675616D01*
+X146504786Y-62816525D01*
+X146507106Y-62823302D01*
+X146616224Y-63019349D01*
+X146619776Y-63023689D01*
+X146619779Y-63023693D01*
+X146703113Y-63125507D01*
+X146758335Y-63192975D01*
+X146792169Y-63221872D01*
+X146924682Y-63335049D01*
+X146924686Y-63335052D01*
+X146928947Y-63338691D01*
+X147122667Y-63451892D01*
+X147127933Y-63453819D01*
+X147127937Y-63453821D01*
+X147328106Y-63527072D01*
+X147328109Y-63527073D01*
+X147333370Y-63528998D01*
+X147338886Y-63529961D01*
+X147338891Y-63529962D01*
+X147509541Y-63559745D01*
+X147554399Y-63567574D01*
+X147560005Y-63567545D01*
+X147560009Y-63567545D01*
+X147663025Y-63567005D01*
+X147778765Y-63566399D01*
+X147781619Y-63565870D01*
+X149188099Y-63565870D01*
+X149188615Y-63572014D01*
+X149203192Y-63745603D01*
+X149204626Y-63762685D01*
+X149213909Y-63795059D01*
+X149254630Y-63937070D01*
+X149259066Y-63952542D01*
+X149261885Y-63958027D01*
+X149346529Y-64122727D01*
+X149346532Y-64122732D01*
+X149349347Y-64128209D01*
+X149472028Y-64282994D01*
+X149476722Y-64286988D01*
+X149476722Y-64286989D01*
+X149603018Y-64394475D01*
+X149622438Y-64411003D01*
+X149627816Y-64414009D01*
+X149627818Y-64414010D01*
+X149662507Y-64433397D01*
+X149794847Y-64507359D01*
+X149982688Y-64568392D01*
+X150178806Y-64591778D01*
+X150184941Y-64591306D01*
+X150184943Y-64591306D01*
+X150369589Y-64577098D01*
+X150369592Y-64577097D01*
+X150375732Y-64576625D01*
+X150383759Y-64574384D01*
+X150412039Y-64566488D01*
+X150565964Y-64523512D01*
+X150742257Y-64434460D01*
+X150757169Y-64422810D01*
+X150893039Y-64316656D01*
+X150897895Y-64312862D01*
+X150921179Y-64285888D01*
+X150995709Y-64199544D01*
+X151026951Y-64163350D01*
+X151030070Y-64157861D01*
+X151121465Y-63996974D01*
+X151121466Y-63996973D01*
+X151124508Y-63991617D01*
+X151126941Y-63984305D01*
+X151160474Y-63883500D01*
+X151186851Y-63804207D01*
+X151194958Y-63740036D01*
+X151211163Y-63611758D01*
+X151211163Y-63611753D01*
+X151211605Y-63608257D01*
+X151212000Y-63580000D01*
+X151192727Y-63383435D01*
+X151135641Y-63194357D01*
+X151127531Y-63179105D01*
+X151045810Y-63025410D01*
+X151045808Y-63025407D01*
+X151042916Y-63019968D01*
+X150918086Y-62866910D01*
+X150765903Y-62741014D01*
+X150592166Y-62647074D01*
+X150403491Y-62588670D01*
+X150397366Y-62588026D01*
+X150397365Y-62588026D01*
+X150213193Y-62568669D01*
+X150213192Y-62568669D01*
+X150207065Y-62568025D01*
+X150086743Y-62578975D01*
+X150016511Y-62585366D01*
+X150016510Y-62585366D01*
+X150010370Y-62585925D01*
+X150000425Y-62588852D01*
+X149826809Y-62639950D01*
+X149826806Y-62639951D01*
+X149820898Y-62641690D01*
+X149645866Y-62733195D01*
+X149641068Y-62737053D01*
+X149641066Y-62737054D01*
+X149570724Y-62793611D01*
+X149491941Y-62856954D01*
+X149487982Y-62861672D01*
+X149487981Y-62861673D01*
+X149369014Y-63003451D01*
+X149364985Y-63008253D01*
+X149362022Y-63013642D01*
+X149362019Y-63013647D01*
+X149303369Y-63120332D01*
+X149269835Y-63181331D01*
+X149267972Y-63187204D01*
+X149215558Y-63352436D01*
+X149210115Y-63369593D01*
+X149209429Y-63375710D01*
+X149209428Y-63375714D01*
+X149192626Y-63525511D01*
+X149188099Y-63565870D01*
+X147781619Y-63565870D01*
+X147795249Y-63563344D01*
+X147907621Y-63542517D01*
+X147999377Y-63525511D01*
+X148004626Y-63523528D01*
+X148004628Y-63523527D01*
+X148103609Y-63486125D01*
+X148209262Y-63446202D01*
+X148231918Y-63432643D01*
+X148309513Y-63386203D01*
+X148401785Y-63330979D01*
+X148406005Y-63327297D01*
+X148406010Y-63327294D01*
+X148566631Y-63187175D01*
+X148570861Y-63183485D01*
+X148621456Y-63120332D01*
+X148707641Y-63012757D01*
+X148707644Y-63012752D01*
+X148711147Y-63008380D01*
+X148786368Y-62869839D01*
+X148815531Y-62816128D01*
+X148815532Y-62816127D01*
+X148818206Y-62811201D01*
+X148888657Y-62598179D01*
+X148889757Y-62590454D01*
+X148910690Y-62443360D01*
+X148920270Y-62376048D01*
+X148920947Y-62350201D01*
+X148942726Y-62282627D01*
+X148997581Y-62237555D01*
+X149046904Y-62227500D01*
+X155246782Y-62227500D01*
+X155261592Y-62228373D01*
+X155294527Y-62232271D01*
+X155303791Y-62230579D01*
+X155303794Y-62230579D01*
+X155353130Y-62221569D01*
+X155357032Y-62220919D01*
+X155406684Y-62213454D01*
+X155406686Y-62213453D01*
+X155415994Y-62212054D01*
+X155422603Y-62208880D01*
+X155429811Y-62207564D01*
+X155482707Y-62180087D01*
+X155486209Y-62178338D01*
+X155503008Y-62170271D01*
+X157656996Y-62170271D01*
+X157663275Y-62242049D01*
+X157664549Y-62250280D01*
+X157728160Y-62534860D01*
+X157730516Y-62542864D01*
+X157831205Y-62816525D01*
+X157834595Y-62824141D01*
+X157970589Y-63082076D01*
+X157974967Y-63089192D01*
+X158143880Y-63326875D01*
+X158149151Y-63333338D01*
+X158348020Y-63546600D01*
+X158354104Y-63552312D01*
+X158579425Y-63737393D01*
+X158586206Y-63742248D01*
+X158834038Y-63895910D01*
+X158841398Y-63899823D01*
+X159107364Y-64019353D01*
+X159115187Y-64022263D01*
+X159394637Y-64105571D01*
+X159402758Y-64107416D01*
+X159691433Y-64153137D01*
+X159698408Y-64153845D01*
+X159788477Y-64157936D01*
+X159791310Y-64158000D01*
+X160127885Y-64158000D01*
+X160143124Y-64153525D01*
+X160144329Y-64152135D01*
+X160146000Y-64144452D01*
+X160146000Y-64139885D01*
+X160653999Y-64139885D01*
+X160658474Y-64155124D01*
+X160659864Y-64156329D01*
+X160667547Y-64158000D01*
+X160973181Y-64158000D01*
+X160977373Y-64157861D01*
+X161195125Y-64143398D01*
+X161203394Y-64142295D01*
+X161489231Y-64084659D01*
+X161497292Y-64082469D01*
+X161772998Y-63987536D01*
+X161780685Y-63984305D01*
+X162041410Y-63853743D01*
+X162048619Y-63849513D01*
+X162289783Y-63685619D01*
+X162296358Y-63680482D01*
+X162513735Y-63486125D01*
+X162519575Y-63480161D01*
+X162709336Y-63258762D01*
+X162714329Y-63252088D01*
+X162873144Y-63007536D01*
+X162877218Y-63000246D01*
+X163002288Y-62736848D01*
+X163005364Y-62729080D01*
+X163094501Y-62451449D01*
+X163096518Y-62443360D01*
+X163145375Y-62171830D01*
+X163143943Y-62158571D01*
+X163129333Y-62154000D01*
+X160672115Y-62153999D01*
+X160656876Y-62158474D01*
+X160655671Y-62159864D01*
+X160654000Y-62167547D01*
+X160653999Y-64139885D01*
+X160146000Y-64139885D01*
+X160146001Y-62172115D01*
+X160141526Y-62156876D01*
+X160140136Y-62155671D01*
+X160132453Y-62154000D01*
+X157673687Y-62153999D01*
+X157659059Y-62158294D01*
+X157656996Y-62170271D01*
+X155503008Y-62170271D01*
+X155520253Y-62161990D01*
+X155539964Y-62152525D01*
+X155545274Y-62147617D01*
+X155545471Y-62147484D01*
+X155551850Y-62144170D01*
+X155558576Y-62138425D01*
+X155597888Y-62099113D01*
+X155601454Y-62095684D01*
+X155634032Y-62065569D01*
+X155640949Y-62059175D01*
+X155644481Y-62053094D01*
+X155649415Y-62047586D01*
+X156068831Y-61628170D01*
+X157654625Y-61628170D01*
+X157656057Y-61641429D01*
+X157670667Y-61646000D01*
+X160127885Y-61646001D01*
+X160143124Y-61641526D01*
+X160144329Y-61640136D01*
+X160146000Y-61632453D01*
+X160146000Y-61627885D01*
+X160653999Y-61627885D01*
+X160658474Y-61643124D01*
+X160659864Y-61644329D01*
+X160667547Y-61646000D01*
+X163126313Y-61646001D01*
+X163140941Y-61641706D01*
+X163143004Y-61629729D01*
+X163136725Y-61557951D01*
+X163135451Y-61549720D01*
+X163071840Y-61265140D01*
+X163069484Y-61257136D01*
+X162968795Y-60983475D01*
+X162965405Y-60975859D01*
+X162829411Y-60717924D01*
+X162825033Y-60710808D01*
+X162656120Y-60473125D01*
+X162650849Y-60466662D01*
+X162451980Y-60253400D01*
+X162445896Y-60247688D01*
+X162220575Y-60062607D01*
+X162213794Y-60057752D01*
+X161965962Y-59904090D01*
+X161958602Y-59900177D01*
+X161692636Y-59780647D01*
+X161684813Y-59777737D01*
+X161405363Y-59694429D01*
+X161397242Y-59692584D01*
+X161108567Y-59646863D01*
+X161101592Y-59646155D01*
+X161011523Y-59642064D01*
+X161008690Y-59642000D01*
+X160672115Y-59642000D01*
+X160656876Y-59646475D01*
+X160655671Y-59647865D01*
+X160654000Y-59655548D01*
+X160653999Y-61627885D01*
+X160146000Y-61627885D01*
+X160146001Y-59660115D01*
+X160141526Y-59644876D01*
+X160140136Y-59643671D01*
+X160132453Y-59642000D01*
+X159826819Y-59642000D01*
+X159822627Y-59642139D01*
+X159604875Y-59656602D01*
+X159596606Y-59657705D01*
+X159310769Y-59715341D01*
+X159302708Y-59717531D01*
+X159027002Y-59812464D01*
+X159019315Y-59815695D01*
+X158758590Y-59946257D01*
+X158751381Y-59950487D01*
+X158510217Y-60114381D01*
+X158503642Y-60119518D01*
+X158286265Y-60313875D01*
+X158280425Y-60319839D01*
+X158090664Y-60541238D01*
+X158085671Y-60547912D01*
+X157926856Y-60792464D01*
+X157922782Y-60799754D01*
+X157797712Y-61063152D01*
+X157794636Y-61070920D01*
+X157705499Y-61348551D01*
+X157703482Y-61356640D01*
+X157654625Y-61628170D01*
+X156068831Y-61628170D01*
+X164702597Y-52994405D01*
+X164791692Y-52957500D01*
+X166498413Y-52957500D01*
+X166566534Y-52977502D01*
+X166613027Y-53031158D01*
+X166620885Y-53097468D01*
+X166623194Y-53097650D01*
+X166622500Y-53106468D01*
+X166622500Y-53162101D01*
+X166622403Y-53167048D01*
+X166620293Y-53220751D01*
+X166622094Y-53227545D01*
+X166622500Y-53234917D01*
+X166622500Y-55825539D01*
+X166602498Y-55893660D01*
+X166548842Y-55940153D01*
+X166478568Y-55950257D01*
+X166413988Y-55920763D01*
+X166370999Y-55836739D01*
+X166370739Y-55833821D01*
+X166362055Y-55736519D01*
+X166318312Y-55576620D01*
+X166304332Y-55525518D01*
+X166304331Y-55525514D01*
+X166302850Y-55520102D01*
+X166206256Y-55317590D01*
+X166202984Y-55313036D01*
+X166078604Y-55139941D01*
+X166078599Y-55139936D01*
+X166075328Y-55135383D01*
+X165914202Y-54979242D01*
+X165727973Y-54854101D01*
+X165585365Y-54791500D01*
+X165527669Y-54766173D01*
+X165527667Y-54766172D01*
+X165522527Y-54763916D01*
+X165362838Y-54725578D01*
+X165309814Y-54712848D01*
+X165309813Y-54712848D01*
+X165304357Y-54711538D01*
+X165219591Y-54706651D01*
+X165085967Y-54698946D01*
+X165085964Y-54698946D01*
+X165080360Y-54698623D01*
+X164857615Y-54725578D01*
+X164643165Y-54791551D01*
+X164638185Y-54794121D01*
+X164638181Y-54794123D01*
+X164448770Y-54891886D01*
+X164443787Y-54894458D01*
+X164265783Y-55031045D01*
+X164262010Y-55035191D01*
+X164262005Y-55035196D01*
+X164166695Y-55139941D01*
+X164114779Y-55196996D01*
+X164058578Y-55286589D01*
+X164000609Y-55379000D01*
+X163995550Y-55387064D01*
+X163911863Y-55595242D01*
+X163866364Y-55814949D01*
+X163860490Y-56039242D01*
+X163869474Y-56097949D01*
+X163892463Y-56248179D01*
+X163894429Y-56261029D01*
+X163911467Y-56310793D01*
+X163965134Y-56467541D01*
+X163967106Y-56473302D01*
+X164076224Y-56669349D01*
+X164079776Y-56673689D01*
+X164079779Y-56673693D01*
+X164179524Y-56795557D01*
+X164218335Y-56842975D01*
+X164253861Y-56873317D01*
+X164384682Y-56985049D01*
+X164384686Y-56985052D01*
+X164388947Y-56988691D01*
+X164401455Y-56996000D01*
+X164577825Y-57099063D01*
+X164577829Y-57099065D01*
+X164582667Y-57101892D01*
+X164587936Y-57103820D01*
+X164590144Y-57104859D01*
+X164643267Y-57151960D01*
+X164662500Y-57218869D01*
+X164662500Y-57548876D01*
+X164662501Y-57548904D01*
+X164662500Y-67086782D01*
+X164661627Y-67101591D01*
+X164657729Y-67134527D01*
+X164659421Y-67143791D01*
+X164659421Y-67143792D01*
+X164668432Y-67193133D01*
+X164669082Y-67197037D01*
+X164676546Y-67246682D01*
+X164677946Y-67255993D01*
+X164681119Y-67262601D01*
+X164682436Y-67269811D01*
+X164686777Y-67278168D01*
+X164686779Y-67278174D01*
+X164709912Y-67322707D01*
+X164711681Y-67326247D01*
+X164733397Y-67371472D01*
+X164733399Y-67371475D01*
+X164737475Y-67379963D01*
+X164742380Y-67385269D01*
+X164742518Y-67385474D01*
+X164745830Y-67391850D01*
+X164751575Y-67398576D01*
+X164790880Y-67437881D01*
+X164794309Y-67441446D01*
+X164830825Y-67480949D01*
+X164836903Y-67484480D01*
+X164842408Y-67489411D01*
+X165533906Y-68180909D01*
+X165567932Y-68243221D01*
+X165562867Y-68314036D01*
+X165520320Y-68370872D01*
+X165449749Y-68395599D01*
+X165442482Y-68394739D01*
+X165433218Y-68396431D01*
+X165433217Y-68396431D01*
+X165383876Y-68405442D01*
+X165379972Y-68406092D01*
+X165330327Y-68413556D01*
+X165330326Y-68413556D01*
+X165321016Y-68414956D01*
+X165314408Y-68418129D01*
+X165307198Y-68419446D01*
+X165298841Y-68423787D01*
+X165298835Y-68423789D01*
+X165254302Y-68446922D01*
+X165250775Y-68448685D01*
+X165241049Y-68453355D01*
+X165205537Y-68470407D01*
+X165205534Y-68470409D01*
+X165197046Y-68474485D01*
+X165191740Y-68479390D01*
+X165191535Y-68479528D01*
+X165185159Y-68482840D01*
+X165178433Y-68488585D01*
+X165139108Y-68527910D01*
+X165135542Y-68531339D01*
+X165107496Y-68557265D01*
+X165096061Y-68567835D01*
+X165092530Y-68573915D01*
+X165087600Y-68579418D01*
+X164819997Y-68847021D01*
+X164808908Y-68856876D01*
+X164782852Y-68877417D01*
+X164777498Y-68885164D01*
+X164777496Y-68885166D01*
+X164772064Y-68893026D01*
+X164750746Y-68923872D01*
+X164748955Y-68926463D01*
+X164746684Y-68929642D01*
+X164711259Y-68977603D01*
+X164708831Y-68984517D01*
+X164704662Y-68990549D01*
+X164701820Y-68999536D01*
+X164686693Y-69047367D01*
+X164685440Y-69051123D01*
+X164668887Y-69098258D01*
+X164665692Y-69107357D01*
+X164665408Y-69114571D01*
+X164665359Y-69114822D01*
+X164663194Y-69121670D01*
+X164662500Y-69130488D01*
+X164662500Y-69186121D01*
+X164662403Y-69191068D01*
+X164660293Y-69244771D01*
+X164662094Y-69251565D01*
+X164662500Y-69258937D01*
+X164662501Y-72014825D01*
+X164662501Y-74704811D01*
+X164642499Y-74772932D01*
+X164594291Y-74816777D01*
+X164515909Y-74857233D01*
+X164451919Y-74890261D01*
+X164443787Y-74894458D01*
+X164265783Y-75031045D01*
+X164262010Y-75035191D01*
+X164262005Y-75035196D01*
+X164166695Y-75139941D01*
+X164114779Y-75196996D01*
+X164042049Y-75312938D01*
+X164003031Y-75375139D01*
+X163995550Y-75387064D01*
+X163911863Y-75595242D01*
+X163866364Y-75814949D01*
+X163860490Y-76039242D01*
+X163861339Y-76044788D01*
+X163892463Y-76248179D01*
+X163894429Y-76261029D01*
+X163914197Y-76318767D01*
+X163965134Y-76467541D01*
+X163967106Y-76473302D01*
+X164076224Y-76669349D01*
+X164079776Y-76673689D01*
+X164079779Y-76673693D01*
+X164169571Y-76783397D01*
+X164218335Y-76842975D01*
+X164222603Y-76846620D01*
+X164384682Y-76985049D01*
+X164384686Y-76985052D01*
+X164388947Y-76988691D01*
+X164582667Y-77101892D01*
+X164587933Y-77103819D01*
+X164587937Y-77103821D01*
+X164788106Y-77177072D01*
+X164788109Y-77177073D01*
+X164793370Y-77178998D01*
+X164798886Y-77179961D01*
+X164798891Y-77179962D01*
+X164956632Y-77207492D01*
+X165014399Y-77217574D01*
+X165020005Y-77217545D01*
+X165020009Y-77217545D01*
+X165123025Y-77217005D01*
+X165238765Y-77216399D01*
+X165268727Y-77210846D01*
+X165349071Y-77195955D01*
+X165459377Y-77175511D01*
+X165464626Y-77173528D01*
+X165464628Y-77173527D01*
+X165609511Y-77118780D01*
+X165669262Y-77096202D01*
+X165675632Y-77092390D01*
+X165768064Y-77037070D01*
+X165861785Y-76980979D01*
+X165866005Y-76977297D01*
+X165866010Y-76977294D01*
+X166026631Y-76837175D01*
+X166030861Y-76833485D01*
+X166095893Y-76752312D01*
+X166167641Y-76662757D01*
+X166167644Y-76662752D01*
+X166171147Y-76658380D01*
+X166259439Y-76495765D01*
+X166275531Y-76466128D01*
+X166275532Y-76466127D01*
+X166278206Y-76461201D01*
+X166348657Y-76248179D01*
+X166380270Y-76026048D01*
+X166382000Y-75960000D01*
+X166380926Y-75947960D01*
+X166374846Y-75879839D01*
+X166362055Y-75736519D01*
+X166312856Y-75556678D01*
+X166304332Y-75525518D01*
+X166304331Y-75525514D01*
+X166302850Y-75520102D01*
+X166206256Y-75317590D01*
+X166145992Y-75233723D01*
+X166078604Y-75139941D01*
+X166078599Y-75139936D01*
+X166075328Y-75135383D01*
+X165914202Y-74979242D01*
+X165753298Y-74871119D01*
+X165732634Y-74857233D01*
+X165732633Y-74857233D01*
+X165727973Y-74854101D01*
+X165652851Y-74821125D01*
+X165598519Y-74775432D01*
+X165577500Y-74705754D01*
+X165577500Y-69440510D01*
+X165597502Y-69372389D01*
+X165651158Y-69325896D01*
+X165703500Y-69314510D01*
+X167915317Y-69314510D01*
+X168004413Y-69351415D01*
+X168155724Y-69502727D01*
+X168303009Y-69650012D01*
+X168312863Y-69661101D01*
+X168333397Y-69687148D01*
+X168341142Y-69692501D01*
+X168341144Y-69692503D01*
+X168382438Y-69721043D01*
+X168385655Y-69723343D01*
+X168433582Y-69758741D01*
+X168440496Y-69761169D01*
+X168446528Y-69765338D01*
+X168465181Y-69771237D01*
+X168503346Y-69783307D01*
+X168507102Y-69784560D01*
+X168554451Y-69801188D01*
+X168554453Y-69801188D01*
+X168563336Y-69804308D01*
+X168570550Y-69804592D01*
+X168570801Y-69804641D01*
+X168577649Y-69806806D01*
+X168586467Y-69807500D01*
+X168642117Y-69807500D01*
+X168647064Y-69807597D01*
+X168700751Y-69809706D01*
+X168707542Y-69807906D01*
+X168714909Y-69807500D01*
+X169029980Y-69807500D01*
+X169098101Y-69827502D01*
+X169118097Y-69847140D01*
+X169119779Y-69845458D01*
+X169128556Y-69854235D01*
+X169135449Y-69864551D01*
+X169145765Y-69871444D01*
+X169177688Y-69892775D01*
+X169177691Y-69892776D01*
+X169188005Y-69899668D01*
+X169200172Y-69902088D01*
+X169200174Y-69902089D01*
+X169215654Y-69905168D01*
+X169250000Y-69912000D01*
+X169782251Y-69912000D01*
+X169850372Y-69932002D01*
+X169896865Y-69985658D01*
+X169906969Y-70055932D01*
+X169871347Y-70127095D01*
+X169292848Y-70705595D01*
+X169203752Y-70742500D01*
+X168632728Y-70742500D01*
+X168564607Y-70722498D01*
+X168510769Y-70648155D01*
+X168486010Y-70552763D01*
+X168486009Y-70552761D01*
+X168484669Y-70547597D01*
+X168474528Y-70525083D01*
+X168399401Y-70358308D01*
+X168390078Y-70337611D01*
+X168261458Y-70146566D01*
+X168251594Y-70136225D01*
+X168106175Y-69983786D01*
+X168102489Y-69979922D01*
+X168095138Y-69974452D01*
+X167947424Y-69864551D01*
+X167917714Y-69842446D01*
+X167712417Y-69738068D01*
+X167492470Y-69669772D01*
+X167487181Y-69669071D01*
+X167269444Y-69640212D01*
+X167269439Y-69640212D01*
+X167264159Y-69639512D01*
+X167258830Y-69639712D01*
+X167258828Y-69639712D01*
+X167149087Y-69643832D01*
+X167034014Y-69648152D01*
+X166808615Y-69695446D01*
+X166803659Y-69697403D01*
+X166803653Y-69697405D01*
+X166674988Y-69748218D01*
+X166594407Y-69780041D01*
+X166397515Y-69899518D01*
+X166393485Y-69903015D01*
+X166247656Y-70029559D01*
+X166223568Y-70050461D01*
+X166212122Y-70064420D01*
+X166080924Y-70224427D01*
+X166080920Y-70224433D01*
+X166077540Y-70228555D01*
+X166074901Y-70233191D01*
+X166074899Y-70233194D01*
+X165988460Y-70385045D01*
+X165963607Y-70428706D01*
+X165885026Y-70645193D01*
+X165884077Y-70650442D01*
+X165884076Y-70650445D01*
+X165845641Y-70863000D01*
+X165844045Y-70871824D01*
+X165843281Y-70951427D01*
+X165841995Y-71085387D01*
+X165841834Y-71102121D01*
+X165842683Y-71107391D01*
+X165842683Y-71107393D01*
+X165875752Y-71312702D01*
+X165878457Y-71329498D01*
+X165880182Y-71334550D01*
+X165880182Y-71334551D01*
+X165888100Y-71357744D01*
+X165952868Y-71547453D01*
+X166062937Y-71749755D01*
+X166066235Y-71753939D01*
+X166066238Y-71753943D01*
+X166202216Y-71926430D01*
+X166202220Y-71926434D01*
+X166205519Y-71930619D01*
+X166209479Y-71934191D01*
+X166209480Y-71934192D01*
+X166233248Y-71955630D01*
+X166376536Y-72084874D01*
+X166571098Y-72208109D01*
+X166576028Y-72210166D01*
+X166576032Y-72210168D01*
+X166665022Y-72247302D01*
+X166720187Y-72291994D01*
+X166742500Y-72363584D01*
+X166742501Y-81401845D01*
+X166709695Y-81486644D01*
+X166654779Y-81546996D01*
+X166535550Y-81737064D01*
+X166520904Y-81773498D01*
+X166476936Y-81829242D01*
+X166403997Y-81852500D01*
+X152711692Y-81852500D01*
+X152622597Y-81815595D01*
+X152206999Y-81399997D01*
+X152197144Y-81388908D01*
+X152182434Y-81370249D01*
+X152176603Y-81362852D01*
+X152168856Y-81357497D01*
+X152168854Y-81357496D01*
+X152127566Y-81328961D01*
+X152124344Y-81326659D01*
+X152083995Y-81296856D01*
+X152083994Y-81296855D01*
+X152076417Y-81291259D01*
+X152069505Y-81288832D01*
+X152063472Y-81284662D01*
+X152054494Y-81281823D01*
+X152054493Y-81281822D01*
+X152031105Y-81274425D01*
+X152006618Y-81266681D01*
+X152002878Y-81265433D01*
+X151955548Y-81248812D01*
+X151955547Y-81248812D01*
+X151946663Y-81245692D01*
+X151939452Y-81245408D01*
+X151939196Y-81245358D01*
+X151932351Y-81243194D01*
+X151923533Y-81242500D01*
+X151867899Y-81242500D01*
+X151862952Y-81242403D01*
+X151809249Y-81240293D01*
+X151802455Y-81242094D01*
+X151795083Y-81242500D01*
+X148383217Y-81242500D01*
+X148368408Y-81241627D01*
+X148344497Y-81238797D01*
+X148289032Y-81218252D01*
+X148267973Y-81204101D01*
+X148125481Y-81141551D01*
+X148067669Y-81116173D01*
+X148067667Y-81116172D01*
+X148062527Y-81113916D01*
+X147902838Y-81075578D01*
+X147849814Y-81062848D01*
+X147849813Y-81062848D01*
+X147844357Y-81061538D01*
+X147757776Y-81056546D01*
+X147625967Y-81048946D01*
+X147625964Y-81048946D01*
+X147620360Y-81048623D01*
+X147397615Y-81075578D01*
+X147183165Y-81141551D01*
+X147178185Y-81144121D01*
+X147178181Y-81144123D01*
+X146991140Y-81240663D01*
+X146983787Y-81244458D01*
+X146805783Y-81381045D01*
+X146802010Y-81385191D01*
+X146802005Y-81385196D01*
+X146709695Y-81486644D01*
+X146654779Y-81546996D01*
+X146535550Y-81737064D01*
+X146499252Y-81827358D01*
+X146454421Y-81938880D01*
+X146451863Y-81945242D01*
+X146406364Y-82164949D01*
+X146400490Y-82389242D01*
+X146404993Y-82418666D01*
+X146432475Y-82598258D01*
+X146434429Y-82611029D01*
+X146447773Y-82650004D01*
+X146503289Y-82812152D01*
+X146507106Y-82823302D01*
+X146616224Y-83019349D01*
+X146619776Y-83023689D01*
+X146619779Y-83023693D01*
+X146724264Y-83151348D01*
+X146758335Y-83192975D01*
+X146801895Y-83230179D01*
+X146924682Y-83335049D01*
+X146924686Y-83335052D01*
+X146928947Y-83338691D01*
+X147122667Y-83451892D01*
+X147127933Y-83453819D01*
+X147127937Y-83453821D01*
+X147328106Y-83527072D01*
+X147328109Y-83527073D01*
+X147333370Y-83528998D01*
+X147338886Y-83529961D01*
+X147338891Y-83529962D01*
+X147509541Y-83559745D01*
+X147554399Y-83567574D01*
+X147560005Y-83567545D01*
+X147560009Y-83567545D01*
+X147663025Y-83567005D01*
+X147778765Y-83566399D01*
+X147781619Y-83565870D01*
+X149188099Y-83565870D01*
+X149188615Y-83572014D01*
+X149202942Y-83742627D01*
+X149204626Y-83762685D01*
+X149259066Y-83952542D01*
+X149269565Y-83972971D01*
+X149346529Y-84122727D01*
+X149346532Y-84122732D01*
+X149349347Y-84128209D01*
+X149472028Y-84282994D01*
+X149476722Y-84286988D01*
+X149476722Y-84286989D01*
+X149552364Y-84351365D01*
+X149622438Y-84411003D01*
+X149794847Y-84507359D01*
+X149982688Y-84568392D01*
+X150178806Y-84591778D01*
+X150184941Y-84591306D01*
+X150184943Y-84591306D01*
+X150369589Y-84577098D01*
+X150369592Y-84577097D01*
+X150375732Y-84576625D01*
+X150565964Y-84523512D01*
+X150742257Y-84434460D01*
+X150777392Y-84407010D01*
+X150893039Y-84316656D01*
+X150897895Y-84312862D01*
+X151026951Y-84163350D01*
+X151044170Y-84133040D01*
+X151121465Y-83996974D01*
+X151121466Y-83996973D01*
+X151124508Y-83991617D01*
+X151130977Y-83972172D01*
+X151182565Y-83817090D01*
+X151186851Y-83804207D01*
+X151211605Y-83608257D01*
+X151212000Y-83580000D01*
+X151192727Y-83383435D01*
+X151135641Y-83194357D01*
+X151103350Y-83133626D01*
+X151045810Y-83025410D01*
+X151045808Y-83025407D01*
+X151042916Y-83019968D01*
+X150918086Y-82866910D01*
+X150765903Y-82741014D01*
+X150592166Y-82647074D01*
+X150403491Y-82588670D01*
+X150397366Y-82588026D01*
+X150397365Y-82588026D01*
+X150213193Y-82568669D01*
+X150213192Y-82568669D01*
+X150207065Y-82568025D01*
+X150086743Y-82578975D01*
+X150016511Y-82585366D01*
+X150016510Y-82585366D01*
+X150010370Y-82585925D01*
+X149974344Y-82596528D01*
+X149826809Y-82639950D01*
+X149826806Y-82639951D01*
+X149820898Y-82641690D01*
+X149645866Y-82733195D01*
+X149641068Y-82737053D01*
+X149641066Y-82737054D01*
+X149578322Y-82787502D01*
+X149491941Y-82856954D01*
+X149487982Y-82861672D01*
+X149487981Y-82861673D01*
+X149468159Y-82885296D01*
+X149364985Y-83008253D01*
+X149362022Y-83013642D01*
+X149362019Y-83013647D01*
+X149286318Y-83151348D01*
+X149269835Y-83181331D01*
+X149210115Y-83369593D01*
+X149209429Y-83375710D01*
+X149209428Y-83375714D01*
+X149192626Y-83525511D01*
+X149188099Y-83565870D01*
+X147781619Y-83565870D01*
+X147999377Y-83525511D01*
+X148004626Y-83523528D01*
+X148004628Y-83523527D01*
+X148081769Y-83494378D01*
+X148209262Y-83446202D01*
+X148219587Y-83440023D01*
+X148303890Y-83389568D01*
+X148401785Y-83330979D01*
+X148406005Y-83327297D01*
+X148406010Y-83327294D01*
+X148566631Y-83187175D01*
+X148570861Y-83183485D01*
+X148628718Y-83111268D01*
+X148707641Y-83012757D01*
+X148707644Y-83012752D01*
+X148711147Y-83008380D01*
+X148786255Y-82870048D01*
+X148815531Y-82816128D01*
+X148815532Y-82816127D01*
+X148818206Y-82811201D01*
+X148888657Y-82598179D01*
+X148889995Y-82588782D01*
+X148910319Y-82445971D01*
+X148920270Y-82376048D01*
+X148922000Y-82310000D01*
+X148920634Y-82294696D01*
+X148934504Y-82225068D01*
+X148983816Y-82173991D01*
+X149046136Y-82157500D01*
+X151618307Y-82157500D01*
+X151707403Y-82194405D01*
+X151917088Y-82404091D01*
+X152123009Y-82610012D01*
+X152132863Y-82621101D01*
+X152153397Y-82647148D01*
+X152161142Y-82652501D01*
+X152161144Y-82652503D01*
+X152202438Y-82681043D01*
+X152205655Y-82683343D01*
+X152253582Y-82718741D01*
+X152260496Y-82721169D01*
+X152266528Y-82725338D01*
+X152275516Y-82728180D01*
+X152275515Y-82728180D01*
+X152323346Y-82743307D01*
+X152327102Y-82744560D01*
+X152374451Y-82761188D01*
+X152374453Y-82761188D01*
+X152383336Y-82764308D01*
+X152390550Y-82764592D01*
+X152390801Y-82764641D01*
+X152397649Y-82766806D01*
+X152406467Y-82767500D01*
+X152462117Y-82767500D01*
+X152467064Y-82767597D01*
+X152520751Y-82769706D01*
+X152527542Y-82767906D01*
+X152534909Y-82767500D01*
+X166401976Y-82767500D01*
+X166470097Y-82787502D01*
+X166512070Y-82832221D01*
+X166616224Y-83019349D01*
+X166619776Y-83023689D01*
+X166619779Y-83023693D01*
+X166724264Y-83151348D01*
+X166758335Y-83192975D01*
+X166801895Y-83230179D01*
+X166924682Y-83335049D01*
+X166924686Y-83335052D01*
+X166928947Y-83338691D01*
+X167122667Y-83451892D01*
+X167127933Y-83453819D01*
+X167127937Y-83453821D01*
+X167328106Y-83527072D01*
+X167328109Y-83527073D01*
+X167333370Y-83528998D01*
+X167338886Y-83529961D01*
+X167338891Y-83529962D01*
+X167509541Y-83559745D01*
+X167554399Y-83567574D01*
+X167560005Y-83567545D01*
+X167560009Y-83567545D01*
+X167663025Y-83567005D01*
+X167778765Y-83566399D01*
+X167781619Y-83565870D01*
+X169188099Y-83565870D01*
+X169188615Y-83572014D01*
+X169202942Y-83742627D01*
+X169204626Y-83762685D01*
+X169259066Y-83952542D01*
+X169269565Y-83972971D01*
+X169346529Y-84122727D01*
+X169346532Y-84122732D01*
+X169349347Y-84128209D01*
+X169472028Y-84282994D01*
+X169476722Y-84286988D01*
+X169476722Y-84286989D01*
+X169552364Y-84351365D01*
+X169622438Y-84411003D01*
+X169794847Y-84507359D01*
+X169982688Y-84568392D01*
+X170178806Y-84591778D01*
+X170184941Y-84591306D01*
+X170184943Y-84591306D01*
+X170369589Y-84577098D01*
+X170369592Y-84577097D01*
+X170375732Y-84576625D01*
+X170565964Y-84523512D01*
+X170742257Y-84434460D01*
+X170777392Y-84407010D01*
+X170893039Y-84316656D01*
+X170897895Y-84312862D01*
+X171026951Y-84163350D01*
+X171044170Y-84133040D01*
+X171121465Y-83996974D01*
+X171121466Y-83996973D01*
+X171124508Y-83991617D01*
+X171130977Y-83972172D01*
+X171182565Y-83817090D01*
+X171186851Y-83804207D01*
+X171211605Y-83608257D01*
+X171212000Y-83580000D01*
+X171192727Y-83383435D01*
+X171135641Y-83194357D01*
+X171103350Y-83133626D01*
+X171045810Y-83025410D01*
+X171045808Y-83025407D01*
+X171042916Y-83019968D01*
+X170918086Y-82866910D01*
+X170915980Y-82865167D01*
+X175442114Y-82865167D01*
+X175481517Y-82996923D01*
+X175500552Y-83025410D01*
+X175520823Y-83055747D01*
+X175557921Y-83111268D01*
+X175565222Y-83117212D01*
+X175565223Y-83117213D01*
+X175653296Y-83188916D01*
+X175664568Y-83198093D01*
+X175792032Y-83249721D01*
+X175801417Y-83250534D01*
+X175801418Y-83250534D01*
+X175860536Y-83255654D01*
+X175929041Y-83261587D01*
+X175936046Y-83260079D01*
+X176032289Y-83296448D01*
+X176708012Y-83972172D01*
+X177365596Y-84629756D01*
+X177402501Y-84718851D01*
+X177402501Y-84962000D01*
+X177382499Y-85030121D01*
+X177328843Y-85076614D01*
+X177276501Y-85088000D01*
+X177160000Y-85088000D01*
+X177140432Y-85091892D01*
+X177110174Y-85097911D01*
+X177110172Y-85097912D01*
+X177098005Y-85100332D01*
+X177087691Y-85107224D01*
+X177087688Y-85107225D01*
+X177055765Y-85128556D01*
+X177045449Y-85135449D01*
+X177038556Y-85145765D01*
+X177017225Y-85177688D01*
+X177017224Y-85177691D01*
+X177010332Y-85188005D01*
+X176998000Y-85250000D01*
+X176998000Y-88250000D01*
+X177010332Y-88311995D01*
+X177017224Y-88322309D01*
+X177017225Y-88322312D01*
+X177038556Y-88354235D01*
+X177045449Y-88364551D01*
+X177055765Y-88371444D01*
+X177087688Y-88392775D01*
+X177087691Y-88392776D01*
+X177098005Y-88399668D01*
+X177110172Y-88402088D01*
+X177110174Y-88402089D01*
+X177140432Y-88408108D01*
+X177160000Y-88412000D01*
+X178160000Y-88412000D01*
+X178179568Y-88408108D01*
+X178209826Y-88402089D01*
+X178209828Y-88402088D01*
+X178221995Y-88399668D01*
+X178232309Y-88392776D01*
+X178232312Y-88392775D01*
+X178264235Y-88371444D01*
+X178274551Y-88364551D01*
+X178281444Y-88354235D01*
+X178302775Y-88322312D01*
+X178302776Y-88322309D01*
+X178309668Y-88311995D01*
+X178322000Y-88250000D01*
+X178322000Y-85250000D01*
+X179538000Y-85250000D01*
+X179538000Y-88250000D01*
+X179550332Y-88311995D01*
+X179557224Y-88322309D01*
+X179557225Y-88322312D01*
+X179578556Y-88354235D01*
+X179585449Y-88364551D01*
+X179595765Y-88371444D01*
+X179627688Y-88392775D01*
+X179627691Y-88392776D01*
+X179638005Y-88399668D01*
+X179650172Y-88402088D01*
+X179650174Y-88402089D01*
+X179680432Y-88408108D01*
+X179700000Y-88412000D01*
+X180700000Y-88412000D01*
+X180719568Y-88408108D01*
+X180749826Y-88402089D01*
+X180749828Y-88402088D01*
+X180761995Y-88399668D01*
+X180772309Y-88392776D01*
+X180772312Y-88392775D01*
+X180804235Y-88371444D01*
+X180814551Y-88364551D01*
+X180821444Y-88354235D01*
+X180842775Y-88322312D01*
+X180842776Y-88322309D01*
+X180849668Y-88311995D01*
+X180862000Y-88250000D01*
+X180862000Y-85250000D01*
+X180849668Y-85188005D01*
+X180842776Y-85177691D01*
+X180842775Y-85177688D01*
+X180821444Y-85145765D01*
+X180814551Y-85135449D01*
+X180804235Y-85128556D01*
+X180772312Y-85107225D01*
+X180772309Y-85107224D01*
+X180761995Y-85100332D01*
+X180749828Y-85097912D01*
+X180749826Y-85097911D01*
+X180719568Y-85091892D01*
+X180700000Y-85088000D01*
+X180583500Y-85088000D01*
+X180515379Y-85067998D01*
+X180468886Y-85014342D01*
+X180457500Y-84962000D01*
+X180457500Y-83443130D01*
+X180492330Y-83356159D01*
+X180516351Y-83330979D01*
+X180578394Y-83265940D01*
+X180639864Y-83142920D01*
+X180662443Y-83007265D01*
+X180662500Y-83000000D01*
+X180642054Y-82864006D01*
+X180596078Y-82768261D01*
+X180586600Y-82748522D01*
+X180586600Y-82748521D01*
+X180582525Y-82740036D01*
+X180496660Y-82647148D01*
+X180495572Y-82645971D01*
+X180495571Y-82645970D01*
+X180489175Y-82639051D01*
+X180481031Y-82634320D01*
+X180481029Y-82634319D01*
+X180378399Y-82574706D01*
+X180378395Y-82574704D01*
+X180370258Y-82569978D01*
+X180278189Y-82548638D01*
+X180245465Y-82541053D01*
+X180245463Y-82541053D01*
+X180236287Y-82538926D01*
+X180226891Y-82539591D01*
+X180226888Y-82539591D01*
+X180108511Y-82547972D01*
+X180108509Y-82547973D01*
+X180099109Y-82548638D01*
+X179970850Y-82598258D01*
+X179862852Y-82683397D01*
+X179784662Y-82796528D01*
+X179781823Y-82805505D01*
+X179781822Y-82805507D01*
+X179762954Y-82865167D01*
+X179743194Y-82927649D01*
+X179742114Y-83065167D01*
+X179781517Y-83196923D01*
+X179857921Y-83311268D01*
+X179896053Y-83342312D01*
+X179936251Y-83400831D01*
+X179942501Y-83440023D01*
+X179942500Y-84962000D01*
+X179922498Y-85030121D01*
+X179868842Y-85076614D01*
+X179816500Y-85088000D01*
+X179700000Y-85088000D01*
+X179680432Y-85091892D01*
+X179650174Y-85097911D01*
+X179650172Y-85097912D01*
+X179638005Y-85100332D01*
+X179627691Y-85107224D01*
+X179627688Y-85107225D01*
+X179595765Y-85128556D01*
+X179585449Y-85135449D01*
+X179578556Y-85145765D01*
+X179557225Y-85177688D01*
+X179557224Y-85177691D01*
+X179550332Y-85188005D01*
+X179538000Y-85250000D01*
+X178322000Y-85250000D01*
+X178309668Y-85188005D01*
+X178302776Y-85177691D01*
+X178302775Y-85177688D01*
+X178281444Y-85145765D01*
+X178274551Y-85135449D01*
+X178264235Y-85128556D01*
+X178232312Y-85107225D01*
+X178232309Y-85107224D01*
+X178221995Y-85100332D01*
+X178209828Y-85097912D01*
+X178209826Y-85097911D01*
+X178179568Y-85091892D01*
+X178160000Y-85088000D01*
+X178043500Y-85088000D01*
+X177975379Y-85067998D01*
+X177928886Y-85014342D01*
+X177917500Y-84962000D01*
+X177917500Y-84597548D01*
+X177919921Y-84572966D01*
+X177920079Y-84572172D01*
+X177920079Y-84572170D01*
+X177922500Y-84560000D01*
+X177915242Y-84523512D01*
+X177902518Y-84459546D01*
+X177885757Y-84434460D01*
+X177852508Y-84384699D01*
+X177845616Y-84374384D01*
+X177835301Y-84367492D01*
+X177835299Y-84367490D01*
+X177834618Y-84367035D01*
+X177815525Y-84351365D01*
+X176395293Y-82931134D01*
+X176361268Y-82868822D01*
+X176360099Y-82821348D01*
+X176361630Y-82812152D01*
+X176361630Y-82812147D01*
+X176362443Y-82807265D01*
+X176362500Y-82800000D01*
+X176346134Y-82691144D01*
+X176343454Y-82673316D01*
+X176343453Y-82673314D01*
+X176342054Y-82664006D01*
+X176305932Y-82588782D01*
+X176286600Y-82548522D01*
+X176286600Y-82548521D01*
+X176282525Y-82540036D01*
+X176189175Y-82439051D01*
+X176181031Y-82434320D01*
+X176181029Y-82434319D01*
+X176078399Y-82374706D01*
+X176078395Y-82374704D01*
+X176070258Y-82369978D01*
+X176025023Y-82359493D01*
+X175945465Y-82341053D01*
+X175945463Y-82341053D01*
+X175936287Y-82338926D01*
+X175926891Y-82339591D01*
+X175926888Y-82339591D01*
+X175808511Y-82347972D01*
+X175808509Y-82347973D01*
+X175799109Y-82348638D01*
+X175670850Y-82398258D01*
+X175562852Y-82483397D01*
+X175484662Y-82596528D01*
+X175481823Y-82605505D01*
+X175481822Y-82605507D01*
+X175460377Y-82673316D01*
+X175443194Y-82727649D01*
+X175442114Y-82865167D01*
+X170915980Y-82865167D01*
+X170765903Y-82741014D01*
+X170592166Y-82647074D01*
+X170403491Y-82588670D01*
+X170397366Y-82588026D01*
+X170397365Y-82588026D01*
+X170213193Y-82568669D01*
+X170213192Y-82568669D01*
+X170207065Y-82568025D01*
+X170086743Y-82578975D01*
+X170016511Y-82585366D01*
+X170016510Y-82585366D01*
+X170010370Y-82585925D01*
+X169974344Y-82596528D01*
+X169826809Y-82639950D01*
+X169826806Y-82639951D01*
+X169820898Y-82641690D01*
+X169645866Y-82733195D01*
+X169641068Y-82737053D01*
+X169641066Y-82737054D01*
+X169578322Y-82787502D01*
+X169491941Y-82856954D01*
+X169487982Y-82861672D01*
+X169487981Y-82861673D01*
+X169468159Y-82885296D01*
+X169364985Y-83008253D01*
+X169362022Y-83013642D01*
+X169362019Y-83013647D01*
+X169286318Y-83151348D01*
+X169269835Y-83181331D01*
+X169210115Y-83369593D01*
+X169209429Y-83375710D01*
+X169209428Y-83375714D01*
+X169192626Y-83525511D01*
+X169188099Y-83565870D01*
+X167781619Y-83565870D01*
+X167999377Y-83525511D01*
+X168004626Y-83523528D01*
+X168004628Y-83523527D01*
+X168081769Y-83494378D01*
+X168209262Y-83446202D01*
+X168219587Y-83440023D01*
+X168303890Y-83389568D01*
+X168401785Y-83330979D01*
+X168406005Y-83327297D01*
+X168406010Y-83327294D01*
+X168566631Y-83187175D01*
+X168570861Y-83183485D01*
+X168628718Y-83111268D01*
+X168707641Y-83012757D01*
+X168707644Y-83012752D01*
+X168711147Y-83008380D01*
+X168786255Y-82870048D01*
+X168815531Y-82816128D01*
+X168815532Y-82816127D01*
+X168818206Y-82811201D01*
+X168888657Y-82598179D01*
+X168889995Y-82588782D01*
+X168910319Y-82445971D01*
+X168920270Y-82376048D01*
+X168922000Y-82310000D01*
+X168920889Y-82297545D01*
+X168903386Y-82101432D01*
+X168902785Y-82094700D01*
+X168916652Y-82025072D01*
+X168965962Y-81973993D01*
+X169028286Y-81957500D01*
+X183786047Y-81957500D01*
+X183854168Y-81977502D01*
+X183900661Y-82031158D01*
+X183910765Y-82101432D01*
+X183872892Y-82167128D01*
+X183876696Y-82170700D01*
+X183870248Y-82177566D01*
+X183862852Y-82183397D01*
+X183784662Y-82296528D01*
+X183781823Y-82305505D01*
+X183781822Y-82305507D01*
+X183753587Y-82394788D01*
+X183743194Y-82427649D01*
+X183743120Y-82437070D01*
+X183742293Y-82542343D01*
+X183705392Y-82630448D01*
+X182584476Y-83751365D01*
+X182565383Y-83767035D01*
+X182564702Y-83767490D01*
+X182564700Y-83767492D01*
+X182554385Y-83774384D01*
+X182527575Y-83814509D01*
+X182497483Y-83859546D01*
+X182477500Y-83960000D01*
+X182479921Y-83972170D01*
+X182479921Y-83972172D01*
+X182480080Y-83972971D01*
+X182482501Y-83997553D01*
+X182482501Y-84962000D01*
+X182462499Y-85030121D01*
+X182408843Y-85076614D01*
+X182356501Y-85088000D01*
+X182240000Y-85088000D01*
+X182220432Y-85091892D01*
+X182190174Y-85097911D01*
+X182190172Y-85097912D01*
+X182178005Y-85100332D01*
+X182167691Y-85107224D01*
+X182167688Y-85107225D01*
+X182135765Y-85128556D01*
+X182125449Y-85135449D01*
+X182118556Y-85145765D01*
+X182097225Y-85177688D01*
+X182097224Y-85177691D01*
+X182090332Y-85188005D01*
+X182078000Y-85250000D01*
+X182078000Y-88250000D01*
+X182090332Y-88311995D01*
+X182097224Y-88322309D01*
+X182097225Y-88322312D01*
+X182118556Y-88354235D01*
+X182125449Y-88364551D01*
+X182135765Y-88371444D01*
+X182167688Y-88392775D01*
+X182167691Y-88392776D01*
+X182178005Y-88399668D01*
+X182190172Y-88402088D01*
+X182190174Y-88402089D01*
+X182220432Y-88408108D01*
+X182240000Y-88412000D01*
+X183240000Y-88412000D01*
+X183259568Y-88408108D01*
+X183289826Y-88402089D01*
+X183289828Y-88402088D01*
+X183301995Y-88399668D01*
+X183312309Y-88392776D01*
+X183312312Y-88392775D01*
+X183344235Y-88371444D01*
+X183354551Y-88364551D01*
+X183361444Y-88354235D01*
+X183382775Y-88322312D01*
+X183382776Y-88322309D01*
+X183389668Y-88311995D01*
+X183402000Y-88250000D01*
+X183402000Y-85250000D01*
+X183389668Y-85188005D01*
+X183382776Y-85177691D01*
+X183382775Y-85177688D01*
+X183361444Y-85145765D01*
+X183354551Y-85135449D01*
+X183344235Y-85128556D01*
+X183312312Y-85107225D01*
+X183312309Y-85107224D01*
+X183301995Y-85100332D01*
+X183289828Y-85097912D01*
+X183289826Y-85097911D01*
+X183259568Y-85091892D01*
+X183240000Y-85088000D01*
+X183123500Y-85088000D01*
+X183055379Y-85067998D01*
+X183008886Y-85014342D01*
+X182997500Y-84962000D01*
+X182997500Y-84118849D01*
+X183034405Y-84029754D01*
+X183498289Y-83565870D01*
+X189188099Y-83565870D01*
+X189188615Y-83572014D01*
+X189202942Y-83742627D01*
+X189204626Y-83762685D01*
+X189259066Y-83952542D01*
+X189269565Y-83972971D01*
+X189346529Y-84122727D01*
+X189346532Y-84122732D01*
+X189349347Y-84128209D01*
+X189472028Y-84282994D01*
+X189476722Y-84286988D01*
+X189476722Y-84286989D01*
+X189552364Y-84351365D01*
+X189622438Y-84411003D01*
+X189794847Y-84507359D01*
+X189982688Y-84568392D01*
+X190178806Y-84591778D01*
+X190184941Y-84591306D01*
+X190184943Y-84591306D01*
+X190369589Y-84577098D01*
+X190369592Y-84577097D01*
+X190375732Y-84576625D01*
+X190565964Y-84523512D01*
+X190742257Y-84434460D01*
+X190777392Y-84407010D01*
+X190893039Y-84316656D01*
+X190897895Y-84312862D01*
+X191026951Y-84163350D01*
+X191044170Y-84133040D01*
+X191121465Y-83996974D01*
+X191121466Y-83996973D01*
+X191124508Y-83991617D01*
+X191130977Y-83972172D01*
+X191182565Y-83817090D01*
+X191186851Y-83804207D01*
+X191211605Y-83608257D01*
+X191212000Y-83580000D01*
+X191192727Y-83383435D01*
+X191135641Y-83194357D01*
+X191103350Y-83133626D01*
+X191045810Y-83025410D01*
+X191045808Y-83025407D01*
+X191042916Y-83019968D01*
+X190918086Y-82866910D01*
+X190765903Y-82741014D01*
+X190592166Y-82647074D01*
+X190403491Y-82588670D01*
+X190397366Y-82588026D01*
+X190397365Y-82588026D01*
+X190213193Y-82568669D01*
+X190213192Y-82568669D01*
+X190207065Y-82568025D01*
+X190086743Y-82578975D01*
+X190016511Y-82585366D01*
+X190016510Y-82585366D01*
+X190010370Y-82585925D01*
+X189974344Y-82596528D01*
+X189826809Y-82639950D01*
+X189826806Y-82639951D01*
+X189820898Y-82641690D01*
+X189645866Y-82733195D01*
+X189641068Y-82737053D01*
+X189641066Y-82737054D01*
+X189578322Y-82787502D01*
+X189491941Y-82856954D01*
+X189487982Y-82861672D01*
+X189487981Y-82861673D01*
+X189468159Y-82885296D01*
+X189364985Y-83008253D01*
+X189362022Y-83013642D01*
+X189362019Y-83013647D01*
+X189286318Y-83151348D01*
+X189269835Y-83181331D01*
+X189210115Y-83369593D01*
+X189209429Y-83375710D01*
+X189209428Y-83375714D01*
+X189192626Y-83525511D01*
+X189188099Y-83565870D01*
+X183498289Y-83565870D01*
+X184071154Y-82993006D01*
+X184133466Y-82958980D01*
+X184171120Y-82956571D01*
+X184219660Y-82960775D01*
+X184219663Y-82960775D01*
+X184229041Y-82961587D01*
+X184238242Y-82959606D01*
+X184238244Y-82959606D01*
+X184354278Y-82934625D01*
+X184354281Y-82934624D01*
+X184363482Y-82932643D01*
+X184371694Y-82928044D01*
+X184371697Y-82928043D01*
+X184475254Y-82870048D01*
+X184483470Y-82865447D01*
+X184578394Y-82765940D01*
+X184639864Y-82642920D01*
+X184662443Y-82507265D01*
+X184662500Y-82500000D01*
+X184647204Y-82398258D01*
+X184643454Y-82373316D01*
+X184643453Y-82373314D01*
+X184642054Y-82364006D01*
+X184591630Y-82258998D01*
+X184586600Y-82248522D01*
+X184586600Y-82248521D01*
+X184582525Y-82240036D01*
+X184489175Y-82139051D01*
+X184418945Y-82098258D01*
+X184370465Y-82070098D01*
+X184321607Y-82018587D01*
+X184308353Y-81948838D01*
+X184348124Y-81868811D01*
+X184348576Y-81868425D01*
+X184387889Y-81829112D01*
+X184391455Y-81825683D01*
+X184424032Y-81795569D01*
+X184430949Y-81789175D01*
+X184434481Y-81783094D01*
+X184439415Y-81777586D01*
+X186950008Y-79266995D01*
+X186961097Y-79257140D01*
+X186961427Y-79256880D01*
+X186987148Y-79236603D01*
+X186992501Y-79228858D01*
+X186992503Y-79228856D01*
+X187021043Y-79187562D01*
+X187023343Y-79184344D01*
+X187053145Y-79143994D01*
+X187058741Y-79136418D01*
+X187061169Y-79129504D01*
+X187065338Y-79123472D01*
+X187083307Y-79066654D01*
+X187084560Y-79062898D01*
+X187101188Y-79015549D01*
+X187101188Y-79015547D01*
+X187104308Y-79006664D01*
+X187104592Y-78999450D01*
+X187104641Y-78999199D01*
+X187106806Y-78992351D01*
+X187107500Y-78983533D01*
+X187107500Y-78927899D01*
+X187107597Y-78922952D01*
+X187108382Y-78902974D01*
+X187109707Y-78869249D01*
+X187107906Y-78862455D01*
+X187107500Y-78855083D01*
+X187107500Y-77541692D01*
+X187144405Y-77452596D01*
+X187543259Y-77053743D01*
+X188377153Y-76219849D01*
+X188792599Y-75804404D01*
+X188881694Y-75767500D01*
+X192058358Y-75767500D01*
+X192121928Y-75784712D01*
+X192202667Y-75831892D01*
+X192207942Y-75833822D01*
+X192210147Y-75834860D01*
+X192263268Y-75881962D01*
+X192282500Y-75948869D01*
+X192282500Y-76212416D01*
+X192281627Y-76227225D01*
+X192277729Y-76260161D01*
+X192279421Y-76269425D01*
+X192279421Y-76269426D01*
+X192288432Y-76318767D01*
+X192289082Y-76322671D01*
+X192292260Y-76343806D01*
+X192297946Y-76381627D01*
+X192301119Y-76388235D01*
+X192302436Y-76395445D01*
+X192306777Y-76403802D01*
+X192306779Y-76403808D01*
+X192329912Y-76448341D01*
+X192331675Y-76451868D01*
+X192334247Y-76457225D01*
+X192353397Y-76497106D01*
+X192353399Y-76497109D01*
+X192357475Y-76505597D01*
+X192362380Y-76510903D01*
+X192362518Y-76511108D01*
+X192365830Y-76517484D01*
+X192371575Y-76524210D01*
+X192373317Y-76525952D01*
+X192410896Y-76563532D01*
+X192414323Y-76567096D01*
+X192450825Y-76606583D01*
+X192456904Y-76610114D01*
+X192462410Y-76615045D01*
+X192685597Y-76838233D01*
+X192722501Y-76927328D01*
+X192722500Y-81951882D01*
+X192702498Y-82020003D01*
+X192648842Y-82066496D01*
+X192578568Y-82076599D01*
+X192507405Y-82040977D01*
+X191111964Y-80645537D01*
+X191077939Y-80583225D01*
+X191083003Y-80512410D01*
+X191152844Y-80440032D01*
+X191157335Y-80438172D01*
+X191157338Y-80438171D01*
+X191161301Y-80436529D01*
+X191415224Y-80288148D01*
+X191646660Y-80106679D01*
+X191851327Y-79895480D01*
+X191853860Y-79892032D01*
+X191853864Y-79892027D01*
+X192022899Y-79661913D01*
+X192025437Y-79658458D01*
+X192050581Y-79612149D01*
+X192163718Y-79403775D01*
+X192163719Y-79403773D01*
+X192165768Y-79399999D01*
+X192247258Y-79184344D01*
+X192268207Y-79128905D01*
+X192268208Y-79128901D01*
+X192269725Y-79124887D01*
+X192314841Y-78927899D01*
+X192334424Y-78842395D01*
+X192334425Y-78842391D01*
+X192335382Y-78838211D01*
+X192338220Y-78806418D01*
+X192361306Y-78547742D01*
+X192361526Y-78545278D01*
+X192362000Y-78500000D01*
+X192361831Y-78497519D01*
+X192342289Y-78210860D01*
+X192342288Y-78210854D01*
+X192341997Y-78206583D01*
+X192282357Y-77918595D01*
+X192184186Y-77641366D01*
+X192099705Y-77477688D01*
+X192051263Y-77383833D01*
+X192051263Y-77383832D01*
+X192049298Y-77380026D01*
+X192044190Y-77372757D01*
+X191958862Y-77251348D01*
+X191880190Y-77139409D01*
+X191749024Y-76998258D01*
+X191682912Y-76927113D01*
+X191682909Y-76927111D01*
+X191679991Y-76923970D01*
+X191452406Y-76737694D01*
+X191201646Y-76584028D01*
+X191197729Y-76582309D01*
+X191197726Y-76582307D01*
+X191069345Y-76525952D01*
+X190932351Y-76465816D01*
+X190928223Y-76464640D01*
+X190928220Y-76464639D01*
+X190834702Y-76438000D01*
+X190649505Y-76385245D01*
+X190645263Y-76384641D01*
+X190645257Y-76384640D01*
+X190362592Y-76344411D01*
+X190358341Y-76343806D01*
+X190203328Y-76342994D01*
+X190068533Y-76342288D01*
+X190068526Y-76342288D01*
+X190064247Y-76342266D01*
+X190060002Y-76342825D01*
+X190060000Y-76342825D01*
+X189994726Y-76351419D01*
+X189772665Y-76380654D01*
+X189488990Y-76458258D01*
+X189485042Y-76459942D01*
+X189222425Y-76571958D01*
+X189222421Y-76571960D01*
+X189218473Y-76573644D01*
+X189109394Y-76638926D01*
+X188969799Y-76722471D01*
+X188969795Y-76722474D01*
+X188966117Y-76724675D01*
+X188962774Y-76727353D01*
+X188962770Y-76727356D01*
+X188915225Y-76765447D01*
+X188736594Y-76908558D01*
+X188733650Y-76911660D01*
+X188733646Y-76911664D01*
+X188543393Y-77112149D01*
+X188534150Y-77121889D01*
+X188531651Y-77125367D01*
+X188531650Y-77125368D01*
+X188496566Y-77174193D01*
+X188362532Y-77360722D01*
+X188224915Y-77620635D01*
+X188223440Y-77624666D01*
+X188146606Y-77834625D01*
+X188123845Y-77896821D01*
+X188061193Y-78184168D01*
+X188060857Y-78188438D01*
+X188041633Y-78432715D01*
+X188038119Y-78477360D01*
+X188055048Y-78770970D01*
+X188055873Y-78775175D01*
+X188055874Y-78775183D01*
+X188078036Y-78888144D01*
+X188111668Y-79059567D01*
+X188113055Y-79063617D01*
+X188113056Y-79063622D01*
+X188190955Y-79291144D01*
+X188206932Y-79337809D01*
+X188339076Y-79600548D01*
+X188341502Y-79604077D01*
+X188341505Y-79604083D01*
+X188503224Y-79839385D01*
+X188505655Y-79842922D01*
+X188508542Y-79846095D01*
+X188508543Y-79846096D01*
+X188588621Y-79934101D01*
+X188703586Y-80060446D01*
+X188758880Y-80106679D01*
+X188925916Y-80246343D01*
+X188925921Y-80246347D01*
+X188929208Y-80249095D01*
+X188991464Y-80288148D01*
+X189025921Y-80309763D01*
+X189072999Y-80362906D01*
+X189083871Y-80433065D01*
+X189055087Y-80497965D01*
+X188995785Y-80537000D01*
+X188958965Y-80542500D01*
+X188389916Y-80542500D01*
+X188297392Y-80502029D01*
+X188245572Y-80445971D01*
+X188245571Y-80445970D01*
+X188239175Y-80439051D01*
+X188231031Y-80434320D01*
+X188231029Y-80434319D01*
+X188128399Y-80374706D01*
+X188128395Y-80374704D01*
+X188120258Y-80369978D01*
+X188028189Y-80348638D01*
+X187995465Y-80341053D01*
+X187995463Y-80341053D01*
+X187986287Y-80338926D01*
+X187976891Y-80339591D01*
+X187976888Y-80339591D01*
+X187858511Y-80347972D01*
+X187858509Y-80347973D01*
+X187849109Y-80348638D01*
+X187720850Y-80398258D01*
+X187612852Y-80483397D01*
+X187534662Y-80596528D01*
+X187531823Y-80605505D01*
+X187531822Y-80605507D01*
+X187511417Y-80670028D01*
+X187493194Y-80727649D01*
+X187492114Y-80865167D01*
+X187494813Y-80874191D01*
+X187494813Y-80874193D01*
+X187506120Y-80912000D01*
+X187531517Y-80996923D01*
+X187536750Y-81004754D01*
+X187602009Y-81102420D01*
+X187607921Y-81111268D01*
+X187615222Y-81117212D01*
+X187615223Y-81117213D01*
+X187648277Y-81144123D01*
+X187714568Y-81198093D01*
+X187723299Y-81201629D01*
+X187723300Y-81201630D01*
+X187764336Y-81218251D01*
+X187842032Y-81249721D01*
+X187851417Y-81250534D01*
+X187851418Y-81250534D01*
+X187969661Y-81260775D01*
+X187969664Y-81260775D01*
+X187979041Y-81261587D01*
+X187988242Y-81259606D01*
+X187988244Y-81259606D01*
+X188104278Y-81234625D01*
+X188104281Y-81234624D01*
+X188113482Y-81232643D01*
+X188121694Y-81228044D01*
+X188121697Y-81228043D01*
+X188225254Y-81170048D01*
+X188233470Y-81165447D01*
+X188279233Y-81117475D01*
+X188299214Y-81096529D01*
+X188390384Y-81057500D01*
+X190743419Y-81057500D01*
+X190832514Y-81094405D01*
+X192142199Y-82404091D01*
+X193450645Y-83712537D01*
+X193466315Y-83731630D01*
+X193466768Y-83732308D01*
+X193466770Y-83732310D01*
+X193473662Y-83742625D01*
+X193494971Y-83756863D01*
+X193558823Y-83799527D01*
+X193659278Y-83819510D01*
+X193671448Y-83817089D01*
+X193671450Y-83817089D01*
+X193672249Y-83816930D01*
+X193696831Y-83814509D01*
+X197253173Y-83814511D01*
+X197277755Y-83816932D01*
+X197278549Y-83817090D01*
+X197278551Y-83817090D01*
+X197290721Y-83819511D01*
+X197302891Y-83817090D01*
+X197303686Y-83816932D01*
+X197315856Y-83814511D01*
+X197315866Y-83814509D01*
+X197379004Y-83801950D01*
+X197379005Y-83801950D01*
+X197391174Y-83799529D01*
+X197476336Y-83742627D01*
+X197483228Y-83732312D01*
+X197483230Y-83732310D01*
+X197483685Y-83731629D01*
+X197499355Y-83712536D01*
+X197646021Y-83565870D01*
+X209188099Y-83565870D01*
+X209188615Y-83572014D01*
+X209202942Y-83742627D01*
+X209204626Y-83762685D01*
+X209259066Y-83952542D01*
+X209269565Y-83972971D01*
+X209346529Y-84122727D01*
+X209346532Y-84122732D01*
+X209349347Y-84128209D01*
+X209472028Y-84282994D01*
+X209476722Y-84286988D01*
+X209476722Y-84286989D01*
+X209552364Y-84351365D01*
+X209622438Y-84411003D01*
+X209794847Y-84507359D01*
+X209982688Y-84568392D01*
+X210178806Y-84591778D01*
+X210184941Y-84591306D01*
+X210184943Y-84591306D01*
+X210369589Y-84577098D01*
+X210369592Y-84577097D01*
+X210375732Y-84576625D01*
+X210565964Y-84523512D01*
+X210742257Y-84434460D01*
+X210777392Y-84407010D01*
+X210893039Y-84316656D01*
+X210897895Y-84312862D01*
+X211026951Y-84163350D01*
+X211044170Y-84133040D01*
+X211121465Y-83996974D01*
+X211121466Y-83996973D01*
+X211124508Y-83991617D01*
+X211130977Y-83972172D01*
+X211182565Y-83817090D01*
+X211186851Y-83804207D01*
+X211211605Y-83608257D01*
+X211212000Y-83580000D01*
+X211192727Y-83383435D01*
+X211135641Y-83194357D01*
+X211103350Y-83133626D01*
+X211045810Y-83025410D01*
+X211045808Y-83025407D01*
+X211042916Y-83019968D01*
+X210918086Y-82866910D01*
+X210765903Y-82741014D01*
+X210592166Y-82647074D01*
+X210403491Y-82588670D01*
+X210397366Y-82588026D01*
+X210397365Y-82588026D01*
+X210213193Y-82568669D01*
+X210213192Y-82568669D01*
+X210207065Y-82568025D01*
+X210086743Y-82578975D01*
+X210016511Y-82585366D01*
+X210016510Y-82585366D01*
+X210010370Y-82585925D01*
+X209974344Y-82596528D01*
+X209826809Y-82639950D01*
+X209826806Y-82639951D01*
+X209820898Y-82641690D01*
+X209645866Y-82733195D01*
+X209641068Y-82737053D01*
+X209641066Y-82737054D01*
+X209578322Y-82787502D01*
+X209491941Y-82856954D01*
+X209487982Y-82861672D01*
+X209487981Y-82861673D01*
+X209468159Y-82885296D01*
+X209364985Y-83008253D01*
+X209362022Y-83013642D01*
+X209362019Y-83013647D01*
+X209286318Y-83151348D01*
+X209269835Y-83181331D01*
+X209210115Y-83369593D01*
+X209209429Y-83375710D01*
+X209209428Y-83375714D01*
+X209192626Y-83525511D01*
+X209188099Y-83565870D01*
+X197646021Y-83565870D01*
+X200092649Y-81119242D01*
+X214020490Y-81119242D01*
+X214033130Y-81201845D01*
+X214052463Y-81328179D01*
+X214054429Y-81341029D01*
+X214127106Y-81553302D01*
+X214236224Y-81749349D01*
+X214239776Y-81753689D01*
+X214239779Y-81753693D01*
+X214320652Y-81852500D01*
+X214378335Y-81922975D01*
+X214398302Y-81940028D01*
+X214544682Y-82065049D01*
+X214544686Y-82065052D01*
+X214548947Y-82068691D01*
+X214742667Y-82181892D01*
+X214747933Y-82183819D01*
+X214747937Y-82183821D01*
+X214948106Y-82257072D01*
+X214948109Y-82257073D01*
+X214953370Y-82258998D01*
+X214958886Y-82259961D01*
+X214958891Y-82259962D01*
+X215124023Y-82288782D01*
+X215174399Y-82297574D01*
+X215180005Y-82297545D01*
+X215180009Y-82297545D01*
+X215283025Y-82297005D01*
+X215398765Y-82296399D01*
+X215407949Y-82294697D01*
+X215509071Y-82275955D01*
+X215619377Y-82255511D01*
+X215624626Y-82253528D01*
+X215624628Y-82253527D01*
+X215789719Y-82191144D01*
+X215829262Y-82176202D01*
+X216021785Y-82060979D01*
+X216026005Y-82057297D01*
+X216026010Y-82057294D01*
+X216186631Y-81917175D01*
+X216190861Y-81913485D01*
+X216228371Y-81866665D01*
+X216327641Y-81742757D01*
+X216327644Y-81742752D01*
+X216331147Y-81738380D01*
+X216438206Y-81541201D01*
+X216508657Y-81328179D01*
+X216513548Y-81293816D01*
+X216531162Y-81170048D01*
+X216540270Y-81106048D01*
+X216541495Y-81059292D01*
+X216541905Y-81043631D01*
+X216541905Y-81043629D01*
+X216542000Y-81040000D01*
+X216538930Y-81005595D01*
+X216529476Y-80899668D01*
+X216522055Y-80816519D01*
+X216517489Y-80799826D01*
+X216491929Y-80706398D01*
+X216493247Y-80635414D01*
+X216524368Y-80584055D01*
+X217293233Y-79815191D01*
+X218087523Y-79020901D01*
+X218091332Y-79017247D01*
+X218125970Y-78985396D01*
+X218133414Y-78978551D01*
+X218156674Y-78941036D01*
+X218163376Y-78931285D01*
+X218190057Y-78896134D01*
+X218195713Y-78881850D01*
+X218205775Y-78861843D01*
+X218213869Y-78848789D01*
+X218216266Y-78840538D01*
+X218216268Y-78840534D01*
+X218226180Y-78806418D01*
+X218230024Y-78795191D01*
+X218243099Y-78762166D01*
+X218243100Y-78762160D01*
+X218246262Y-78754175D01*
+X218247867Y-78738904D01*
+X218252180Y-78716923D01*
+X218254623Y-78708514D01*
+X218256466Y-78702172D01*
+X218257500Y-78688091D01*
+X218257500Y-78653863D01*
+X218258190Y-78640692D01*
+X218261324Y-78610876D01*
+X218262222Y-78602332D01*
+X218259263Y-78584838D01*
+X218257500Y-78563836D01*
+X218257500Y-78513325D01*
+X218278734Y-78443324D01*
+X218299668Y-78411995D01*
+X218312000Y-78350000D01*
+X218312000Y-77550000D01*
+X218302997Y-77504738D01*
+X218302089Y-77500174D01*
+X218302088Y-77500172D01*
+X218299668Y-77488005D01*
+X218292776Y-77477691D01*
+X218292775Y-77477688D01*
+X218271444Y-77445765D01*
+X218264551Y-77435449D01*
+X218254235Y-77428556D01*
+X218222312Y-77407225D01*
+X218222309Y-77407224D01*
+X218211995Y-77400332D01*
+X218199828Y-77397912D01*
+X218199826Y-77397911D01*
+X218169568Y-77391892D01*
+X218150000Y-77388000D01*
+X217250000Y-77388000D01*
+X217230432Y-77391892D01*
+X217200174Y-77397911D01*
+X217200172Y-77397912D01*
+X217188005Y-77400332D01*
+X217177691Y-77407224D01*
+X217177688Y-77407225D01*
+X217145765Y-77428556D01*
+X217135449Y-77435449D01*
+X217128556Y-77445765D01*
+X217107225Y-77477688D01*
+X217107224Y-77477691D01*
+X217100332Y-77488005D01*
+X217097912Y-77500172D01*
+X217097911Y-77500174D01*
+X217097003Y-77504738D01*
+X217088000Y-77550000D01*
+X217088000Y-78350000D01*
+X217089207Y-78356067D01*
+X217090387Y-78362001D01*
+X217084057Y-78432715D01*
+X217055902Y-78475674D01*
+X215736563Y-79795014D01*
+X215674251Y-79829039D01*
+X215618053Y-79828437D01*
+X215469822Y-79792849D01*
+X215469811Y-79792847D01*
+X215464357Y-79791538D01*
+X215379591Y-79786651D01*
+X215245967Y-79778946D01*
+X215245964Y-79778946D01*
+X215240360Y-79778623D01*
+X215017615Y-79805578D01*
+X214803165Y-79871551D01*
+X214798185Y-79874121D01*
+X214798181Y-79874123D01*
+X214654037Y-79948522D01*
+X214603787Y-79974458D01*
+X214425783Y-80111045D01*
+X214422010Y-80115191D01*
+X214422005Y-80115196D01*
+X214326695Y-80219941D01*
+X214274779Y-80276996D01*
+X214227705Y-80352039D01*
+X214168782Y-80445971D01*
+X214155550Y-80467064D01*
+X214071863Y-80675242D01*
+X214026364Y-80894949D01*
+X214026217Y-80900559D01*
+X214026217Y-80900560D01*
+X214025398Y-80931820D01*
+X214020490Y-81119242D01*
+X200092649Y-81119242D01*
+X202734531Y-78477360D01*
+X208038119Y-78477360D01*
+X208055048Y-78770970D01*
+X208055873Y-78775175D01*
+X208055874Y-78775183D01*
+X208078036Y-78888144D01*
+X208111668Y-79059567D01*
+X208113055Y-79063617D01*
+X208113056Y-79063622D01*
+X208190955Y-79291144D01*
+X208206932Y-79337809D01*
+X208339076Y-79600548D01*
+X208341502Y-79604077D01*
+X208341505Y-79604083D01*
+X208503224Y-79839385D01*
+X208505655Y-79842922D01*
+X208508542Y-79846095D01*
+X208508543Y-79846096D01*
+X208588621Y-79934101D01*
+X208703586Y-80060446D01*
+X208758880Y-80106679D01*
+X208925916Y-80246343D01*
+X208925921Y-80246347D01*
+X208929208Y-80249095D01*
+X209025921Y-80309763D01*
+X209174705Y-80403095D01*
+X209174709Y-80403097D01*
+X209178345Y-80405378D01*
+X209255095Y-80440032D01*
+X209442475Y-80524638D01*
+X209442479Y-80524640D01*
+X209446387Y-80526404D01*
+X209450507Y-80527624D01*
+X209450506Y-80527624D01*
+X209724261Y-80608714D01*
+X209724265Y-80608715D01*
+X209728374Y-80609932D01*
+X209732608Y-80610580D01*
+X209732613Y-80610581D01*
+X209961052Y-80645537D01*
+X210019089Y-80654418D01*
+X210168859Y-80656771D01*
+X210308859Y-80658970D01*
+X210308865Y-80658970D01*
+X210313150Y-80659037D01*
+X210605118Y-80623705D01*
+X210760447Y-80582955D01*
+X210885447Y-80550162D01*
+X210885448Y-80550162D01*
+X210889590Y-80549075D01*
+X211161301Y-80436529D01*
+X211415224Y-80288148D01*
+X211646660Y-80106679D01*
+X211851327Y-79895480D01*
+X211853860Y-79892032D01*
+X211853864Y-79892027D01*
+X212022899Y-79661913D01*
+X212025437Y-79658458D01*
+X212050581Y-79612149D01*
+X212163718Y-79403775D01*
+X212163719Y-79403773D01*
+X212165768Y-79399999D01*
+X212247258Y-79184344D01*
+X212268207Y-79128905D01*
+X212268208Y-79128901D01*
+X212269725Y-79124887D01*
+X212314841Y-78927899D01*
+X212334424Y-78842395D01*
+X212334425Y-78842391D01*
+X212335382Y-78838211D01*
+X212338220Y-78806418D01*
+X212361306Y-78547742D01*
+X212361526Y-78545278D01*
+X212362000Y-78500000D01*
+X212361831Y-78497519D01*
+X212342289Y-78210860D01*
+X212342288Y-78210854D01*
+X212341997Y-78206583D01*
+X212282357Y-77918595D01*
+X212184186Y-77641366D01*
+X212099705Y-77477688D01*
+X212051263Y-77383833D01*
+X212051263Y-77383832D01*
+X212049298Y-77380026D01*
+X212044190Y-77372757D01*
+X211958862Y-77251348D01*
+X211880190Y-77139409D01*
+X211749024Y-76998258D01*
+X211682912Y-76927113D01*
+X211682909Y-76927111D01*
+X211679991Y-76923970D01*
+X211452406Y-76737694D01*
+X211201646Y-76584028D01*
+X211197729Y-76582309D01*
+X211197726Y-76582307D01*
+X211069345Y-76525952D01*
+X210932351Y-76465816D01*
+X210928223Y-76464640D01*
+X210928220Y-76464639D01*
+X210834702Y-76438000D01*
+X210649505Y-76385245D01*
+X210645263Y-76384641D01*
+X210645257Y-76384640D01*
+X210362592Y-76344411D01*
+X210358341Y-76343806D01*
+X210203328Y-76342994D01*
+X210068533Y-76342288D01*
+X210068526Y-76342288D01*
+X210064247Y-76342266D01*
+X210060002Y-76342825D01*
+X210060000Y-76342825D01*
+X209994726Y-76351419D01*
+X209772665Y-76380654D01*
+X209488990Y-76458258D01*
+X209485042Y-76459942D01*
+X209222425Y-76571958D01*
+X209222421Y-76571960D01*
+X209218473Y-76573644D01*
+X209109394Y-76638926D01*
+X208969799Y-76722471D01*
+X208969795Y-76722474D01*
+X208966117Y-76724675D01*
+X208962774Y-76727353D01*
+X208962770Y-76727356D01*
+X208915225Y-76765447D01*
+X208736594Y-76908558D01*
+X208733650Y-76911660D01*
+X208733646Y-76911664D01*
+X208543393Y-77112149D01*
+X208534150Y-77121889D01*
+X208531651Y-77125367D01*
+X208531650Y-77125368D01*
+X208496566Y-77174193D01*
+X208362532Y-77360722D01*
+X208224915Y-77620635D01*
+X208223440Y-77624666D01*
+X208146606Y-77834625D01*
+X208123845Y-77896821D01*
+X208061193Y-78184168D01*
+X208060857Y-78188438D01*
+X208041633Y-78432715D01*
+X208038119Y-78477360D01*
+X202734531Y-78477360D01*
+X205210476Y-76001416D01*
+X205299571Y-75964511D01*
+X211138162Y-75964511D01*
+X211227257Y-76001416D01*
+X211808147Y-76582307D01*
+X212381365Y-77155525D01*
+X212397035Y-77174618D01*
+X212397490Y-77175299D01*
+X212397492Y-77175301D01*
+X212404384Y-77185616D01*
+X212414699Y-77192508D01*
+X212414701Y-77192510D01*
+X212447439Y-77214384D01*
+X212447441Y-77214385D01*
+X212479228Y-77235625D01*
+X212479230Y-77235626D01*
+X212489545Y-77242518D01*
+X212501712Y-77244938D01*
+X212501714Y-77244939D01*
+X212577829Y-77260079D01*
+X212590000Y-77262500D01*
+X212602965Y-77259921D01*
+X212627547Y-77257500D01*
+X214962000Y-77257500D01*
+X215030121Y-77277502D01*
+X215076614Y-77331158D01*
+X215088000Y-77383500D01*
+X215088000Y-77400000D01*
+X215090417Y-77412149D01*
+X215097447Y-77447490D01*
+X215100332Y-77461995D01*
+X215107224Y-77472309D01*
+X215107225Y-77472312D01*
+X215128556Y-77504235D01*
+X215135449Y-77514551D01*
+X215145765Y-77521444D01*
+X215177688Y-77542775D01*
+X215177691Y-77542776D01*
+X215188005Y-77549668D01*
+X215200172Y-77552088D01*
+X215200174Y-77552089D01*
+X215220777Y-77556187D01*
+X215250000Y-77562000D01*
+X216150000Y-77562000D01*
+X216179223Y-77556187D01*
+X216199826Y-77552089D01*
+X216199828Y-77552088D01*
+X216211995Y-77549668D01*
+X216222309Y-77542776D01*
+X216222312Y-77542775D01*
+X216254235Y-77521444D01*
+X216264551Y-77514551D01*
+X216271444Y-77504235D01*
+X216292775Y-77472312D01*
+X216292776Y-77472309D01*
+X216299668Y-77461995D01*
+X216302554Y-77447490D01*
+X216309583Y-77412149D01*
+X216312000Y-77400000D01*
+X216312000Y-76600000D01*
+X216303835Y-76558951D01*
+X216302089Y-76550174D01*
+X216302088Y-76550172D01*
+X216299668Y-76538005D01*
+X216292776Y-76527691D01*
+X216292775Y-76527688D01*
+X216271444Y-76495765D01*
+X216264551Y-76485449D01*
+X216253717Y-76478210D01*
+X216222312Y-76457225D01*
+X216222309Y-76457224D01*
+X216211995Y-76450332D01*
+X216199828Y-76447912D01*
+X216199826Y-76447911D01*
+X216169568Y-76441892D01*
+X216150000Y-76438000D01*
+X215250000Y-76438000D01*
+X215230432Y-76441892D01*
+X215200174Y-76447911D01*
+X215200172Y-76447912D01*
+X215188005Y-76450332D01*
+X215177691Y-76457224D01*
+X215177688Y-76457225D01*
+X215146283Y-76478210D01*
+X215135449Y-76485449D01*
+X215128556Y-76495765D01*
+X215107225Y-76527688D01*
+X215107224Y-76527691D01*
+X215100332Y-76538005D01*
+X215097912Y-76550172D01*
+X215097911Y-76550174D01*
+X215096165Y-76558951D01*
+X215088000Y-76600000D01*
+X215088000Y-76616500D01*
+X215067998Y-76684621D01*
+X215014342Y-76731114D01*
+X214962000Y-76742500D01*
+X212748850Y-76742500D01*
+X212659755Y-76705595D01*
+X211891052Y-75936892D01*
+X211857026Y-75874580D01*
+X211862091Y-75803765D01*
+X211904638Y-75746929D01*
+X211971158Y-75722118D01*
+X212043716Y-75739009D01*
+X212202667Y-75831892D01*
+X212207933Y-75833819D01*
+X212207937Y-75833821D01*
+X212408106Y-75907072D01*
+X212408109Y-75907073D01*
+X212413370Y-75908998D01*
+X212418886Y-75909961D01*
+X212418891Y-75909962D01*
+X212588659Y-75939591D01*
+X212634399Y-75947574D01*
+X212640005Y-75947545D01*
+X212640009Y-75947545D01*
+X212743025Y-75947005D01*
+X212858765Y-75946399D01*
+X212887610Y-75941053D01*
+X212969071Y-75925955D01*
+X213079377Y-75905511D01*
+X213084626Y-75903528D01*
+X213084628Y-75903527D01*
+X213161769Y-75874378D01*
+X213289262Y-75826202D01*
+X213481785Y-75710979D01*
+X213486005Y-75707297D01*
+X213486010Y-75707294D01*
+X213646631Y-75567175D01*
+X213650861Y-75563485D01*
+X213681278Y-75525518D01*
+X213787641Y-75392757D01*
+X213787644Y-75392752D01*
+X213791147Y-75388380D01*
+X213898206Y-75191201D01*
+X213968657Y-74978179D01*
+X213970661Y-74964102D01*
+X213991627Y-74816777D01*
+X214000270Y-74756048D01*
+X214002000Y-74690000D01*
+X213982055Y-74466519D01*
+X213932566Y-74285616D01*
+X213924332Y-74255518D01*
+X213924331Y-74255514D01*
+X213922850Y-74250102D01*
+X213826256Y-74047590D01*
+X213822984Y-74043036D01*
+X213698604Y-73869941D01*
+X213698599Y-73869936D01*
+X213695328Y-73865383D01*
+X213534202Y-73709242D01*
+X213347973Y-73584101D01*
+X213205481Y-73521551D01*
+X213147669Y-73496173D01*
+X213147667Y-73496172D01*
+X213142527Y-73493916D01*
+X212982838Y-73455578D01*
+X212929814Y-73442848D01*
+X212929813Y-73442848D01*
+X212924357Y-73441538D01*
+X212839591Y-73436651D01*
+X212705967Y-73428946D01*
+X212705964Y-73428946D01*
+X212700360Y-73428623D01*
+X212477615Y-73455578D01*
+X212263165Y-73521551D01*
+X212258185Y-73524121D01*
+X212258181Y-73524123D01*
+X212076059Y-73618124D01*
+X212063787Y-73624458D01*
+X211885783Y-73761045D01*
+X211882010Y-73765191D01*
+X211882005Y-73765196D01*
+X211764806Y-73893997D01*
+X211734779Y-73926996D01*
+X211680284Y-74013869D01*
+X211618619Y-74112172D01*
+X211615550Y-74117064D01*
+X211531863Y-74325242D01*
+X211486364Y-74544949D01*
+X211486217Y-74550559D01*
+X211486217Y-74550560D01*
+X211485713Y-74569800D01*
+X211463934Y-74637373D01*
+X211409079Y-74682445D01*
+X211359756Y-74692500D01*
+X204943216Y-74692500D01*
+X204928406Y-74691627D01*
+X204904825Y-74688836D01*
+X204895472Y-74687729D01*
+X204836843Y-74698436D01*
+X204832979Y-74699079D01*
+X204774006Y-74707946D01*
+X204767398Y-74711119D01*
+X204760187Y-74712436D01*
+X204707266Y-74739926D01*
+X204703778Y-74741669D01*
+X204650036Y-74767475D01*
+X204644734Y-74772376D01*
+X204644522Y-74772519D01*
+X204638148Y-74775830D01*
+X204631422Y-74781575D01*
+X204592085Y-74820912D01*
+X204588519Y-74824341D01*
+X204556730Y-74853727D01*
+X204549051Y-74860825D01*
+X204545520Y-74866904D01*
+X204540592Y-74872405D01*
+X200710826Y-78702172D01*
+X196907403Y-82505595D01*
+X196818308Y-82542500D01*
+X195442171Y-82542500D01*
+X195374050Y-82522498D01*
+X195327557Y-82468842D01*
+X195317453Y-82398568D01*
+X195346947Y-82333988D01*
+X195419209Y-82292610D01*
+X195515656Y-82274734D01*
+X195619377Y-82255511D01*
+X195624626Y-82253528D01*
+X195624628Y-82253527D01*
+X195789719Y-82191144D01*
+X195829262Y-82176202D01*
+X196021785Y-82060979D01*
+X196026005Y-82057297D01*
+X196026010Y-82057294D01*
+X196186631Y-81917175D01*
+X196190861Y-81913485D01*
+X196228371Y-81866665D01*
+X196327641Y-81742757D01*
+X196327644Y-81742752D01*
+X196331147Y-81738380D01*
+X196438206Y-81541201D01*
+X196508657Y-81328179D01*
+X196513548Y-81293816D01*
+X196531162Y-81170048D01*
+X196540270Y-81106048D01*
+X196541495Y-81059292D01*
+X196541905Y-81043631D01*
+X196541905Y-81043629D01*
+X196542000Y-81040000D01*
+X196538930Y-81005595D01*
+X196529476Y-80899668D01*
+X196522055Y-80816519D01*
+X196481980Y-80670028D01*
+X196464332Y-80605518D01*
+X196464331Y-80605514D01*
+X196462850Y-80600102D01*
+X196366256Y-80397590D01*
+X196362984Y-80393036D01*
+X196238604Y-80219941D01*
+X196238599Y-80219936D01*
+X196235328Y-80215383D01*
+X196074202Y-80059242D01*
+X195893221Y-79937628D01*
+X195847839Y-79883035D01*
+X195837500Y-79833049D01*
+X195837500Y-75370271D01*
+X197256996Y-75370271D01*
+X197263275Y-75442049D01*
+X197264549Y-75450280D01*
+X197328160Y-75734860D01*
+X197330516Y-75742864D01*
+X197431205Y-76016525D01*
+X197434595Y-76024141D01*
+X197570589Y-76282076D01*
+X197574967Y-76289192D01*
+X197743880Y-76526875D01*
+X197749151Y-76533338D01*
+X197948020Y-76746600D01*
+X197954104Y-76752312D01*
+X198179425Y-76937393D01*
+X198186206Y-76942248D01*
+X198434038Y-77095910D01*
+X198441398Y-77099823D01*
+X198707364Y-77219353D01*
+X198715187Y-77222263D01*
+X198994637Y-77305571D01*
+X199002758Y-77307416D01*
+X199291433Y-77353137D01*
+X199298408Y-77353845D01*
+X199388477Y-77357936D01*
+X199391310Y-77358000D01*
+X199727885Y-77358000D01*
+X199743124Y-77353525D01*
+X199744329Y-77352135D01*
+X199746000Y-77344452D01*
+X199746000Y-77339885D01*
+X200253999Y-77339885D01*
+X200258474Y-77355124D01*
+X200259864Y-77356329D01*
+X200267547Y-77358000D01*
+X200573181Y-77358000D01*
+X200577373Y-77357861D01*
+X200795125Y-77343398D01*
+X200803394Y-77342295D01*
+X201089231Y-77284659D01*
+X201097292Y-77282469D01*
+X201372998Y-77187536D01*
+X201380685Y-77184305D01*
+X201641410Y-77053743D01*
+X201648619Y-77049513D01*
+X201889783Y-76885619D01*
+X201896358Y-76880482D01*
+X202113735Y-76686125D01*
+X202119575Y-76680161D01*
+X202309336Y-76458762D01*
+X202314329Y-76452088D01*
+X202473144Y-76207536D01*
+X202477218Y-76200246D01*
+X202602288Y-75936848D01*
+X202605364Y-75929080D01*
+X202694501Y-75651449D01*
+X202696518Y-75643360D01*
+X202745375Y-75371830D01*
+X202743943Y-75358571D01*
+X202729333Y-75354000D01*
+X200272115Y-75353999D01*
+X200256876Y-75358474D01*
+X200255671Y-75359864D01*
+X200254000Y-75367547D01*
+X200253999Y-77339885D01*
+X199746000Y-77339885D01*
+X199746001Y-75372115D01*
+X199741526Y-75356876D01*
+X199740136Y-75355671D01*
+X199732453Y-75354000D01*
+X197273687Y-75353999D01*
+X197259059Y-75358294D01*
+X197256996Y-75370271D01*
+X195837500Y-75370271D01*
+X195837500Y-74828170D01*
+X197254625Y-74828170D01*
+X197256057Y-74841429D01*
+X197270667Y-74846000D01*
+X199727885Y-74846001D01*
+X199743124Y-74841526D01*
+X199744329Y-74840136D01*
+X199746000Y-74832453D01*
+X199746001Y-72860115D01*
+X199741526Y-72844876D01*
+X199740136Y-72843671D01*
+X199732453Y-72842000D01*
+X199426819Y-72842000D01*
+X199422627Y-72842139D01*
+X199204875Y-72856602D01*
+X199196606Y-72857705D01*
+X198910769Y-72915341D01*
+X198902708Y-72917531D01*
+X198627002Y-73012464D01*
+X198619315Y-73015695D01*
+X198358590Y-73146257D01*
+X198351381Y-73150487D01*
+X198110217Y-73314381D01*
+X198103642Y-73319518D01*
+X197886265Y-73513875D01*
+X197880425Y-73519839D01*
+X197690664Y-73741238D01*
+X197685671Y-73747912D01*
+X197526856Y-73992464D01*
+X197522782Y-73999754D01*
+X197397712Y-74263152D01*
+X197394636Y-74270920D01*
+X197305499Y-74548551D01*
+X197303482Y-74556640D01*
+X197254625Y-74828170D01*
+X195837500Y-74828170D01*
+X195837500Y-70653114D01*
+X195874405Y-70564018D01*
+X196389520Y-70048904D01*
+X196478615Y-70012000D01*
+X196650000Y-70012000D01*
+X196669568Y-70008108D01*
+X196699826Y-70002089D01*
+X196699828Y-70002088D01*
+X196711995Y-69999668D01*
+X196722309Y-69992776D01*
+X196722312Y-69992775D01*
+X196754235Y-69971444D01*
+X196764551Y-69964551D01*
+X196775348Y-69948392D01*
+X196792775Y-69922312D01*
+X196792776Y-69922309D01*
+X196799668Y-69911995D01*
+X196802434Y-69898093D01*
+X196808927Y-69865447D01*
+X196812000Y-69850000D01*
+X196812000Y-69050000D01*
+X196806180Y-69020743D01*
+X196802089Y-69000174D01*
+X196802088Y-69000172D01*
+X196799668Y-68988005D01*
+X196792776Y-68977691D01*
+X196792775Y-68977688D01*
+X196771444Y-68945765D01*
+X196764551Y-68935449D01*
+X196753467Y-68928043D01*
+X196722312Y-68907225D01*
+X196722309Y-68907224D01*
+X196711995Y-68900332D01*
+X196699828Y-68897912D01*
+X196699826Y-68897911D01*
+X196669568Y-68891892D01*
+X196650000Y-68888000D01*
+X195750000Y-68888000D01*
+X195730432Y-68891892D01*
+X195700174Y-68897911D01*
+X195700172Y-68897912D01*
+X195688005Y-68900332D01*
+X195677691Y-68907224D01*
+X195677688Y-68907225D01*
+X195646533Y-68928043D01*
+X195635449Y-68935449D01*
+X195628556Y-68945765D01*
+X195607225Y-68977688D01*
+X195607224Y-68977691D01*
+X195600332Y-68988005D01*
+X195597912Y-69000172D01*
+X195597911Y-69000174D01*
+X195593820Y-69020743D01*
+X195588000Y-69050000D01*
+X195588000Y-69221385D01*
+X195551096Y-69310480D01*
+X194892477Y-69969100D01*
+X194888667Y-69972754D01*
+X194846586Y-70011449D01*
+X194842060Y-70018749D01*
+X194842059Y-70018750D01*
+X194823332Y-70048953D01*
+X194816610Y-70058734D01*
+X194789943Y-70093867D01*
+X194786781Y-70101853D01*
+X194784287Y-70108151D01*
+X194774227Y-70128154D01*
+X194766131Y-70141212D01*
+X194763734Y-70149463D01*
+X194763732Y-70149467D01*
+X194753820Y-70183583D01*
+X194749976Y-70194810D01*
+X194736901Y-70227835D01*
+X194736900Y-70227841D01*
+X194733738Y-70235826D01*
+X194732841Y-70244365D01*
+X194732840Y-70244367D01*
+X194732133Y-70251097D01*
+X194727820Y-70273076D01*
+X194723534Y-70287829D01*
+X194723051Y-70294411D01*
+X194723050Y-70294415D01*
+X194722824Y-70297502D01*
+X194722500Y-70301910D01*
+X194722500Y-70336137D01*
+X194721810Y-70349307D01*
+X194717778Y-70387668D01*
+X194720564Y-70404137D01*
+X194720736Y-70405156D01*
+X194722500Y-70426169D01*
+X194722500Y-70489074D01*
+X194702498Y-70557195D01*
+X194648842Y-70603688D01*
+X194578568Y-70613792D01*
+X194513988Y-70584298D01*
+X194481618Y-70540824D01*
+X194476092Y-70528556D01*
+X194390078Y-70337611D01*
+X194261458Y-70146566D01*
+X194251594Y-70136225D01*
+X194106175Y-69983786D01*
+X194102489Y-69979922D01*
+X194095138Y-69974452D01*
+X193947424Y-69864551D01*
+X193917714Y-69842446D01*
+X193712417Y-69738068D01*
+X193492470Y-69669772D01*
+X193487181Y-69669071D01*
+X193269444Y-69640212D01*
+X193269439Y-69640212D01*
+X193264159Y-69639512D01*
+X193258830Y-69639712D01*
+X193258828Y-69639712D01*
+X193149087Y-69643832D01*
+X193034014Y-69648152D01*
+X192808615Y-69695446D01*
+X192803659Y-69697403D01*
+X192803653Y-69697405D01*
+X192674988Y-69748218D01*
+X192594407Y-69780041D01*
+X192397515Y-69899518D01*
+X192393485Y-69903015D01*
+X192247656Y-70029559D01*
+X192223568Y-70050461D01*
+X192212122Y-70064420D01*
+X192080924Y-70224427D01*
+X192080920Y-70224433D01*
+X192077540Y-70228555D01*
+X192074901Y-70233191D01*
+X192074899Y-70233194D01*
+X191988460Y-70385045D01*
+X191963607Y-70428706D01*
+X191885026Y-70645193D01*
+X191884077Y-70650442D01*
+X191884076Y-70650445D01*
+X191845641Y-70863000D01*
+X191844045Y-70871824D01*
+X191843281Y-70951427D01*
+X191841995Y-71085387D01*
+X191841834Y-71102121D01*
+X191842683Y-71107391D01*
+X191842683Y-71107393D01*
+X191875752Y-71312702D01*
+X191878457Y-71329498D01*
+X191880182Y-71334550D01*
+X191880182Y-71334551D01*
+X191888100Y-71357744D01*
+X191952868Y-71547453D01*
+X192062937Y-71749755D01*
+X192066235Y-71753939D01*
+X192066238Y-71753943D01*
+X192202216Y-71926430D01*
+X192202220Y-71926434D01*
+X192205519Y-71930619D01*
+X192209479Y-71934191D01*
+X192209480Y-71934192D01*
+X192233248Y-71955630D01*
+X192376536Y-72084874D01*
+X192465106Y-72140974D01*
+X192566583Y-72205249D01*
+X192571098Y-72208109D01*
+X192576025Y-72210165D01*
+X192576027Y-72210166D01*
+X192776626Y-72293872D01*
+X192783643Y-72296800D01*
+X192788847Y-72297997D01*
+X192788848Y-72297997D01*
+X193002890Y-72347216D01*
+X193002895Y-72347217D01*
+X193008093Y-72348412D01*
+X193013421Y-72348715D01*
+X193013424Y-72348715D01*
+X193171689Y-72357702D01*
+X193238029Y-72361469D01*
+X193243336Y-72360869D01*
+X193243338Y-72360869D01*
+X193381520Y-72345247D01*
+X193466879Y-72335597D01*
+X193471994Y-72334116D01*
+X193471998Y-72334115D01*
+X193587164Y-72300765D01*
+X193688097Y-72271537D01*
+X193895359Y-72171119D01*
+X193968295Y-72118998D01*
+X194078393Y-72040321D01*
+X194078395Y-72040319D01*
+X194082739Y-72037215D01*
+X194194096Y-71924882D01*
+X194241126Y-71877440D01*
+X194241127Y-71877439D01*
+X194244879Y-71873654D01*
+X194365570Y-71701609D01*
+X194374078Y-71689481D01*
+X194374079Y-71689479D01*
+X194377142Y-71685113D01*
+X194475748Y-71476982D01*
+X194477189Y-71471838D01*
+X194478479Y-71468388D01*
+X194521071Y-71411586D01*
+X194587610Y-71386828D01*
+X194656973Y-71401974D01*
+X194707135Y-71452216D01*
+X194722500Y-71512514D01*
+X194722501Y-79836425D01*
+X194702499Y-79904546D01*
+X194654291Y-79948391D01*
+X194603787Y-79974458D01*
+X194425783Y-80111045D01*
+X194422010Y-80115191D01*
+X194422005Y-80115196D01*
+X194326695Y-80219941D01*
+X194274779Y-80276996D01*
+X194227705Y-80352039D01*
+X194168782Y-80445971D01*
+X194155550Y-80467064D01*
+X194071863Y-80675242D01*
+X194026364Y-80894949D01*
+X194026217Y-80900559D01*
+X194026217Y-80900560D01*
+X194025398Y-80931820D01*
+X194020490Y-81119242D01*
+X194033130Y-81201845D01*
+X194052463Y-81328179D01*
+X194054429Y-81341029D01*
+X194127106Y-81553302D01*
+X194236224Y-81749349D01*
+X194239776Y-81753689D01*
+X194239779Y-81753693D01*
+X194320652Y-81852500D01*
+X194378335Y-81922975D01*
+X194398302Y-81940028D01*
+X194544682Y-82065049D01*
+X194544686Y-82065052D01*
+X194548947Y-82068691D01*
+X194742667Y-82181892D01*
+X194747933Y-82183819D01*
+X194747937Y-82183821D01*
+X194948106Y-82257072D01*
+X194948109Y-82257073D01*
+X194953370Y-82258998D01*
+X194958886Y-82259961D01*
+X194958891Y-82259962D01*
+X195144616Y-82292376D01*
+X195208284Y-82323792D01*
+X195244859Y-82384643D01*
+X195242730Y-82455607D01*
+X195202573Y-82514156D01*
+X195122953Y-82542500D01*
+X194131694Y-82542500D01*
+X194042599Y-82505596D01*
+X193674405Y-82137403D01*
+X193637500Y-82048307D01*
+X193637500Y-76718851D01*
+X193638373Y-76704042D01*
+X193641164Y-76680459D01*
+X193642271Y-76671106D01*
+X193638046Y-76647972D01*
+X193631568Y-76612500D01*
+X193630918Y-76608596D01*
+X193623454Y-76558951D01*
+X193623454Y-76558950D01*
+X193622054Y-76549640D01*
+X193618881Y-76543032D01*
+X193617564Y-76535822D01*
+X193613223Y-76527465D01*
+X193613221Y-76527459D01*
+X193590088Y-76482926D01*
+X193588319Y-76479386D01*
+X193587921Y-76478556D01*
+X193577122Y-76456067D01*
+X193566603Y-76434161D01*
+X193566601Y-76434158D01*
+X193562525Y-76425670D01*
+X193557620Y-76420364D01*
+X193557482Y-76420159D01*
+X193554170Y-76413783D01*
+X193548425Y-76407057D01*
+X193509100Y-76367732D01*
+X193505671Y-76364166D01*
+X193475570Y-76331603D01*
+X193469175Y-76324685D01*
+X193463095Y-76321154D01*
+X193457592Y-76316224D01*
+X193234405Y-76093037D01*
+X193197500Y-76003942D01*
+X193197500Y-75947960D01*
+X193217502Y-75879839D01*
+X193278963Y-75830094D01*
+X193284012Y-75828186D01*
+X193284014Y-75828185D01*
+X193289262Y-75826202D01*
+X193481785Y-75710979D01*
+X193486005Y-75707297D01*
+X193486010Y-75707294D01*
+X193646631Y-75567175D01*
+X193650861Y-75563485D01*
+X193681278Y-75525518D01*
+X193787641Y-75392757D01*
+X193787644Y-75392752D01*
+X193791147Y-75388380D01*
+X193898206Y-75191201D01*
+X193968657Y-74978179D01*
+X193970661Y-74964102D01*
+X193991627Y-74816777D01*
+X194000270Y-74756048D01*
+X194002000Y-74690000D01*
+X193982055Y-74466519D01*
+X193932566Y-74285616D01*
+X193924332Y-74255518D01*
+X193924331Y-74255514D01*
+X193922850Y-74250102D01*
+X193826256Y-74047590D01*
+X193822984Y-74043036D01*
+X193698604Y-73869941D01*
+X193698599Y-73869936D01*
+X193695328Y-73865383D01*
+X193534202Y-73709242D01*
+X193347973Y-73584101D01*
+X193205481Y-73521551D01*
+X193147669Y-73496173D01*
+X193147667Y-73496172D01*
+X193142527Y-73493916D01*
+X192982838Y-73455578D01*
+X192929814Y-73442848D01*
+X192929813Y-73442848D01*
+X192924357Y-73441538D01*
+X192839591Y-73436651D01*
+X192705967Y-73428946D01*
+X192705964Y-73428946D01*
+X192700360Y-73428623D01*
+X192477615Y-73455578D01*
+X192263165Y-73521551D01*
+X192258185Y-73524121D01*
+X192258181Y-73524123D01*
+X192076059Y-73618124D01*
+X192063787Y-73624458D01*
+X191885783Y-73761045D01*
+X191882010Y-73765191D01*
+X191882005Y-73765196D01*
+X191764806Y-73893997D01*
+X191734779Y-73926996D01*
+X191680284Y-74013869D01*
+X191618619Y-74112172D01*
+X191615550Y-74117064D01*
+X191531863Y-74325242D01*
+X191486364Y-74544949D01*
+X191486217Y-74550559D01*
+X191486217Y-74550560D01*
+X191481523Y-74729799D01*
+X191459744Y-74797372D01*
+X191404890Y-74842445D01*
+X191355566Y-74852500D01*
+X188673217Y-74852500D01*
+X188658408Y-74851627D01*
+X188634825Y-74848836D01*
+X188625472Y-74847729D01*
+X188616208Y-74849421D01*
+X188616207Y-74849421D01*
+X188566866Y-74858432D01*
+X188562962Y-74859082D01*
+X188513317Y-74866546D01*
+X188513316Y-74866546D01*
+X188504006Y-74867946D01*
+X188497398Y-74871119D01*
+X188490188Y-74872436D01*
+X188481831Y-74876777D01*
+X188481825Y-74876779D01*
+X188437292Y-74899912D01*
+X188433765Y-74901675D01*
+X188425601Y-74905595D01*
+X188388527Y-74923397D01*
+X188388524Y-74923399D01*
+X188380036Y-74927475D01*
+X188374730Y-74932380D01*
+X188374525Y-74932518D01*
+X188368149Y-74935830D01*
+X188361423Y-74941575D01*
+X188322098Y-74980900D01*
+X188318532Y-74984329D01*
+X188279051Y-75020825D01*
+X188275520Y-75026905D01*
+X188270590Y-75032408D01*
+X186349997Y-76953001D01*
+X186338908Y-76962856D01*
+X186312852Y-76983397D01*
+X186307498Y-76991144D01*
+X186307496Y-76991146D01*
+X186278955Y-77032443D01*
+X186276684Y-77035622D01*
+X186241259Y-77083583D01*
+X186238831Y-77090497D01*
+X186234662Y-77096529D01*
+X186231820Y-77105516D01*
+X186216693Y-77153347D01*
+X186215440Y-77157103D01*
+X186205427Y-77185616D01*
+X186195692Y-77213337D01*
+X186195408Y-77220551D01*
+X186195359Y-77220802D01*
+X186193194Y-77227650D01*
+X186192500Y-77236468D01*
+X186192500Y-77292100D01*
+X186192403Y-77297047D01*
+X186190293Y-77350751D01*
+X186192094Y-77357545D01*
+X186192500Y-77364917D01*
+X186192501Y-78678307D01*
+X186155596Y-78767402D01*
+X183917403Y-81005595D01*
+X183828308Y-81042500D01*
+X183471379Y-81042500D01*
+X183403258Y-81022498D01*
+X183356765Y-80968842D01*
+X183346661Y-80898568D01*
+X183366614Y-80846499D01*
+X183382773Y-80822315D01*
+X183382774Y-80822312D01*
+X183389668Y-80811995D01*
+X183402000Y-80750000D01*
+X183402000Y-77750000D01*
+X183389668Y-77688005D01*
+X183382776Y-77677691D01*
+X183382775Y-77677688D01*
+X183361444Y-77645765D01*
+X183354551Y-77635449D01*
+X183338413Y-77624666D01*
+X183312312Y-77607225D01*
+X183312309Y-77607224D01*
+X183301995Y-77600332D01*
+X183289828Y-77597912D01*
+X183289826Y-77597911D01*
+X183240000Y-77588000D01*
+X183240267Y-77586657D01*
+X183180917Y-77562692D01*
+X183139907Y-77504738D01*
+X183136740Y-77433812D01*
+X183167930Y-77376909D01*
+X183171891Y-77372757D01*
+X183178394Y-77365940D01*
+X183229328Y-77264006D01*
+X183235653Y-77251348D01*
+X183235653Y-77251347D01*
+X183239864Y-77242920D01*
+X183242897Y-77224701D01*
+X183254410Y-77155525D01*
+X183262443Y-77107265D01*
+X183262500Y-77100000D01*
+X183247204Y-76998258D01*
+X183243454Y-76973316D01*
+X183243453Y-76973314D01*
+X183242054Y-76964006D01*
+X183182525Y-76840036D01*
+X183104990Y-76756159D01*
+X183095572Y-76745971D01*
+X183095571Y-76745970D01*
+X183089175Y-76739051D01*
+X183081031Y-76734320D01*
+X183081029Y-76734319D01*
+X182978399Y-76674706D01*
+X182978395Y-76674704D01*
+X182970258Y-76669978D01*
+X182925023Y-76659493D01*
+X182845465Y-76641053D01*
+X182845463Y-76641053D01*
+X182836287Y-76638926D01*
+X182826891Y-76639591D01*
+X182826888Y-76639591D01*
+X182708511Y-76647972D01*
+X182708509Y-76647973D01*
+X182699109Y-76648638D01*
+X182570850Y-76698258D01*
+X182462852Y-76783397D01*
+X182384662Y-76896528D01*
+X182381823Y-76905505D01*
+X182381822Y-76905507D01*
+X182362105Y-76967852D01*
+X182343194Y-77027649D01*
+X182342114Y-77165167D01*
+X182344813Y-77174191D01*
+X182344813Y-77174193D01*
+X182357778Y-77217545D01*
+X182381517Y-77296923D01*
+X182386748Y-77304752D01*
+X182386751Y-77304758D01*
+X182445044Y-77391998D01*
+X182466259Y-77459750D01*
+X182447476Y-77528217D01*
+X182394659Y-77575661D01*
+X182340279Y-77588000D01*
+X182240000Y-77588000D01*
+X182220432Y-77591892D01*
+X182190174Y-77597911D01*
+X182190172Y-77597912D01*
+X182178005Y-77600332D01*
+X182167691Y-77607224D01*
+X182167688Y-77607225D01*
+X182141587Y-77624666D01*
+X182125449Y-77635449D01*
+X182118556Y-77645765D01*
+X182097225Y-77677688D01*
+X182097224Y-77677691D01*
+X182090332Y-77688005D01*
+X182078000Y-77750000D01*
+X182078000Y-80750000D01*
+X182090332Y-80811995D01*
+X182097226Y-80822312D01*
+X182097227Y-80822315D01*
+X182113386Y-80846499D01*
+X182134601Y-80914251D01*
+X182115818Y-80982718D01*
+X182063000Y-81030161D01*
+X182008621Y-81042500D01*
+X180931379Y-81042500D01*
+X180863258Y-81022498D01*
+X180816765Y-80968842D01*
+X180806661Y-80898568D01*
+X180826614Y-80846499D01*
+X180842773Y-80822315D01*
+X180842774Y-80822312D01*
+X180849668Y-80811995D01*
+X180862000Y-80750000D01*
+X180862000Y-80697569D01*
+X180882002Y-80629448D01*
+X180935658Y-80582955D01*
+X181005932Y-80572851D01*
+X181052140Y-80589116D01*
+X181057263Y-80592145D01*
+X181064568Y-80598093D01*
+X181192032Y-80649721D01*
+X181201417Y-80650534D01*
+X181201418Y-80650534D01*
+X181319661Y-80660775D01*
+X181319664Y-80660775D01*
+X181329041Y-80661587D01*
+X181338242Y-80659606D01*
+X181338244Y-80659606D01*
+X181454278Y-80634625D01*
+X181454281Y-80634624D01*
+X181463482Y-80632643D01*
+X181471694Y-80628044D01*
+X181471697Y-80628043D01*
+X181575254Y-80570048D01*
+X181583470Y-80565447D01*
+X181678394Y-80465940D01*
+X181727406Y-80367852D01*
+X181735653Y-80351348D01*
+X181735653Y-80351347D01*
+X181739864Y-80342920D01*
+X181762443Y-80207265D01*
+X181762500Y-80200000D01*
+X181742054Y-80064006D01*
+X181682525Y-79940036D01*
+X181606240Y-79857512D01*
+X181595572Y-79845971D01*
+X181595571Y-79845970D01*
+X181589175Y-79839051D01*
+X181581031Y-79834320D01*
+X181581029Y-79834319D01*
+X181478399Y-79774706D01*
+X181478395Y-79774704D01*
+X181470258Y-79769978D01*
+X181378189Y-79748638D01*
+X181345465Y-79741053D01*
+X181345463Y-79741053D01*
+X181336287Y-79738926D01*
+X181326891Y-79739591D01*
+X181326888Y-79739591D01*
+X181208511Y-79747972D01*
+X181208509Y-79747973D01*
+X181199109Y-79748638D01*
+X181070850Y-79798258D01*
+X181063451Y-79804091D01*
+X181055270Y-79808757D01*
+X181054171Y-79806830D01*
+X181000131Y-79828542D01*
+X180930401Y-79815191D01*
+X180878958Y-79766261D01*
+X180862000Y-79703127D01*
+X180862000Y-77750000D01*
+X180849668Y-77688005D01*
+X180842776Y-77677691D01*
+X180842775Y-77677688D01*
+X180821444Y-77645765D01*
+X180814551Y-77635449D01*
+X180798413Y-77624666D01*
+X180772312Y-77607225D01*
+X180772309Y-77607224D01*
+X180761995Y-77600332D01*
+X180749828Y-77597912D01*
+X180749826Y-77597911D01*
+X180719568Y-77591892D01*
+X180700000Y-77588000D01*
+X180483500Y-77588000D01*
+X180415379Y-77567998D01*
+X180368886Y-77514342D01*
+X180357500Y-77462000D01*
+X180357500Y-76843130D01*
+X180392330Y-76756159D01*
+X180412081Y-76735455D01*
+X180478394Y-76665940D01*
+X180524512Y-76573644D01*
+X180535653Y-76551348D01*
+X180535653Y-76551347D01*
+X180539864Y-76542920D01*
+X180562443Y-76407265D01*
+X180562500Y-76400000D01*
+X180542054Y-76264006D01*
+X180482525Y-76140036D01*
+X180389175Y-76039051D01*
+X180381031Y-76034320D01*
+X180381029Y-76034319D01*
+X180278399Y-75974706D01*
+X180278395Y-75974704D01*
+X180270258Y-75969978D01*
+X180225023Y-75959493D01*
+X180145465Y-75941053D01*
+X180145463Y-75941053D01*
+X180136287Y-75938926D01*
+X180126891Y-75939591D01*
+X180126888Y-75939591D01*
+X180008511Y-75947972D01*
+X180008509Y-75947973D01*
+X179999109Y-75948638D01*
+X179870850Y-75998258D01*
+X179762852Y-76083397D01*
+X179684662Y-76196528D01*
+X179681823Y-76205505D01*
+X179681822Y-76205507D01*
+X179662585Y-76266335D01*
+X179643194Y-76327649D01*
+X179642114Y-76465167D01*
+X179644813Y-76474191D01*
+X179644813Y-76474193D01*
+X179667536Y-76550174D01*
+X179681517Y-76596923D01*
+X179693626Y-76615045D01*
+X179749228Y-76698258D01*
+X179757921Y-76711268D01*
+X179765222Y-76717212D01*
+X179765223Y-76717213D01*
+X179796051Y-76742311D01*
+X179836250Y-76800831D01*
+X179842500Y-76840023D01*
+X179842500Y-77462000D01*
+X179822498Y-77530121D01*
+X179768842Y-77576614D01*
+X179716500Y-77588000D01*
+X179700000Y-77588000D01*
+X179680432Y-77591892D01*
+X179650174Y-77597911D01*
+X179650172Y-77597912D01*
+X179638005Y-77600332D01*
+X179627691Y-77607224D01*
+X179627688Y-77607225D01*
+X179601587Y-77624666D01*
+X179585449Y-77635449D01*
+X179578556Y-77645765D01*
+X179557225Y-77677688D01*
+X179557224Y-77677691D01*
+X179550332Y-77688005D01*
+X179538000Y-77750000D01*
+X179538000Y-80750000D01*
+X179550332Y-80811995D01*
+X179557226Y-80822312D01*
+X179557227Y-80822315D01*
+X179573386Y-80846499D01*
+X179594601Y-80914251D01*
+X179575818Y-80982718D01*
+X179523000Y-81030161D01*
+X179468621Y-81042500D01*
+X178391379Y-81042500D01*
+X178323258Y-81022498D01*
+X178276765Y-80968842D01*
+X178266661Y-80898568D01*
+X178286614Y-80846499D01*
+X178302773Y-80822315D01*
+X178302774Y-80822312D01*
+X178309668Y-80811995D01*
+X178322000Y-80750000D01*
+X178322000Y-77967290D01*
+X178342002Y-77899169D01*
+X178395658Y-77852676D01*
+X178477414Y-77844771D01*
+X178483300Y-77846184D01*
+X178492032Y-77849721D01*
+X178501417Y-77850534D01*
+X178501418Y-77850534D01*
+X178619661Y-77860775D01*
+X178619664Y-77860775D01*
+X178629041Y-77861587D01*
+X178638242Y-77859606D01*
+X178638244Y-77859606D01*
+X178754278Y-77834625D01*
+X178754281Y-77834624D01*
+X178763482Y-77832643D01*
+X178771694Y-77828044D01*
+X178771697Y-77828043D01*
+X178875254Y-77770048D01*
+X178883470Y-77765447D01*
+X178978394Y-77665940D01*
+X179027333Y-77567998D01*
+X179035653Y-77551348D01*
+X179035653Y-77551347D01*
+X179039864Y-77542920D01*
+X179062443Y-77407265D01*
+X179062500Y-77400000D01*
+X179050518Y-77320303D01*
+X179043454Y-77273316D01*
+X179043453Y-77273314D01*
+X179042054Y-77264006D01*
+X179004412Y-77185616D01*
+X178986600Y-77148522D01*
+X178986600Y-77148521D01*
+X178982525Y-77140036D01*
+X178889175Y-77039051D01*
+X178881031Y-77034320D01*
+X178881029Y-77034319D01*
+X178778399Y-76974706D01*
+X178778395Y-76974704D01*
+X178770258Y-76969978D01*
+X178719702Y-76958260D01*
+X178645465Y-76941053D01*
+X178645463Y-76941053D01*
+X178636287Y-76938926D01*
+X178626891Y-76939591D01*
+X178626888Y-76939591D01*
+X178508511Y-76947972D01*
+X178508509Y-76947973D01*
+X178499109Y-76948638D01*
+X178370850Y-76998258D01*
+X178262852Y-77083397D01*
+X178257495Y-77091148D01*
+X178251051Y-77098010D01*
+X178249351Y-77096413D01*
+X178204397Y-77132803D01*
+X178155923Y-77142500D01*
+X177937547Y-77142500D01*
+X177912965Y-77140079D01*
+X177912171Y-77139921D01*
+X177912169Y-77139921D01*
+X177899999Y-77137500D01*
+X177874864Y-77142500D01*
+X177811716Y-77155061D01*
+X177811715Y-77155061D01*
+X177799546Y-77157482D01*
+X177789228Y-77164376D01*
+X177772880Y-77175299D01*
+X177714384Y-77214384D01*
+X177707492Y-77224699D01*
+X177707490Y-77224701D01*
+X177707037Y-77225379D01*
+X177691368Y-77244472D01*
+X177504473Y-77431368D01*
+X177485377Y-77447039D01*
+X177474384Y-77454384D01*
+X177422523Y-77532003D01*
+X177368050Y-77577529D01*
+X177317759Y-77588000D01*
+X177160000Y-77588000D01*
+X177140432Y-77591892D01*
+X177110174Y-77597911D01*
+X177110172Y-77597912D01*
+X177098005Y-77600332D01*
+X177087691Y-77607224D01*
+X177087688Y-77607225D01*
+X177061587Y-77624666D01*
+X177045449Y-77635449D01*
+X177038556Y-77645765D01*
+X177017225Y-77677688D01*
+X177017224Y-77677691D01*
+X177010332Y-77688005D01*
+X176998000Y-77750000D01*
+X176998000Y-80750000D01*
+X177010332Y-80811995D01*
+X177017226Y-80822312D01*
+X177017227Y-80822315D01*
+X177033386Y-80846499D01*
+X177054601Y-80914251D01*
+X177035818Y-80982718D01*
+X176983000Y-81030161D01*
+X176928621Y-81042500D01*
+X168503217Y-81042500D01*
+X168488408Y-81041627D01*
+X168478977Y-81040511D01*
+X168455472Y-81037729D01*
+X168446208Y-81039421D01*
+X168446207Y-81039421D01*
+X168396866Y-81048432D01*
+X168392962Y-81049082D01*
+X168343317Y-81056546D01*
+X168343316Y-81056546D01*
+X168334006Y-81057946D01*
+X168327398Y-81061119D01*
+X168320188Y-81062436D01*
+X168311831Y-81066777D01*
+X168311825Y-81066779D01*
+X168267292Y-81089912D01*
+X168263765Y-81091675D01*
+X168258079Y-81094405D01*
+X168220176Y-81112606D01*
+X168210036Y-81117475D01*
+X168209869Y-81117629D01*
+X168146901Y-81137887D01*
+X168093021Y-81127302D01*
+X168067669Y-81116173D01*
+X168067667Y-81116172D01*
+X168062527Y-81113916D01*
+X167902838Y-81075578D01*
+X167849814Y-81062848D01*
+X167849813Y-81062848D01*
+X167844357Y-81061538D01*
+X167776246Y-81057611D01*
+X167709391Y-81033721D01*
+X167666064Y-80977478D01*
+X167657500Y-80931820D01*
+X167657500Y-78477360D01*
+X168038119Y-78477360D01*
+X168055048Y-78770970D01*
+X168055873Y-78775175D01*
+X168055874Y-78775183D01*
+X168078036Y-78888144D01*
+X168111668Y-79059567D01*
+X168113055Y-79063617D01*
+X168113056Y-79063622D01*
+X168190955Y-79291144D01*
+X168206932Y-79337809D01*
+X168339076Y-79600548D01*
+X168341502Y-79604077D01*
+X168341505Y-79604083D01*
+X168503224Y-79839385D01*
+X168505655Y-79842922D01*
+X168508542Y-79846095D01*
+X168508543Y-79846096D01*
+X168588621Y-79934101D01*
+X168703586Y-80060446D01*
+X168758880Y-80106679D01*
+X168925916Y-80246343D01*
+X168925921Y-80246347D01*
+X168929208Y-80249095D01*
+X169025921Y-80309763D01*
+X169174705Y-80403095D01*
+X169174709Y-80403097D01*
+X169178345Y-80405378D01*
+X169255095Y-80440032D01*
+X169442475Y-80524638D01*
+X169442479Y-80524640D01*
+X169446387Y-80526404D01*
+X169450507Y-80527624D01*
+X169450506Y-80527624D01*
+X169724261Y-80608714D01*
+X169724265Y-80608715D01*
+X169728374Y-80609932D01*
+X169732608Y-80610580D01*
+X169732613Y-80610581D01*
+X169961052Y-80645537D01*
+X170019089Y-80654418D01*
+X170168859Y-80656771D01*
+X170308859Y-80658970D01*
+X170308865Y-80658970D01*
+X170313150Y-80659037D01*
+X170605118Y-80623705D01*
+X170760447Y-80582955D01*
+X170885447Y-80550162D01*
+X170885448Y-80550162D01*
+X170889590Y-80549075D01*
+X171161301Y-80436529D01*
+X171415224Y-80288148D01*
+X171646660Y-80106679D01*
+X171851327Y-79895480D01*
+X171853860Y-79892032D01*
+X171853864Y-79892027D01*
+X172022899Y-79661913D01*
+X172025437Y-79658458D01*
+X172050581Y-79612149D01*
+X172163718Y-79403775D01*
+X172163719Y-79403773D01*
+X172165768Y-79399999D01*
+X172247258Y-79184344D01*
+X172268207Y-79128905D01*
+X172268208Y-79128901D01*
+X172269725Y-79124887D01*
+X172314841Y-78927899D01*
+X172334424Y-78842395D01*
+X172334425Y-78842391D01*
+X172335382Y-78838211D01*
+X172338220Y-78806418D01*
+X172361306Y-78547742D01*
+X172361526Y-78545278D01*
+X172362000Y-78500000D01*
+X172361831Y-78497519D01*
+X172342289Y-78210860D01*
+X172342288Y-78210854D01*
+X172341997Y-78206583D01*
+X172282357Y-77918595D01*
+X172184186Y-77641366D01*
+X172099705Y-77477688D01*
+X172051263Y-77383833D01*
+X172051263Y-77383832D01*
+X172049298Y-77380026D01*
+X172044190Y-77372757D01*
+X171958862Y-77251348D01*
+X171880190Y-77139409D01*
+X171749024Y-76998258D01*
+X171682912Y-76927113D01*
+X171682909Y-76927111D01*
+X171679991Y-76923970D01*
+X171452406Y-76737694D01*
+X171201646Y-76584028D01*
+X171197729Y-76582309D01*
+X171197726Y-76582307D01*
+X171069345Y-76525952D01*
+X170932351Y-76465816D01*
+X170928223Y-76464640D01*
+X170928220Y-76464639D01*
+X170834702Y-76438000D01*
+X170649505Y-76385245D01*
+X170645263Y-76384641D01*
+X170645257Y-76384640D01*
+X170362592Y-76344411D01*
+X170358341Y-76343806D01*
+X170203328Y-76342994D01*
+X170068533Y-76342288D01*
+X170068526Y-76342288D01*
+X170064247Y-76342266D01*
+X170060002Y-76342825D01*
+X170060000Y-76342825D01*
+X169994726Y-76351419D01*
+X169772665Y-76380654D01*
+X169488990Y-76458258D01*
+X169485042Y-76459942D01*
+X169222425Y-76571958D01*
+X169222421Y-76571960D01*
+X169218473Y-76573644D01*
+X169109394Y-76638926D01*
+X168969799Y-76722471D01*
+X168969795Y-76722474D01*
+X168966117Y-76724675D01*
+X168962774Y-76727353D01*
+X168962770Y-76727356D01*
+X168915225Y-76765447D01*
+X168736594Y-76908558D01*
+X168733650Y-76911660D01*
+X168733646Y-76911664D01*
+X168543393Y-77112149D01*
+X168534150Y-77121889D01*
+X168531651Y-77125367D01*
+X168531650Y-77125368D01*
+X168496566Y-77174193D01*
+X168362532Y-77360722D01*
+X168224915Y-77620635D01*
+X168223440Y-77624666D01*
+X168146606Y-77834625D01*
+X168123845Y-77896821D01*
+X168061193Y-78184168D01*
+X168060857Y-78188438D01*
+X168041633Y-78432715D01*
+X168038119Y-78477360D01*
+X167657500Y-78477360D01*
+X167657500Y-73405870D01*
+X169188099Y-73405870D01*
+X169188615Y-73412014D01*
+X169202160Y-73573315D01*
+X169204626Y-73602685D01*
+X169211848Y-73627871D01*
+X169251225Y-73765196D01*
+X169259066Y-73792542D01*
+X169261885Y-73798027D01*
+X169346529Y-73962727D01*
+X169346532Y-73962732D01*
+X169349347Y-73968209D01*
+X169353176Y-73973040D01*
+X169368571Y-73992464D01*
+X169472028Y-74122994D01*
+X169476722Y-74126988D01*
+X169476722Y-74126989D01*
+X169592583Y-74225594D01*
+X169622438Y-74251003D01*
+X169627816Y-74254009D01*
+X169627818Y-74254010D01*
+X169644176Y-74263152D01*
+X169794847Y-74347359D01*
+X169982688Y-74408392D01*
+X170178806Y-74431778D01*
+X170184941Y-74431306D01*
+X170184943Y-74431306D01*
+X170369589Y-74417098D01*
+X170369592Y-74417097D01*
+X170375732Y-74416625D01*
+X170565964Y-74363512D01*
+X170742257Y-74274460D01*
+X170777392Y-74247010D01*
+X170893039Y-74156656D01*
+X170897895Y-74152862D01*
+X170903527Y-74146338D01*
+X170906799Y-74142547D01*
+X173512000Y-74142547D01*
+X173512000Y-74159760D01*
+X173512238Y-74165224D01*
+X173522843Y-74286435D01*
+X173525242Y-74298967D01*
+X173571273Y-74452724D01*
+X173577051Y-74466118D01*
+X173656835Y-74604308D01*
+X173665546Y-74616009D01*
+X173775050Y-74732077D01*
+X173786224Y-74741452D01*
+X173919542Y-74829137D01*
+X173932573Y-74835681D01*
+X174082530Y-74890261D01*
+X174096707Y-74893621D01*
+X174228004Y-74908968D01*
+X174242454Y-74906498D01*
+X174246000Y-74893804D01*
+X174246000Y-74893441D01*
+X174753999Y-74893441D01*
+X174758294Y-74908069D01*
+X174770271Y-74910132D01*
+X174861435Y-74902157D01*
+X174873966Y-74899759D01*
+X175027724Y-74853727D01*
+X175041118Y-74847949D01*
+X175179308Y-74768165D01*
+X175191009Y-74759454D01*
+X175307077Y-74649950D01*
+X175316452Y-74638776D01*
+X175404137Y-74505458D01*
+X175410681Y-74492427D01*
+X175465261Y-74342470D01*
+X175468621Y-74328292D01*
+X175487574Y-74166144D01*
+X175488000Y-74158831D01*
+X175488000Y-74147115D01*
+X175483525Y-74131876D01*
+X175482135Y-74130671D01*
+X175474452Y-74129000D01*
+X174772115Y-74128999D01*
+X174756876Y-74133474D01*
+X174755671Y-74134864D01*
+X174754000Y-74142547D01*
+X174753999Y-74893441D01*
+X174246000Y-74893441D01*
+X174246001Y-74147115D01*
+X174241526Y-74131876D01*
+X174240136Y-74130671D01*
+X174232453Y-74129000D01*
+X173530115Y-74128999D01*
+X173514876Y-74133474D01*
+X173513671Y-74134864D01*
+X173512000Y-74142547D01*
+X170906799Y-74142547D01*
+X170940600Y-74103388D01*
+X171026951Y-74003350D01*
+X171034503Y-73990057D01*
+X171121465Y-73836974D01*
+X171121466Y-73836973D01*
+X171124508Y-73831617D01*
+X171133928Y-73803301D01*
+X171184904Y-73650059D01*
+X171186851Y-73644207D01*
+X171211605Y-73448257D01*
+X171211875Y-73428946D01*
+X171211951Y-73423522D01*
+X171211951Y-73423518D01*
+X171212000Y-73420000D01*
+X171192727Y-73223435D01*
+X171135641Y-73034357D01*
+X171107083Y-72980647D01*
+X171045810Y-72865410D01*
+X171045808Y-72865407D01*
+X171042916Y-72859968D01*
+X170918086Y-72706910D01*
+X170765903Y-72581014D01*
+X170592166Y-72487074D01*
+X170403491Y-72428670D01*
+X170397366Y-72428026D01*
+X170397365Y-72428026D01*
+X170213193Y-72408669D01*
+X170213192Y-72408669D01*
+X170207065Y-72408025D01*
+X170086743Y-72418975D01*
+X170016511Y-72425366D01*
+X170016510Y-72425366D01*
+X170010370Y-72425925D01*
+X169986576Y-72432928D01*
+X169826809Y-72479950D01*
+X169826806Y-72479951D01*
+X169820898Y-72481690D01*
+X169645866Y-72573195D01*
+X169641068Y-72577053D01*
+X169641066Y-72577054D01*
+X169636141Y-72581014D01*
+X169491941Y-72696954D01*
+X169487982Y-72701672D01*
+X169487981Y-72701673D01*
+X169387713Y-72821167D01*
+X169364985Y-72848253D01*
+X169362022Y-72853642D01*
+X169362019Y-72853647D01*
+X169293801Y-72977737D01*
+X169269835Y-73021331D01*
+X169210115Y-73209593D01*
+X169209429Y-73215710D01*
+X169209428Y-73215714D01*
+X169197154Y-73325139D01*
+X169188099Y-73405870D01*
+X167657500Y-73405870D01*
+X167657500Y-72365324D01*
+X167677502Y-72297203D01*
+X167728562Y-72251932D01*
+X167890554Y-72173447D01*
+X167895359Y-72171119D01*
+X167968295Y-72118998D01*
+X168078393Y-72040321D01*
+X168078395Y-72040319D01*
+X168082739Y-72037215D01*
+X168194096Y-71924882D01*
+X168241126Y-71877440D01*
+X168241127Y-71877439D01*
+X168244879Y-71873654D01*
+X168365570Y-71701609D01*
+X168374078Y-71689481D01*
+X168374079Y-71689479D01*
+X168377142Y-71685113D01*
+X168475748Y-71476982D01*
+X168495814Y-71405356D01*
+X168511458Y-71349511D01*
+X168549095Y-71289311D01*
+X168632787Y-71257500D01*
+X169325054Y-71257500D01*
+X169349636Y-71259921D01*
+X169350430Y-71260079D01*
+X169350432Y-71260079D01*
+X169362602Y-71262500D01*
+X169374772Y-71260079D01*
+X169375567Y-71259921D01*
+X169387737Y-71257500D01*
+X169387738Y-71257500D01*
+X169463056Y-71242518D01*
+X169482007Y-71229856D01*
+X169537903Y-71192508D01*
+X169548218Y-71185616D01*
+X169555110Y-71175301D01*
+X169555112Y-71175299D01*
+X169555569Y-71174615D01*
+X169571239Y-71155522D01*
+X170955525Y-69771237D01*
+X170974618Y-69755567D01*
+X170975299Y-69755112D01*
+X170975301Y-69755110D01*
+X170985616Y-69748218D01*
+X171026421Y-69687148D01*
+X171042518Y-69663057D01*
+X171062500Y-69562602D01*
+X171059921Y-69549637D01*
+X171057500Y-69525055D01*
+X171057500Y-69077241D01*
+X171077502Y-69009120D01*
+X171131158Y-68962627D01*
+X171208081Y-68953662D01*
+X171243931Y-68960793D01*
+X171243933Y-68960793D01*
+X171250000Y-68962000D01*
+X171985879Y-68962000D01*
+X172054000Y-68982002D01*
+X172100493Y-69035658D01*
+X172110597Y-69105932D01*
+X172081103Y-69170512D01*
+X172031341Y-69205512D01*
+X171920850Y-69248258D01*
+X171812852Y-69333397D01*
+X171734662Y-69446528D01*
+X171731823Y-69455505D01*
+X171731822Y-69455507D01*
+X171704162Y-69542967D01*
+X171693194Y-69577649D01*
+X171692114Y-69715167D01*
+X171694813Y-69724191D01*
+X171694813Y-69724193D01*
+X171718859Y-69804596D01*
+X171731517Y-69846923D01*
+X171756527Y-69884352D01*
+X171802090Y-69952541D01*
+X171807921Y-69961268D01*
+X171815222Y-69967212D01*
+X171815223Y-69967213D01*
+X171907262Y-70042145D01*
+X171914568Y-70048093D01*
+X171923299Y-70051629D01*
+X171923300Y-70051630D01*
+X171963921Y-70068083D01*
+X172042032Y-70099721D01*
+X172051417Y-70100534D01*
+X172051418Y-70100534D01*
+X172179041Y-70111587D01*
+X172178694Y-70115598D01*
+X172263472Y-70147631D01*
+X172998393Y-70882553D01*
+X173730595Y-71614755D01*
+X173767500Y-71703850D01*
+X173767501Y-72087448D01*
+X173765080Y-72112030D01*
+X173762500Y-72125000D01*
+X173782482Y-72225454D01*
+X173789376Y-72235772D01*
+X173839384Y-72310616D01*
+X173834398Y-72313948D01*
+X173863000Y-72382999D01*
+X173863000Y-72412500D01*
+X173882553Y-72535952D01*
+X173939298Y-72647320D01*
+X173991900Y-72699922D01*
+X174025926Y-72762234D01*
+X174020861Y-72833049D01*
+X173962788Y-72894129D01*
+X173965242Y-72898379D01*
+X173820692Y-72981835D01*
+X173808991Y-72990546D01*
+X173692923Y-73100050D01*
+X173683548Y-73111224D01*
+X173595863Y-73244542D01*
+X173589319Y-73257573D01*
+X173534739Y-73407530D01*
+X173531379Y-73421708D01*
+X173512426Y-73583856D01*
+X173512000Y-73591169D01*
+X173512000Y-73602885D01*
+X173516475Y-73618124D01*
+X173517865Y-73619329D01*
+X173525548Y-73621000D01*
+X174245998Y-73621001D01*
+X174246004Y-73621000D01*
+X175469885Y-73621001D01*
+X175485124Y-73616526D01*
+X175486329Y-73615136D01*
+X175488000Y-73607453D01*
+X175488000Y-73590240D01*
+X175487762Y-73584776D01*
+X175477157Y-73463565D01*
+X175474758Y-73451033D01*
+X175428727Y-73297276D01*
+X175422949Y-73283882D01*
+X175343165Y-73145692D01*
+X175334454Y-73133991D01*
+X175224950Y-73017923D01*
+X175213776Y-73008548D01*
+X175080458Y-72920863D01*
+X175067427Y-72914319D01*
+X175052597Y-72908921D01*
+X174995426Y-72866827D01*
+X174970088Y-72800505D01*
+X174984629Y-72731014D01*
+X175006597Y-72701425D01*
+X175060702Y-72647320D01*
+X175117447Y-72535952D01*
+X175137000Y-72412500D01*
+X175137000Y-72211583D01*
+X175173905Y-72122488D01*
+X175594784Y-71701609D01*
+X175657096Y-71667583D01*
+X175707807Y-71678300D01*
+X175707885Y-71676985D01*
+X175742230Y-71679031D01*
+X175755558Y-71671650D01*
+X176637243Y-70789965D01*
+X176699555Y-70755939D01*
+X176770370Y-70761004D01*
+X176815433Y-70789965D01*
+X176849375Y-70823907D01*
+X176883401Y-70886219D01*
+X176878336Y-70957034D01*
+X176849375Y-71002097D01*
+X175967961Y-71883511D01*
+X175961080Y-71896112D01*
+X175969217Y-71907118D01*
+X176010362Y-71938689D01*
+X176024543Y-71946876D01*
+X176151096Y-71999296D01*
+X176166916Y-72003535D01*
+X176302725Y-72021415D01*
+X176319101Y-72021415D01*
+X176454910Y-72003535D01*
+X176478706Y-71997159D01*
+X176479594Y-72000472D01*
+X176563993Y-72000472D01*
+X176573770Y-72007005D01*
+X176585941Y-72009426D01*
+X176590641Y-72010361D01*
+X176653551Y-72043268D01*
+X176689639Y-72109359D01*
+X176690353Y-72112946D01*
+X176692995Y-72126230D01*
+X176699887Y-72136545D01*
+X176699888Y-72136547D01*
+X176740931Y-72197972D01*
+X176740934Y-72197976D01*
+X176744370Y-72203118D01*
+X176850436Y-72309184D01*
+X176855578Y-72312620D01*
+X176855582Y-72312623D01*
+X176917007Y-72353666D01*
+X176917009Y-72353667D01*
+X176927324Y-72360559D01*
+X176939491Y-72362979D01*
+X176939493Y-72362980D01*
+X176944195Y-72363915D01*
+X177007105Y-72396823D01*
+X177043192Y-72462912D01*
+X177046548Y-72479783D01*
+X177053440Y-72490098D01*
+X177053441Y-72490100D01*
+X177094484Y-72551525D01*
+X177094487Y-72551529D01*
+X177097923Y-72556671D01*
+X177203989Y-72662737D01*
+X177209131Y-72666173D01*
+X177209135Y-72666176D01*
+X177270560Y-72707219D01*
+X177270562Y-72707220D01*
+X177280877Y-72714112D01*
+X177293044Y-72716532D01*
+X177293046Y-72716533D01*
+X177297748Y-72717468D01*
+X177360658Y-72750376D01*
+X177396745Y-72816465D01*
+X177400101Y-72833336D01*
+X177406993Y-72843651D01*
+X177406994Y-72843653D01*
+X177448037Y-72905078D01*
+X177448040Y-72905082D01*
+X177451476Y-72910224D01*
+X177557542Y-73016290D01*
+X177562689Y-73019729D01*
+X177562690Y-73019730D01*
+X177571889Y-73025877D01*
+X177617415Y-73080355D01*
+X177626261Y-73150799D01*
+X177590979Y-73219735D01*
+X175944476Y-74866239D01*
+X175925383Y-74881909D01*
+X175924876Y-74882248D01*
+X175914385Y-74889258D01*
+X175907491Y-74899576D01*
+X175907489Y-74899578D01*
+X175880229Y-74940378D01*
+X175879429Y-74941575D01*
+X175857483Y-74974420D01*
+X175837500Y-75074874D01*
+X175839921Y-75087044D01*
+X175839921Y-75087046D01*
+X175840080Y-75087845D01*
+X175842501Y-75112427D01*
+X175842500Y-79159493D01*
+X175822498Y-79227614D01*
+X175794507Y-79258442D01*
+X175762852Y-79283397D01*
+X175684662Y-79396528D01*
+X175681823Y-79405505D01*
+X175681822Y-79405507D01*
+X175660377Y-79473316D01*
+X175643194Y-79527649D01*
+X175642114Y-79665167D01*
+X175681517Y-79796923D01*
+X175709890Y-79839385D01*
+X175747372Y-79895480D01*
+X175757921Y-79911268D01*
+X175765222Y-79917212D01*
+X175765223Y-79917213D01*
+X175796283Y-79942500D01*
+X175864568Y-79998093D01*
+X175992032Y-80049721D01*
+X176001417Y-80050534D01*
+X176001418Y-80050534D01*
+X176119661Y-80060775D01*
+X176119664Y-80060775D01*
+X176129041Y-80061587D01*
+X176138242Y-80059606D01*
+X176138244Y-80059606D01*
+X176254278Y-80034625D01*
+X176254281Y-80034624D01*
+X176263482Y-80032643D01*
+X176271694Y-80028044D01*
+X176271697Y-80028043D01*
+X176361285Y-79977871D01*
+X176383470Y-79965447D01*
+X176478394Y-79865940D01*
+X176528201Y-79766261D01*
+X176535653Y-79751348D01*
+X176535653Y-79751347D01*
+X176539864Y-79742920D01*
+X176562443Y-79607265D01*
+X176562500Y-79600000D01*
+X176542054Y-79464006D01*
+X176482525Y-79340036D01*
+X176390974Y-79240998D01*
+X176357500Y-79155470D01*
+X176357500Y-75233723D01*
+X176394405Y-75144628D01*
+X177627406Y-73911628D01*
+X177689718Y-73877602D01*
+X177760534Y-73882667D01*
+X177817369Y-73925214D01*
+X177842501Y-74000723D01*
+X177842501Y-74062452D01*
+X177840079Y-74087036D01*
+X177837500Y-74100000D01*
+X177839921Y-74112170D01*
+X177839921Y-74112172D01*
+X177844159Y-74133474D01*
+X177849933Y-74162500D01*
+X177857483Y-74200454D01*
+X177914385Y-74285616D01*
+X177924700Y-74292508D01*
+X177924702Y-74292510D01*
+X177925383Y-74292965D01*
+X177944476Y-74308635D01*
+X178991365Y-75355525D01*
+X179007035Y-75374618D01*
+X179007490Y-75375299D01*
+X179007492Y-75375301D01*
+X179014384Y-75385616D01*
+X179099546Y-75442518D01*
+X179111717Y-75444939D01*
+X179174864Y-75457500D01*
+X179174865Y-75457500D01*
+X179200000Y-75462500D01*
+X179212170Y-75460079D01*
+X179212172Y-75460079D01*
+X179212966Y-75459921D01*
+X179237548Y-75457500D01*
+X185967224Y-75457500D01*
+X185992031Y-75460009D01*
+X185992250Y-75460010D01*
+X186004410Y-75462496D01*
+X186026673Y-75458190D01*
+X186030189Y-75457510D01*
+X186030258Y-75457500D01*
+X186030936Y-75457500D01*
+X186055126Y-75452689D01*
+X186055711Y-75452574D01*
+X186074845Y-75448873D01*
+X186104968Y-75443046D01*
+X186105558Y-75442657D01*
+X186106254Y-75442518D01*
+X186148165Y-75414515D01*
+X186148719Y-75414147D01*
+X186167469Y-75401762D01*
+X186169045Y-75400721D01*
+X186169525Y-75400246D01*
+X186169571Y-75400212D01*
+X186180728Y-75392757D01*
+X186191416Y-75385616D01*
+X186198310Y-75375298D01*
+X186198469Y-75375139D01*
+X186214338Y-75355906D01*
+X187821812Y-73765372D01*
+X187840432Y-73750175D01*
+X187852267Y-73742267D01*
+X187859162Y-73731948D01*
+X187859320Y-73731790D01*
+X187875187Y-73712561D01*
+X188185144Y-73405870D01*
+X189188099Y-73405870D01*
+X189188615Y-73412014D01*
+X189202160Y-73573315D01*
+X189204626Y-73602685D01*
+X189211848Y-73627871D01*
+X189251225Y-73765196D01*
+X189259066Y-73792542D01*
+X189261885Y-73798027D01*
+X189346529Y-73962727D01*
+X189346532Y-73962732D01*
+X189349347Y-73968209D01*
+X189353176Y-73973040D01*
+X189368571Y-73992464D01*
+X189472028Y-74122994D01*
+X189476722Y-74126988D01*
+X189476722Y-74126989D01*
+X189592583Y-74225594D01*
+X189622438Y-74251003D01*
+X189627816Y-74254009D01*
+X189627818Y-74254010D01*
+X189644176Y-74263152D01*
+X189794847Y-74347359D01*
+X189982688Y-74408392D01*
+X190178806Y-74431778D01*
+X190184941Y-74431306D01*
+X190184943Y-74431306D01*
+X190369589Y-74417098D01*
+X190369592Y-74417097D01*
+X190375732Y-74416625D01*
+X190565964Y-74363512D01*
+X190742257Y-74274460D01*
+X190777392Y-74247010D01*
+X190893039Y-74156656D01*
+X190897895Y-74152862D01*
+X190903527Y-74146338D01*
+X190940600Y-74103388D01*
+X191026951Y-74003350D01*
+X191034503Y-73990057D01*
+X191121465Y-73836974D01*
+X191121466Y-73836973D01*
+X191124508Y-73831617D01*
+X191133928Y-73803301D01*
+X191184904Y-73650059D01*
+X191186851Y-73644207D01*
+X191211605Y-73448257D01*
+X191211875Y-73428946D01*
+X191211951Y-73423522D01*
+X191211951Y-73423518D01*
+X191212000Y-73420000D01*
+X191192727Y-73223435D01*
+X191135641Y-73034357D01*
+X191107083Y-72980647D01*
+X191045810Y-72865410D01*
+X191045808Y-72865407D01*
+X191042916Y-72859968D01*
+X190918086Y-72706910D01*
+X190765903Y-72581014D01*
+X190592166Y-72487074D01*
+X190403491Y-72428670D01*
+X190397366Y-72428026D01*
+X190397365Y-72428026D01*
+X190213193Y-72408669D01*
+X190213192Y-72408669D01*
+X190207065Y-72408025D01*
+X190086743Y-72418975D01*
+X190016511Y-72425366D01*
+X190016510Y-72425366D01*
+X190010370Y-72425925D01*
+X189986576Y-72432928D01*
+X189826809Y-72479950D01*
+X189826806Y-72479951D01*
+X189820898Y-72481690D01*
+X189645866Y-72573195D01*
+X189641068Y-72577053D01*
+X189641066Y-72577054D01*
+X189636141Y-72581014D01*
+X189491941Y-72696954D01*
+X189487982Y-72701672D01*
+X189487981Y-72701673D01*
+X189387713Y-72821167D01*
+X189364985Y-72848253D01*
+X189362022Y-72853642D01*
+X189362019Y-72853647D01*
+X189293801Y-72977737D01*
+X189269835Y-73021331D01*
+X189210115Y-73209593D01*
+X189209429Y-73215710D01*
+X189209428Y-73215714D01*
+X189197154Y-73325139D01*
+X189188099Y-73405870D01*
+X188185144Y-73405870D01*
+X190351260Y-71262581D01*
+X190366506Y-71250489D01*
+X190369808Y-71247368D01*
+X190380320Y-71240764D01*
+X190396510Y-71217950D01*
+X190396967Y-71217355D01*
+X190398980Y-71215363D01*
+X190410893Y-71197737D01*
+X190412485Y-71195439D01*
+X190433328Y-71166068D01*
+X190439595Y-71157237D01*
+X190440273Y-71154266D01*
+X190441982Y-71151738D01*
+X190444785Y-71138026D01*
+X190451369Y-71105822D01*
+X190451978Y-71103010D01*
+X190459985Y-71067944D01*
+X190462396Y-71057385D01*
+X190461885Y-71054378D01*
+X190462496Y-71051391D01*
+X190457057Y-71023269D01*
+X190453610Y-71005450D01*
+X190453095Y-71002617D01*
+X190447059Y-70967074D01*
+X190445248Y-70956409D01*
+X190443625Y-70953825D01*
+X190443046Y-70950832D01*
+X190435889Y-70939997D01*
+X190435376Y-70939220D01*
+X190434259Y-70935503D01*
+X190433861Y-70934727D01*
+X190431520Y-70928990D01*
+X190432217Y-70928706D01*
+X190414510Y-70869773D01*
+X190414510Y-70024292D01*
+X190434512Y-69956171D01*
+X190488168Y-69909678D01*
+X190587812Y-69907508D01*
+X190617308Y-69919455D01*
+X190692032Y-69949721D01*
+X190701417Y-69950534D01*
+X190701418Y-69950534D01*
+X190819661Y-69960775D01*
+X190819664Y-69960775D01*
+X190829041Y-69961587D01*
+X190838242Y-69959606D01*
+X190838244Y-69959606D01*
+X190954278Y-69934625D01*
+X190954281Y-69934624D01*
+X190963482Y-69932643D01*
+X190971694Y-69928044D01*
+X190971697Y-69928043D01*
+X191070317Y-69872813D01*
+X191083470Y-69865447D01*
+X191178394Y-69765940D01*
+X191210646Y-69701395D01*
+X191235653Y-69651348D01*
+X191235653Y-69651347D01*
+X191239864Y-69642920D01*
+X191262443Y-69507265D01*
+X191262500Y-69500000D01*
+X191261763Y-69495096D01*
+X191259100Y-69477381D01*
+X191268753Y-69407044D01*
+X191294605Y-69369554D01*
+X191580797Y-69083363D01*
+X191869756Y-68794404D01*
+X191958851Y-68757500D01*
+X193462000Y-68757500D01*
+X193530121Y-68777502D01*
+X193576614Y-68831158D01*
+X193588000Y-68883500D01*
+X193588000Y-68900000D01*
+X193591017Y-68915167D01*
+X193597567Y-68948093D01*
+X193600332Y-68961995D01*
+X193607224Y-68972309D01*
+X193607225Y-68972312D01*
+X193628117Y-69003578D01*
+X193635449Y-69014551D01*
+X193645765Y-69021444D01*
+X193677688Y-69042775D01*
+X193677691Y-69042776D01*
+X193688005Y-69049668D01*
+X193700172Y-69052088D01*
+X193700174Y-69052089D01*
+X193720777Y-69056187D01*
+X193750000Y-69062000D01*
+X194650000Y-69062000D01*
+X194679223Y-69056187D01*
+X194699826Y-69052089D01*
+X194699828Y-69052088D01*
+X194711995Y-69049668D01*
+X194722309Y-69042776D01*
+X194722312Y-69042775D01*
+X194754235Y-69021444D01*
+X194764551Y-69014551D01*
+X194771883Y-69003578D01*
+X194792775Y-68972312D01*
+X194792776Y-68972309D01*
+X194799668Y-68961995D01*
+X194802434Y-68948093D01*
+X194808983Y-68915167D01*
+X194812000Y-68900000D01*
+X194812000Y-68100000D01*
+X194807567Y-68077713D01*
+X194802089Y-68050174D01*
+X194802088Y-68050172D01*
+X194799668Y-68038005D01*
+X194792776Y-68027691D01*
+X194792775Y-68027688D01*
+X194771444Y-67995765D01*
+X194764551Y-67985449D01*
+X194744055Y-67971754D01*
+X194722312Y-67957225D01*
+X194722309Y-67957224D01*
+X194711995Y-67950332D01*
+X194699828Y-67947912D01*
+X194699826Y-67947911D01*
+X194669568Y-67941892D01*
+X194650000Y-67938000D01*
+X193750000Y-67938000D01*
+X193730432Y-67941892D01*
+X193700174Y-67947911D01*
+X193700172Y-67947912D01*
+X193688005Y-67950332D01*
+X193677691Y-67957224D01*
+X193677688Y-67957225D01*
+X193655945Y-67971754D01*
+X193635449Y-67985449D01*
+X193628556Y-67995765D01*
+X193607225Y-68027688D01*
+X193607224Y-68027691D01*
+X193600332Y-68038005D01*
+X193597912Y-68050172D01*
+X193597911Y-68050174D01*
+X193592433Y-68077713D01*
+X193588000Y-68100000D01*
+X193588000Y-68116500D01*
+X193567998Y-68184621D01*
+X193514342Y-68231114D01*
+X193462000Y-68242500D01*
+X191837547Y-68242500D01*
+X191812965Y-68240079D01*
+X191812171Y-68239921D01*
+X191800000Y-68237500D01*
+X191699545Y-68257482D01*
+X191663913Y-68281291D01*
+X191663913Y-68281290D01*
+X191663910Y-68281293D01*
+X191624704Y-68307488D01*
+X191624702Y-68307490D01*
+X191614384Y-68314384D01*
+X191607488Y-68324705D01*
+X191607037Y-68325379D01*
+X191591368Y-68344472D01*
+X191242917Y-68692924D01*
+X190932263Y-69003578D01*
+X190869950Y-69037603D01*
+X190839502Y-69039671D01*
+X190836287Y-69038926D01*
+X190826892Y-69039591D01*
+X190826891Y-69039591D01*
+X190708511Y-69047972D01*
+X190708509Y-69047973D01*
+X190699109Y-69048638D01*
+X190585971Y-69092408D01*
+X190515224Y-69098333D01*
+X190452503Y-69065067D01*
+X190414510Y-68974896D01*
+X190414510Y-65994558D01*
+X190416931Y-65969976D01*
+X190417089Y-65969182D01*
+X190417089Y-65969180D01*
+X190419510Y-65957010D01*
+X190414510Y-65931874D01*
+X190399528Y-65856556D01*
+X190342626Y-65771394D01*
+X190332311Y-65764502D01*
+X190332309Y-65764500D01*
+X190331628Y-65764045D01*
+X190312535Y-65748375D01*
+X189739854Y-65175694D01*
+X189164405Y-64600246D01*
+X189127500Y-64511151D01*
+X189127500Y-64210130D01*
+X189147502Y-64142009D01*
+X189201158Y-64095516D01*
+X189271432Y-64085412D01*
+X189352245Y-64131866D01*
+X189468195Y-64278159D01*
+X189468199Y-64278163D01*
+X189472028Y-64282994D01*
+X189476722Y-64286988D01*
+X189476722Y-64286989D01*
+X189603018Y-64394475D01*
+X189622438Y-64411003D01*
+X189627816Y-64414009D01*
+X189627818Y-64414010D01*
+X189662507Y-64433397D01*
+X189794847Y-64507359D01*
+X189982688Y-64568392D01*
+X190178806Y-64591778D01*
+X190184941Y-64591306D01*
+X190184943Y-64591306D01*
+X190369589Y-64577098D01*
+X190369592Y-64577097D01*
+X190375732Y-64576625D01*
+X190383759Y-64574384D01*
+X190412039Y-64566488D01*
+X190565964Y-64523512D01*
+X190742257Y-64434460D01*
+X190757169Y-64422810D01*
+X190893039Y-64316656D01*
+X190897895Y-64312862D01*
+X190921179Y-64285888D01*
+X190995709Y-64199544D01*
+X191026951Y-64163350D01*
+X191030070Y-64157861D01*
+X191121465Y-63996974D01*
+X191121466Y-63996973D01*
+X191124508Y-63991617D01*
+X191126941Y-63984305D01*
+X191160474Y-63883500D01*
+X191186851Y-63804207D01*
+X191194958Y-63740036D01*
+X191211163Y-63611758D01*
+X191211163Y-63611753D01*
+X191211605Y-63608257D01*
+X191212000Y-63580000D01*
+X191192727Y-63383435D01*
+X191135641Y-63194357D01*
+X191127531Y-63179105D01*
+X191045810Y-63025410D01*
+X191045808Y-63025407D01*
+X191042916Y-63019968D01*
+X190918086Y-62866910D01*
+X190765903Y-62741014D01*
+X190592166Y-62647074D01*
+X190403491Y-62588670D01*
+X190397366Y-62588026D01*
+X190397365Y-62588026D01*
+X190213193Y-62568669D01*
+X190213192Y-62568669D01*
+X190207065Y-62568025D01*
+X190086743Y-62578975D01*
+X190016511Y-62585366D01*
+X190016510Y-62585366D01*
+X190010370Y-62585925D01*
+X190000425Y-62588852D01*
+X189826809Y-62639950D01*
+X189826806Y-62639951D01*
+X189820898Y-62641690D01*
+X189645866Y-62733195D01*
+X189641070Y-62737051D01*
+X189641061Y-62737057D01*
+X189632242Y-62744148D01*
+X189566620Y-62771243D01*
+X189496765Y-62758559D01*
+X189444858Y-62710122D01*
+X189427377Y-62641311D01*
+X189436509Y-62599448D01*
+X189442518Y-62590454D01*
+X189457500Y-62515136D01*
+X189457500Y-62515135D01*
+X189462500Y-62490001D01*
+X189460079Y-62477831D01*
+X189460079Y-62477828D01*
+X189459921Y-62477035D01*
+X189457500Y-62452453D01*
+X189457500Y-61267548D01*
+X189459921Y-61242966D01*
+X189460079Y-61242172D01*
+X189460079Y-61242170D01*
+X189462500Y-61230000D01*
+X189457348Y-61204101D01*
+X189447449Y-61154333D01*
+X189444939Y-61141717D01*
+X189442518Y-61129546D01*
+X189385616Y-61044384D01*
+X189375301Y-61037492D01*
+X189375299Y-61037490D01*
+X189374618Y-61037035D01*
+X189355525Y-61021365D01*
+X188789067Y-60454907D01*
+X188755041Y-60392595D01*
+X188760106Y-60321780D01*
+X188802653Y-60264944D01*
+X188869173Y-60240133D01*
+X188945117Y-60259075D01*
+X189004970Y-60296620D01*
+X189174705Y-60403095D01*
+X189174709Y-60403097D01*
+X189178345Y-60405378D01*
+X189283687Y-60452942D01*
+X189442475Y-60524638D01*
+X189442479Y-60524640D01*
+X189446387Y-60526404D01*
+X189450507Y-60527624D01*
+X189450506Y-60527624D01*
+X189724261Y-60608714D01*
+X189724265Y-60608715D01*
+X189728374Y-60609932D01*
+X189732608Y-60610580D01*
+X189732613Y-60610581D01*
+X189991302Y-60650166D01*
+X190019089Y-60654418D01*
+X190168859Y-60656771D01*
+X190308859Y-60658970D01*
+X190308865Y-60658970D01*
+X190313150Y-60659037D01*
+X190605118Y-60623705D01*
+X190747354Y-60586390D01*
+X190885447Y-60550162D01*
+X190885448Y-60550162D01*
+X190889590Y-60549075D01*
+X191161301Y-60436529D01*
+X191415224Y-60288148D01*
+X191646660Y-60106679D01*
+X191851327Y-59895480D01*
+X191853860Y-59892032D01*
+X191853864Y-59892027D01*
+X192022899Y-59661913D01*
+X192025437Y-59658458D01*
+X192029609Y-59650774D01*
+X192163718Y-59403775D01*
+X192163719Y-59403773D01*
+X192165768Y-59399999D01*
+X192269725Y-59124887D01*
+X192308311Y-58956410D01*
+X192334424Y-58842395D01*
+X192334425Y-58842391D01*
+X192335382Y-58838211D01*
+X192348155Y-58695101D01*
+X192359024Y-58573316D01*
+X192361526Y-58545278D01*
+X192361593Y-58538934D01*
+X192361974Y-58502485D01*
+X192362000Y-58500000D01*
+X192360844Y-58483044D01*
+X192342289Y-58210860D01*
+X192342288Y-58210854D01*
+X192341997Y-58206583D01*
+X192282357Y-57918595D01*
+X192184186Y-57641366D01*
+X192102213Y-57482547D01*
+X192051263Y-57383833D01*
+X192051263Y-57383832D01*
+X192049298Y-57380026D01*
+X192038405Y-57364526D01*
+X191906671Y-57177088D01*
+X191880190Y-57139409D01*
+X191766861Y-57017453D01*
+X191682912Y-56927113D01*
+X191682909Y-56927111D01*
+X191679991Y-56923970D01*
+X191452406Y-56737694D01*
+X191201646Y-56584028D01*
+X191197729Y-56582309D01*
+X191197726Y-56582307D01*
+X191048669Y-56516876D01*
+X190932351Y-56465816D01*
+X190928223Y-56464640D01*
+X190928220Y-56464639D01*
+X190840654Y-56439695D01*
+X190649505Y-56385245D01*
+X190645263Y-56384641D01*
+X190645257Y-56384640D01*
+X190362592Y-56344411D01*
+X190358341Y-56343806D01*
+X190203328Y-56342994D01*
+X190068533Y-56342288D01*
+X190068526Y-56342288D01*
+X190064247Y-56342266D01*
+X190060002Y-56342825D01*
+X190060000Y-56342825D01*
+X190036925Y-56345863D01*
+X189772665Y-56380654D01*
+X189488990Y-56458258D01*
+X189485042Y-56459942D01*
+X189222425Y-56571958D01*
+X189222421Y-56571960D01*
+X189218473Y-56573644D01*
+X189103030Y-56642735D01*
+X188969799Y-56722471D01*
+X188969795Y-56722474D01*
+X188966117Y-56724675D01*
+X188962774Y-56727353D01*
+X188962770Y-56727356D01*
+X188944660Y-56741865D01*
+X188911655Y-56768307D01*
+X188845987Y-56795289D01*
+X188776154Y-56782484D01*
+X188724330Y-56733958D01*
+X188706969Y-56665117D01*
+X188711870Y-56642735D01*
+X188710508Y-56642464D01*
+X188725490Y-56567146D01*
+X188725490Y-56567144D01*
+X188730490Y-56542011D01*
+X188728069Y-56529841D01*
+X188728069Y-56529838D01*
+X188727911Y-56529045D01*
+X188725490Y-56504463D01*
+X188725490Y-54769242D01*
+X191480490Y-54769242D01*
+X191493476Y-54854101D01*
+X191512463Y-54978179D01*
+X191514429Y-54991029D01*
+X191536766Y-55056270D01*
+X191562515Y-55131476D01*
+X191587106Y-55203302D01*
+X191696224Y-55399349D01*
+X191699776Y-55403689D01*
+X191699779Y-55403693D01*
+X191790312Y-55514302D01*
+X191838335Y-55572975D01*
+X192008947Y-55718691D01*
+X192080072Y-55760253D01*
+X192128794Y-55811891D01*
+X192142500Y-55869040D01*
+X192142500Y-56366782D01*
+X192141627Y-56381591D01*
+X192137729Y-56414527D01*
+X192139421Y-56423791D01*
+X192139421Y-56423792D01*
+X192148432Y-56473133D01*
+X192149082Y-56477037D01*
+X192155072Y-56516876D01*
+X192157946Y-56535993D01*
+X192161119Y-56542601D01*
+X192162436Y-56549811D01*
+X192166777Y-56558168D01*
+X192166779Y-56558174D01*
+X192189912Y-56602707D01*
+X192191675Y-56606234D01*
+X192194924Y-56613000D01*
+X192213397Y-56651472D01*
+X192213399Y-56651475D01*
+X192217475Y-56659963D01*
+X192222380Y-56665269D01*
+X192222518Y-56665474D01*
+X192225830Y-56671850D01*
+X192231575Y-56678576D01*
+X192270893Y-56717894D01*
+X192274323Y-56721460D01*
+X192310825Y-56760949D01*
+X192316905Y-56764480D01*
+X192322407Y-56769408D01*
+X192705597Y-57152600D01*
+X192742501Y-57241695D01*
+X192742500Y-64635846D01*
+X192722498Y-64703967D01*
+X192662782Y-64753038D01*
+X192641897Y-64761286D01*
+X192594407Y-64780041D01*
+X192397515Y-64899518D01*
+X192393485Y-64903015D01*
+X192230206Y-65044701D01*
+X192223568Y-65050461D01*
+X192194316Y-65086136D01*
+X192080924Y-65224427D01*
+X192080920Y-65224433D01*
+X192077540Y-65228555D01*
+X192074901Y-65233191D01*
+X192074899Y-65233194D01*
+X191988884Y-65384301D01*
+X191963607Y-65428706D01*
+X191885026Y-65645193D01*
+X191884077Y-65650442D01*
+X191884076Y-65650445D01*
+X191844995Y-65866570D01*
+X191844045Y-65871824D01*
+X191843174Y-65962500D01*
+X191841924Y-66092776D01*
+X191841834Y-66102121D01*
+X191842683Y-66107391D01*
+X191842683Y-66107393D01*
+X191877570Y-66323988D01*
+X191878457Y-66329498D01*
+X191880182Y-66334550D01*
+X191880182Y-66334551D01*
+X191889677Y-66362361D01*
+X191952868Y-66547453D01*
+X192062937Y-66749755D01*
+X192066235Y-66753939D01*
+X192066238Y-66753943D01*
+X192202216Y-66926430D01*
+X192202220Y-66926434D01*
+X192205519Y-66930619D01*
+X192209479Y-66934191D01*
+X192209480Y-66934192D01*
+X192244053Y-66965376D01*
+X192376536Y-67084874D01*
+X192485188Y-67153694D01*
+X192553618Y-67197037D01*
+X192571098Y-67208109D01*
+X192576025Y-67210165D01*
+X192576027Y-67210166D01*
+X192778713Y-67294743D01*
+X192783643Y-67296800D01*
+X192788847Y-67297997D01*
+X192788848Y-67297997D01*
+X193002890Y-67347216D01*
+X193002895Y-67347217D01*
+X193008093Y-67348412D01*
+X193013421Y-67348715D01*
+X193013424Y-67348715D01*
+X193171689Y-67357702D01*
+X193238029Y-67361469D01*
+X193243336Y-67360869D01*
+X193243338Y-67360869D01*
+X193366695Y-67346923D01*
+X193466879Y-67335597D01*
+X193471994Y-67334116D01*
+X193471998Y-67334115D01*
+X193573503Y-67304721D01*
+X193688097Y-67271537D01*
+X193895359Y-67171119D01*
+X194000518Y-67095971D01*
+X194078393Y-67040321D01*
+X194078395Y-67040319D01*
+X194082739Y-67037215D01*
+X194148998Y-66970375D01*
+X194241126Y-66877440D01*
+X194241127Y-66877439D01*
+X194244879Y-66873654D01*
+X194267685Y-66841144D01*
+X194374078Y-66689481D01*
+X194374079Y-66689479D01*
+X194377142Y-66685113D01*
+X194475748Y-66476982D01*
+X194477189Y-66471838D01*
+X194478479Y-66468388D01*
+X194521071Y-66411586D01*
+X194587610Y-66386828D01*
+X194656973Y-66401974D01*
+X194707135Y-66452216D01*
+X194722500Y-66512514D01*
+X194722500Y-66620591D01*
+X194722389Y-66625868D01*
+X194719997Y-66682936D01*
+X194721959Y-66691300D01*
+X194730071Y-66725888D01*
+X194732234Y-66737559D01*
+X194738221Y-66781266D01*
+X194741633Y-66789150D01*
+X194744320Y-66795359D01*
+X194751355Y-66816629D01*
+X194754862Y-66831582D01*
+X194772525Y-66863712D01*
+X194776113Y-66870238D01*
+X194781335Y-66880897D01*
+X194795446Y-66913506D01*
+X194795448Y-66913510D01*
+X194798858Y-66921389D01*
+X194808524Y-66933325D01*
+X194821015Y-66951916D01*
+X194828415Y-66965376D01*
+X194837641Y-66976064D01*
+X194861836Y-67000259D01*
+X194870662Y-67010061D01*
+X194885639Y-67028556D01*
+X194894942Y-67040045D01*
+X194909410Y-67050327D01*
+X194925509Y-67063932D01*
+X195551096Y-67689520D01*
+X195588000Y-67778615D01*
+X195588000Y-67950000D01*
+X195591057Y-67965366D01*
+X195597104Y-67995766D01*
+X195600332Y-68011995D01*
+X195607224Y-68022309D01*
+X195607225Y-68022312D01*
+X195628556Y-68054235D01*
+X195635449Y-68064551D01*
+X195645765Y-68071444D01*
+X195677688Y-68092775D01*
+X195677691Y-68092776D01*
+X195688005Y-68099668D01*
+X195700172Y-68102088D01*
+X195700174Y-68102089D01*
+X195720777Y-68106187D01*
+X195750000Y-68112000D01*
+X196215556Y-68112000D01*
+X196217668Y-68112222D01*
+X196218582Y-68112067D01*
+X196218981Y-68112171D01*
+X196218981Y-68112000D01*
+X196650000Y-68112000D01*
+X196679223Y-68106187D01*
+X196699826Y-68102089D01*
+X196699828Y-68102088D01*
+X196711995Y-68099668D01*
+X196722309Y-68092776D01*
+X196722312Y-68092775D01*
+X196754235Y-68071444D01*
+X196764551Y-68064551D01*
+X196771444Y-68054235D01*
+X196792775Y-68022312D01*
+X196792776Y-68022309D01*
+X196799668Y-68011995D01*
+X196802897Y-67995766D01*
+X196808943Y-67965366D01*
+X196812000Y-67950000D01*
+X196812000Y-67150000D01*
+X196803328Y-67106405D01*
+X196802089Y-67100174D01*
+X196802088Y-67100172D01*
+X196799668Y-67088005D01*
+X196792776Y-67077691D01*
+X196792775Y-67077688D01*
+X196771444Y-67045765D01*
+X196764551Y-67035449D01*
+X196746393Y-67023316D01*
+X196722312Y-67007225D01*
+X196722309Y-67007224D01*
+X196711995Y-67000332D01*
+X196699828Y-66997912D01*
+X196699826Y-66997911D01*
+X196669568Y-66991892D01*
+X196650000Y-66988000D01*
+X196478615Y-66988000D01*
+X196389520Y-66951096D01*
+X195874405Y-66435982D01*
+X195837500Y-66346886D01*
+X195837500Y-62242705D01*
+X195857502Y-62174584D01*
+X195898793Y-62134589D01*
+X195960999Y-62097359D01*
+X196021785Y-62060979D01*
+X196190861Y-61913485D01*
+X196221278Y-61875518D01*
+X196268167Y-61816992D01*
+X196326369Y-61776335D01*
+X196397313Y-61773599D01*
+X196458474Y-61809652D01*
+X196492501Y-61895773D01*
+X196492500Y-63321971D01*
+X196492500Y-64740591D01*
+X196492389Y-64745868D01*
+X196489997Y-64802936D01*
+X196491959Y-64811300D01*
+X196500071Y-64845888D01*
+X196502234Y-64857559D01*
+X196503268Y-64865104D01*
+X196508221Y-64901266D01*
+X196514243Y-64915180D01*
+X196514320Y-64915359D01*
+X196521355Y-64936629D01*
+X196524862Y-64951582D01*
+X196546050Y-64990123D01*
+X196546113Y-64990238D01*
+X196551333Y-65000895D01*
+X196565444Y-65033502D01*
+X196565447Y-65033507D01*
+X196568858Y-65041389D01*
+X196578524Y-65053325D01*
+X196591015Y-65071916D01*
+X196598415Y-65085376D01*
+X196607641Y-65096064D01*
+X196631836Y-65120259D01*
+X196640661Y-65130060D01*
+X196647870Y-65138962D01*
+X196657610Y-65150990D01*
+X196664942Y-65160045D01*
+X196671947Y-65165023D01*
+X196671950Y-65165026D01*
+X196679406Y-65170324D01*
+X196695512Y-65183935D01*
+X198611209Y-67099632D01*
+X198645235Y-67161944D01*
+X198646563Y-67208437D01*
+X198643808Y-67225832D01*
+X198638775Y-67257610D01*
+X198638000Y-67262500D01*
+X198638000Y-67737500D01*
+X198649816Y-67812100D01*
+X198655732Y-67849453D01*
+X198657553Y-67860952D01*
+X198714298Y-67972320D01*
+X198730596Y-67988618D01*
+X198767501Y-68077713D01*
+X198767500Y-68922288D01*
+X198730595Y-69011383D01*
+X198714298Y-69027680D01*
+X198657553Y-69139048D01*
+X198656002Y-69148838D01*
+X198656002Y-69148839D01*
+X198652000Y-69174107D01*
+X198638000Y-69262500D01*
+X198638000Y-69737500D01*
+X198649020Y-69807077D01*
+X198654710Y-69843000D01*
+X198657553Y-69860952D01*
+X198714298Y-69972320D01*
+X198738110Y-69996132D01*
+X198771686Y-70056457D01*
+X198775071Y-70070890D01*
+X198777234Y-70082559D01*
+X198783221Y-70126266D01*
+X198789242Y-70140178D01*
+X198789320Y-70140359D01*
+X198796355Y-70161628D01*
+X198799862Y-70176582D01*
+X198820309Y-70213776D01*
+X198821113Y-70215238D01*
+X198826335Y-70225897D01*
+X198840446Y-70258506D01*
+X198840448Y-70258510D01*
+X198843858Y-70266389D01*
+X198853524Y-70278325D01*
+X198866015Y-70296916D01*
+X198873415Y-70310376D01*
+X198882641Y-70321064D01*
+X198906836Y-70345259D01*
+X198915661Y-70355060D01*
+X198939942Y-70385045D01*
+X198946947Y-70390023D01*
+X198946950Y-70390026D01*
+X198954406Y-70395324D01*
+X198970512Y-70408935D01*
+X201295305Y-72733729D01*
+X201329331Y-72796041D01*
+X201324266Y-72866857D01*
+X201281719Y-72923692D01*
+X201215199Y-72948503D01*
+X201170213Y-72943573D01*
+X201005363Y-72894429D01*
+X200997242Y-72892584D01*
+X200708567Y-72846863D01*
+X200701592Y-72846155D01*
+X200611523Y-72842064D01*
+X200608690Y-72842000D01*
+X200272115Y-72842000D01*
+X200256876Y-72846475D01*
+X200255671Y-72847865D01*
+X200254000Y-72855548D01*
+X200253999Y-74827885D01*
+X200258474Y-74843124D01*
+X200259864Y-74844329D01*
+X200267547Y-74846000D01*
+X202726313Y-74846001D01*
+X202740941Y-74841706D01*
+X202743004Y-74829729D01*
+X202736725Y-74757951D01*
+X202735451Y-74749720D01*
+X202671840Y-74465140D01*
+X202669484Y-74457136D01*
+X202580028Y-74214005D01*
+X202575278Y-74143168D01*
+X202609579Y-74081007D01*
+X202672042Y-74047259D01*
+X202715651Y-74047936D01*
+X202715827Y-74046262D01*
+X202728461Y-74047590D01*
+X202731096Y-74047867D01*
+X202753075Y-74052180D01*
+X202767828Y-74056466D01*
+X202774410Y-74056949D01*
+X202774414Y-74056950D01*
+X202779601Y-74057331D01*
+X202779614Y-74057331D01*
+X202781909Y-74057500D01*
+X202816137Y-74057500D01*
+X202829307Y-74058190D01*
+X202867668Y-74062222D01*
+X202885162Y-74059263D01*
+X202906164Y-74057500D01*
+X207891563Y-74057500D01*
+X207896840Y-74057611D01*
+X207953908Y-74060003D01*
+X207996861Y-74049929D01*
+X208008521Y-74047768D01*
+X208028054Y-74045092D01*
+X208043729Y-74042945D01*
+X208043730Y-74042945D01*
+X208052239Y-74041779D01*
+X208066340Y-74035677D01*
+X208087602Y-74028645D01*
+X208094189Y-74027100D01*
+X208102554Y-74025138D01*
+X208141211Y-74003886D01*
+X208151869Y-73998665D01*
+X208184482Y-73984552D01*
+X208192362Y-73981142D01*
+X208204301Y-73971475D01*
+X208222888Y-73958985D01*
+X208230559Y-73954768D01*
+X208230562Y-73954766D01*
+X208236348Y-73951585D01*
+X208247036Y-73942359D01*
+X208271225Y-73918170D01*
+X208281026Y-73909344D01*
+X208304341Y-73890464D01*
+X208311017Y-73885058D01*
+X208321296Y-73870594D01*
+X208334907Y-73854488D01*
+X208984797Y-73204598D01*
+X209047108Y-73170573D01*
+X209117923Y-73175638D01*
+X209174759Y-73218185D01*
+X209199106Y-73307739D01*
+X209197154Y-73325139D01*
+X209188099Y-73405870D01*
+X209188615Y-73412014D01*
+X209202160Y-73573315D01*
+X209204626Y-73602685D01*
+X209211848Y-73627871D01*
+X209251225Y-73765196D01*
+X209259066Y-73792542D01*
+X209261885Y-73798027D01*
+X209346529Y-73962727D01*
+X209346532Y-73962732D01*
+X209349347Y-73968209D01*
+X209353176Y-73973040D01*
+X209368571Y-73992464D01*
+X209472028Y-74122994D01*
+X209476722Y-74126988D01*
+X209476722Y-74126989D01*
+X209592583Y-74225594D01*
+X209622438Y-74251003D01*
+X209627816Y-74254009D01*
+X209627818Y-74254010D01*
+X209644176Y-74263152D01*
+X209794847Y-74347359D01*
+X209982688Y-74408392D01*
+X210178806Y-74431778D01*
+X210184941Y-74431306D01*
+X210184943Y-74431306D01*
+X210369589Y-74417098D01*
+X210369592Y-74417097D01*
+X210375732Y-74416625D01*
+X210565964Y-74363512D01*
+X210742257Y-74274460D01*
+X210777392Y-74247010D01*
+X210893039Y-74156656D01*
+X210897895Y-74152862D01*
+X210903527Y-74146338D01*
+X210940600Y-74103388D01*
+X211026951Y-74003350D01*
+X211034503Y-73990057D01*
+X211121465Y-73836974D01*
+X211121466Y-73836973D01*
+X211124508Y-73831617D01*
+X211133928Y-73803301D01*
+X211184904Y-73650059D01*
+X211186851Y-73644207D01*
+X211211605Y-73448257D01*
+X211211875Y-73428946D01*
+X211211951Y-73423522D01*
+X211211951Y-73423518D01*
+X211212000Y-73420000D01*
+X211192727Y-73223435D01*
+X211135641Y-73034357D01*
+X211107083Y-72980647D01*
+X211045810Y-72865410D01*
+X211045808Y-72865407D01*
+X211042916Y-72859968D01*
+X210918086Y-72706910D01*
+X210765903Y-72581014D01*
+X210592166Y-72487074D01*
+X210403491Y-72428670D01*
+X210397366Y-72428026D01*
+X210397365Y-72428026D01*
+X210213193Y-72408669D01*
+X210213192Y-72408669D01*
+X210207065Y-72408025D01*
+X210085390Y-72419098D01*
+X210015737Y-72405353D01*
+X209964573Y-72356131D01*
+X209948142Y-72287062D01*
+X209984876Y-72204522D01*
+X210094993Y-72094405D01*
+X210184088Y-72057500D01*
+X213062000Y-72057500D01*
+X213130121Y-72077502D01*
+X213176614Y-72131158D01*
+X213188000Y-72183500D01*
+X213188000Y-72350000D01*
+X213200332Y-72411995D01*
+X213207224Y-72422309D01*
+X213207225Y-72422312D01*
+X213212691Y-72430492D01*
+X213235449Y-72464551D01*
+X213245765Y-72471444D01*
+X213277688Y-72492775D01*
+X213277691Y-72492776D01*
+X213288005Y-72499668D01*
+X213300172Y-72502088D01*
+X213300174Y-72502089D01*
+X213330432Y-72508108D01*
+X213350000Y-72512000D01*
+X215050000Y-72512000D01*
+X215069568Y-72508108D01*
+X215099826Y-72502089D01*
+X215099828Y-72502088D01*
+X215111995Y-72499668D01*
+X215122309Y-72492776D01*
+X215122312Y-72492775D01*
+X215154235Y-72471444D01*
+X215164551Y-72464551D01*
+X215187309Y-72430492D01*
+X215192775Y-72422312D01*
+X215192776Y-72422309D01*
+X215199668Y-72411995D01*
+X215212000Y-72350000D01*
+X215212000Y-70650000D01*
+X215203951Y-70609536D01*
+X215202089Y-70600174D01*
+X215202088Y-70600172D01*
+X215199668Y-70588005D01*
+X215192776Y-70577691D01*
+X215192775Y-70577688D01*
+X215171444Y-70545765D01*
+X215164551Y-70535449D01*
+X215149037Y-70525083D01*
+X215122312Y-70507225D01*
+X215122309Y-70507224D01*
+X215111995Y-70500332D01*
+X215099828Y-70497912D01*
+X215099826Y-70497911D01*
+X215069568Y-70491892D01*
+X215050000Y-70488000D01*
+X213350000Y-70488000D01*
+X213330432Y-70491892D01*
+X213300174Y-70497911D01*
+X213300172Y-70497912D01*
+X213288005Y-70500332D01*
+X213277691Y-70507224D01*
+X213277688Y-70507225D01*
+X213250963Y-70525083D01*
+X213235449Y-70535449D01*
+X213228556Y-70545765D01*
+X213207225Y-70577688D01*
+X213207224Y-70577691D01*
+X213200332Y-70588005D01*
+X213197912Y-70600172D01*
+X213197911Y-70600174D01*
+X213196049Y-70609536D01*
+X213188000Y-70650000D01*
+X213188000Y-70816500D01*
+X213167998Y-70884621D01*
+X213114342Y-70931114D01*
+X213062000Y-70942500D01*
+X209910380Y-70942500D01*
+X209905103Y-70942389D01*
+X209903046Y-70942303D01*
+X209848035Y-70939997D01*
+X209837364Y-70942500D01*
+X209805083Y-70950071D01*
+X209793412Y-70952234D01*
+X209785315Y-70953343D01*
+X209749705Y-70958221D01*
+X209739596Y-70962596D01*
+X209735612Y-70964320D01*
+X209714342Y-70971355D01*
+X209699389Y-70974862D01*
+X209668922Y-70991611D01*
+X209660733Y-70996113D01*
+X209650074Y-71001335D01*
+X209617465Y-71015446D01*
+X209617461Y-71015448D01*
+X209609582Y-71018858D01*
+X209598687Y-71027680D01*
+X209597646Y-71028523D01*
+X209579065Y-71041008D01*
+X209571384Y-71045231D01*
+X209571375Y-71045237D01*
+X209565595Y-71048415D01*
+X209554907Y-71057641D01*
+X209530713Y-71081835D01*
+X209520912Y-71090661D01*
+X209497601Y-71109537D01*
+X209497599Y-71109539D01*
+X209490927Y-71114942D01*
+X209485954Y-71121940D01*
+X209485949Y-71121945D01*
+X209480648Y-71129405D01*
+X209467037Y-71145511D01*
+X209241218Y-71371330D01*
+X209178906Y-71405356D01*
+X209108091Y-71400291D01*
+X209051255Y-71357744D01*
+X209028544Y-71257654D01*
+X209029970Y-71250489D01*
+X209042873Y-71185616D01*
+X209054507Y-71127130D01*
+X209054507Y-71127126D01*
+X209055634Y-71121462D01*
+X209055720Y-71114942D01*
+X209057727Y-70961633D01*
+X209058418Y-70908831D01*
+X209052977Y-70877163D01*
+X209023385Y-70704949D01*
+X209023385Y-70704948D01*
+X209022406Y-70699252D01*
+X208948804Y-70499746D01*
+X208941816Y-70488000D01*
+X208843034Y-70321962D01*
+X208843032Y-70321959D01*
+X208840078Y-70316994D01*
+X208699868Y-70157115D01*
+X208694983Y-70153264D01*
+X208571613Y-70056006D01*
+X208530500Y-69998124D01*
+X208527206Y-69927204D01*
+X208562778Y-69865762D01*
+X208592423Y-69846306D01*
+X208591819Y-69845151D01*
+X208605251Y-69838128D01*
+X208802037Y-69714206D01*
+X208810118Y-69708161D01*
+X208984565Y-69554365D01*
+X208991576Y-69547104D01*
+X209139188Y-69367400D01*
+X209144941Y-69359122D01*
+X209261931Y-69158114D01*
+X209266286Y-69149023D01*
+X209349629Y-68931907D01*
+X209352477Y-68922230D01*
+X209383917Y-68771736D01*
+X209382905Y-68759066D01*
+X209367472Y-68754000D01*
+X207954002Y-68753999D01*
+X207953996Y-68754000D01*
+X206029318Y-68753999D01*
+X206008110Y-68760226D01*
+X205937113Y-68760225D01*
+X205880965Y-68725797D01*
+X205849950Y-68692924D01*
+X205838779Y-68683551D01*
+X205717733Y-68603937D01*
+X205671811Y-68549793D01*
+X205662451Y-68479416D01*
+X205692626Y-68415151D01*
+X205723972Y-68389547D01*
+X205804308Y-68343165D01*
+X205816008Y-68334455D01*
+X205886920Y-68267552D01*
+X205950195Y-68235354D01*
+X206012684Y-68239486D01*
+X206032528Y-68246000D01*
+X207445998Y-68246001D01*
+X207446004Y-68246000D01*
+X209370684Y-68246001D01*
+X209384770Y-68241865D01*
+X209386819Y-68228887D01*
+X209384767Y-68211150D01*
+X209382808Y-68201256D01*
+X209319484Y-67977473D01*
+X209315972Y-67968029D01*
+X209217683Y-67757249D01*
+X209212704Y-67748484D01*
+X209081986Y-67556139D01*
+X209075654Y-67548264D01*
+X208915877Y-67379304D01*
+X208908368Y-67372543D01*
+X208723625Y-67231296D01*
+X208715146Y-67225832D01*
+X208585325Y-67156223D01*
+X208534742Y-67106405D01*
+X208519122Y-67037148D01*
+X208543426Y-66970441D01*
+X208569477Y-66944221D01*
+X208614513Y-66910591D01*
+X208677530Y-66863534D01*
+X208821877Y-66707381D01*
+X208824958Y-66702498D01*
+X208824961Y-66702494D01*
+X208932269Y-66532420D01*
+X208935350Y-66527537D01*
+X209008936Y-66343093D01*
+X209012009Y-66335390D01*
+X209014149Y-66330026D01*
+X209043196Y-66183993D01*
+X209054507Y-66127130D01*
+X209054507Y-66127126D01*
+X209055634Y-66121462D01*
+X209055819Y-66107393D01*
+X209057787Y-65957010D01*
+X209058418Y-65908831D01*
+X209055107Y-65889559D01*
+X209023385Y-65704949D01*
+X209023385Y-65704948D01*
+X209022406Y-65699252D01*
+X208948804Y-65499746D01*
+X208943008Y-65490004D01*
+X208843034Y-65321962D01*
+X208843032Y-65321959D01*
+X208840078Y-65316994D01*
+X208699868Y-65157115D01*
+X208692910Y-65151630D01*
+X208537410Y-65029043D01*
+X208537408Y-65029042D01*
+X208532871Y-65025465D01*
+X208527755Y-65022774D01*
+X208527753Y-65022772D01*
+X208349797Y-64929145D01*
+X208349795Y-64929144D01*
+X208344678Y-64926452D01*
+X208263566Y-64901266D01*
+X208147108Y-64865104D01*
+X208147103Y-64865103D01*
+X208141593Y-64863392D01*
+X208112254Y-64859919D01*
+X207972987Y-64843436D01*
+X207972981Y-64843436D01*
+X207969300Y-64843000D01*
+X207445586Y-64843000D01*
+X207359503Y-64850910D01*
+X207293996Y-64856929D01*
+X207293993Y-64856930D01*
+X207288242Y-64857458D01*
+X207282685Y-64859025D01*
+X207282681Y-64859026D01*
+X207089135Y-64913612D01*
+X207089133Y-64913613D01*
+X207083576Y-64915180D01*
+X207078400Y-64917732D01*
+X207078396Y-64917734D01*
+X206953024Y-64979561D01*
+X206892857Y-65009232D01*
+X206888231Y-65012686D01*
+X206888230Y-65012687D01*
+X206859174Y-65034384D01*
+X206722470Y-65136466D01*
+X206578123Y-65292619D01*
+X206520632Y-65383737D01*
+X206467366Y-65430674D01*
+X206414071Y-65442500D01*
+X205888000Y-65442500D01*
+X205819879Y-65422498D01*
+X205773386Y-65368842D01*
+X205762000Y-65316500D01*
+X205762000Y-65262500D01*
+X205747401Y-65170324D01*
+X205743998Y-65148839D01*
+X205743998Y-65148838D01*
+X205742447Y-65139048D01*
+X205685702Y-65027680D01*
+X205678690Y-65020668D01*
+X205672863Y-65012648D01*
+X205674526Y-65011440D01*
+X205646591Y-64960283D01*
+X205651656Y-64889468D01*
+X205694203Y-64832632D01*
+X205769712Y-64807500D01*
+X206262452Y-64807500D01*
+X206287034Y-64809921D01*
+X206287828Y-64810079D01*
+X206287830Y-64810079D01*
+X206300000Y-64812500D01*
+X206312170Y-64810079D01*
+X206312965Y-64809921D01*
+X206325135Y-64807500D01*
+X206325136Y-64807500D01*
+X206400454Y-64792518D01*
+X206410772Y-64785624D01*
+X206475297Y-64742511D01*
+X206475298Y-64742510D01*
+X206485616Y-64735616D01*
+X206492961Y-64724623D01*
+X206508632Y-64705527D01*
+X206619756Y-64594404D01*
+X206708851Y-64557500D01*
+X208491151Y-64557500D01*
+X208580246Y-64594405D01*
+X209213164Y-65227324D01*
+X209841365Y-65855525D01*
+X209857035Y-65874618D01*
+X209857490Y-65875299D01*
+X209857492Y-65875301D01*
+X209864384Y-65885616D01*
+X209874699Y-65892508D01*
+X209937705Y-65934606D01*
+X209949546Y-65942518D01*
+X209961717Y-65944939D01*
+X210024864Y-65957500D01*
+X210024865Y-65957500D01*
+X210050000Y-65962500D01*
+X210062170Y-65960079D01*
+X210062172Y-65960079D01*
+X210062966Y-65959921D01*
+X210087548Y-65957500D01*
+X210781151Y-65957500D01*
+X210870246Y-65994405D01*
+X213262300Y-68386460D01*
+X213296326Y-68448772D01*
+X213283620Y-68536256D01*
+X213269835Y-68561331D01*
+X213263831Y-68580257D01*
+X213217664Y-68725797D01*
+X213210115Y-68749593D01*
+X213209429Y-68755710D01*
+X213209428Y-68755714D01*
+X213194455Y-68889207D01*
+X213188099Y-68945870D01*
+X213190128Y-68970029D01*
+X213203602Y-69130488D01*
+X213204626Y-69142685D01*
+X213220670Y-69198638D01*
+X213256865Y-69324865D01*
+X213259066Y-69332542D01*
+X213261885Y-69338027D01*
+X213346529Y-69502727D01*
+X213346532Y-69502732D01*
+X213349347Y-69508209D01*
+X213472028Y-69662994D01*
+X213476722Y-69666988D01*
+X213476722Y-69666989D01*
+X213614868Y-69784560D01*
+X213622438Y-69791003D01*
+X213627816Y-69794009D01*
+X213627818Y-69794010D01*
+X213687745Y-69827502D01*
+X213794847Y-69887359D01*
+X213982688Y-69948392D01*
+X214178806Y-69971778D01*
+X214184941Y-69971306D01*
+X214184943Y-69971306D01*
+X214369589Y-69957098D01*
+X214369592Y-69957097D01*
+X214375732Y-69956625D01*
+X214390360Y-69952541D01*
+X214454528Y-69934625D01*
+X214565964Y-69903512D01*
+X214742257Y-69814460D01*
+X214748816Y-69809336D01*
+X214893039Y-69696656D01*
+X214897895Y-69692862D01*
+X214904151Y-69685615D01*
+X214975055Y-69603472D01*
+X215026951Y-69543350D01*
+X215031345Y-69535616D01*
+X215121465Y-69376974D01*
+X215121466Y-69376973D01*
+X215124508Y-69371617D01*
+X215131229Y-69351415D01*
+X215155579Y-69278213D01*
+X215186851Y-69184207D01*
+X215211605Y-68988257D01*
+X215212000Y-68960000D01*
+X215192727Y-68763435D01*
+X215135641Y-68574357D01*
+X215124622Y-68553634D01*
+X215045810Y-68405410D01*
+X215045808Y-68405407D01*
+X215042916Y-68399968D01*
+X214918086Y-68246910D01*
+X214765903Y-68121014D01*
+X214592166Y-68027074D01*
+X214585292Y-68024946D01*
+X214513593Y-68002752D01*
+X214403491Y-67968670D01*
+X214397366Y-67968026D01*
+X214397365Y-67968026D01*
+X214213193Y-67948669D01*
+X214213192Y-67948669D01*
+X214207065Y-67948025D01*
+X214086743Y-67958975D01*
+X214016511Y-67965366D01*
+X214016510Y-67965366D01*
+X214010370Y-67965925D01*
+X213820898Y-68021690D01*
+X213815435Y-68024546D01*
+X213815430Y-68024548D01*
+X213774835Y-68045771D01*
+X213705199Y-68059606D01*
+X213627366Y-68023206D01*
+X211148637Y-65544478D01*
+X211132967Y-65525385D01*
+X211132510Y-65524701D01*
+X211132508Y-65524699D01*
+X211125616Y-65514384D01*
+X211055567Y-65467580D01*
+X211050772Y-65464376D01*
+X211040454Y-65457482D01*
+X210965136Y-65442500D01*
+X210965135Y-65442500D01*
+X210961430Y-65441763D01*
+X210940000Y-65437500D01*
+X210927830Y-65439921D01*
+X210927828Y-65439921D01*
+X210927034Y-65440079D01*
+X210902452Y-65442500D01*
+X210208850Y-65442500D01*
+X210119755Y-65405595D01*
+X208858637Y-64144478D01*
+X208842967Y-64125385D01*
+X208842510Y-64124701D01*
+X208842508Y-64124699D01*
+X208835616Y-64114384D01*
+X208775464Y-64074193D01*
+X208760772Y-64064376D01*
+X208750454Y-64057482D01*
+X208675136Y-64042500D01*
+X208675135Y-64042500D01*
+X208650000Y-64037500D01*
+X208637830Y-64039921D01*
+X208637828Y-64039921D01*
+X208637034Y-64040079D01*
+X208612452Y-64042500D01*
+X206587547Y-64042500D01*
+X206562965Y-64040079D01*
+X206562171Y-64039921D01*
+X206562169Y-64039921D01*
+X206549999Y-64037500D01*
+X206524864Y-64042500D01*
+X206461716Y-64055061D01*
+X206461715Y-64055061D01*
+X206449546Y-64057482D01*
+X206439228Y-64064376D01*
+X206424536Y-64074193D01*
+X206364384Y-64114384D01*
+X206357492Y-64124699D01*
+X206357490Y-64124701D01*
+X206357037Y-64125379D01*
+X206341368Y-64144472D01*
+X206272531Y-64213310D01*
+X206230246Y-64255595D01*
+X206141150Y-64292500D01*
+X202787547Y-64292500D01*
+X202762965Y-64290079D01*
+X202762171Y-64289921D01*
+X202750000Y-64287500D01*
+X202649545Y-64307482D01*
+X202615625Y-64330147D01*
+X202615625Y-64330146D01*
+X202615622Y-64330149D01*
+X202574704Y-64357488D01*
+X202574702Y-64357490D01*
+X202564384Y-64364384D01*
+X202557488Y-64374705D01*
+X202557037Y-64375379D01*
+X202541372Y-64394468D01*
+X202531571Y-64404269D01*
+X202469263Y-64438296D01*
+X202398447Y-64433235D01*
+X202349951Y-64400708D01*
+X202339175Y-64389051D01*
+X202331031Y-64384320D01*
+X202331029Y-64384319D01*
+X202228399Y-64324706D01*
+X202228395Y-64324704D01*
+X202220258Y-64319978D01*
+X202154075Y-64304638D01*
+X202095465Y-64291053D01*
+X202095463Y-64291053D01*
+X202086287Y-64288926D01*
+X202076891Y-64289591D01*
+X202076888Y-64289591D01*
+X201958511Y-64297972D01*
+X201958509Y-64297973D01*
+X201949109Y-64298638D01*
+X201820850Y-64348258D01*
+X201712852Y-64433397D01*
+X201634662Y-64546528D01*
+X201631823Y-64555505D01*
+X201631822Y-64555507D01*
+X201606339Y-64636085D01*
+X201593194Y-64677649D01*
+X201592114Y-64815167D01*
+X201594813Y-64824191D01*
+X201594813Y-64824193D01*
+X201604792Y-64857559D01*
+X201631517Y-64946923D01*
+X201664433Y-64996184D01*
+X201702609Y-65053318D01*
+X201707921Y-65061268D01*
+X201715222Y-65067212D01*
+X201715223Y-65067213D01*
+X201803458Y-65139048D01*
+X201814568Y-65148093D01*
+X201823299Y-65151629D01*
+X201823300Y-65151630D01*
+X201833571Y-65155790D01*
+X201942032Y-65199721D01*
+X201951417Y-65200534D01*
+X201951418Y-65200534D01*
+X202069661Y-65210775D01*
+X202069664Y-65210775D01*
+X202079041Y-65211587D01*
+X202088242Y-65209606D01*
+X202088244Y-65209606D01*
+X202204278Y-65184625D01*
+X202204281Y-65184624D01*
+X202213482Y-65182643D01*
+X202221694Y-65178044D01*
+X202221697Y-65178043D01*
+X202325254Y-65120048D01*
+X202333470Y-65115447D01*
+X202367676Y-65079589D01*
+X202399214Y-65046529D01*
+X202490384Y-65007500D01*
+X202512452Y-65007500D01*
+X202537034Y-65009921D01*
+X202549999Y-65012500D01*
+X202549375Y-65015635D01*
+X202598573Y-65030081D01*
+X202645066Y-65083737D01*
+X202654901Y-65155790D01*
+X202650334Y-65184625D01*
+X202638000Y-65262500D01*
+X202638000Y-65737500D01*
+X202644099Y-65776007D01*
+X202655542Y-65848253D01*
+X202657553Y-65860952D01*
+X202714298Y-65972320D01*
+X202730595Y-65988617D01*
+X202767500Y-66077712D01*
+X202767501Y-66481809D01*
+X202767501Y-66816500D01*
+X202747499Y-66884621D01*
+X202693843Y-66931114D01*
+X202641501Y-66942500D01*
+X201633855Y-66942500D01*
+X201576652Y-66928767D01*
+X201554421Y-66917440D01*
+X201485952Y-66882553D01*
+X201476162Y-66881002D01*
+X201476161Y-66881002D01*
+X201429766Y-66873654D01*
+X201362500Y-66863000D01*
+X200787500Y-66863000D01*
+X200720234Y-66873654D01*
+X200673839Y-66881002D01*
+X200673838Y-66881002D01*
+X200664048Y-66882553D01*
+X200552680Y-66939298D01*
+X200464298Y-67027680D01*
+X200407553Y-67139048D01*
+X200406002Y-67148838D01*
+X200406002Y-67148839D01*
+X200402105Y-67173447D01*
+X200388000Y-67262500D01*
+X200388000Y-67737500D01*
+X200399816Y-67812100D01*
+X200405732Y-67849453D01*
+X200407553Y-67860952D01*
+X200464298Y-67972320D01*
+X200552680Y-68060702D01*
+X200664048Y-68117447D01*
+X200673838Y-68118998D01*
+X200673839Y-68118998D01*
+X200710147Y-68124748D01*
+X200787500Y-68137000D01*
+X201362500Y-68137000D01*
+X201439853Y-68124748D01*
+X201476161Y-68118998D01*
+X201476162Y-68118998D01*
+X201485952Y-68117447D01*
+X201576652Y-68071233D01*
+X201633855Y-68057500D01*
+X201694172Y-68057500D01*
+X201762293Y-68077502D01*
+X201808786Y-68131158D01*
+X201818890Y-68201432D01*
+X201797825Y-68255139D01*
+X201734662Y-68346528D01*
+X201731823Y-68355505D01*
+X201731822Y-68355507D01*
+X201711601Y-68419446D01*
+X201693194Y-68477649D01*
+X201692114Y-68615167D01*
+X201694813Y-68624191D01*
+X201694813Y-68624193D01*
+X201715244Y-68692508D01*
+X201731517Y-68746923D01*
+X201736747Y-68754751D01*
+X201738257Y-68757959D01*
+X201749162Y-68828113D01*
+X201720407Y-68893026D01*
+X201661123Y-68932088D01*
+X201567045Y-68923872D01*
+X201554826Y-68917646D01*
+X201485952Y-68882553D01*
+X201476162Y-68881002D01*
+X201476161Y-68881002D01*
+X201439853Y-68875252D01*
+X201362500Y-68863000D01*
+X200972927Y-68863000D01*
+X200904806Y-68842998D01*
+X200848327Y-68755732D01*
+X200848325Y-68755714D01*
+X200845888Y-68739505D01*
+X200843454Y-68723316D01*
+X200843453Y-68723314D01*
+X200842054Y-68714006D01*
+X200782525Y-68590036D01*
+X200705378Y-68506579D01*
+X200695572Y-68495971D01*
+X200695571Y-68495970D01*
+X200689175Y-68489051D01*
+X200681031Y-68484320D01*
+X200681029Y-68484319D01*
+X200578399Y-68424706D01*
+X200578395Y-68424704D01*
+X200570258Y-68419978D01*
+X200509084Y-68405799D01*
+X200445465Y-68391053D01*
+X200445463Y-68391053D01*
+X200436287Y-68388926D01*
+X200426891Y-68389591D01*
+X200426888Y-68389591D01*
+X200308511Y-68397972D01*
+X200308509Y-68397973D01*
+X200299109Y-68398638D01*
+X200170850Y-68448258D01*
+X200089994Y-68512000D01*
+X200086506Y-68514750D01*
+X200020627Y-68541215D01*
+X199950897Y-68527862D01*
+X199899456Y-68478930D01*
+X199882500Y-68415800D01*
+X199882500Y-68077712D01*
+X199919405Y-67988617D01*
+X199935702Y-67972320D01*
+X199992447Y-67860952D01*
+X199994269Y-67849453D01*
+X200000184Y-67812100D01*
+X200012000Y-67737500D01*
+X200012000Y-67262500D01*
+X199997895Y-67173447D01*
+X199993998Y-67148839D01*
+X199993998Y-67148838D01*
+X199992447Y-67139048D01*
+X199935702Y-67027680D01*
+X199911890Y-67003868D01*
+X199878313Y-66943541D01*
+X199874928Y-66929107D01*
+X199872766Y-66917440D01*
+X199869012Y-66890036D01*
+X199866779Y-66873733D01*
+X199860677Y-66859632D01*
+X199853645Y-66838370D01*
+X199852099Y-66831777D01*
+X199852098Y-66831775D01*
+X199850138Y-66823418D01*
+X199843937Y-66812137D01*
+X199828883Y-66784755D01*
+X199823666Y-66774107D01*
+X199806142Y-66733610D01*
+X199796476Y-66721674D01*
+X199783983Y-66703081D01*
+X199776585Y-66689623D01*
+X199767359Y-66678935D01*
+X199743165Y-66654741D01*
+X199734339Y-66644940D01*
+X199733746Y-66644207D01*
+X199719266Y-66626326D01*
+X199715463Y-66621629D01*
+X199715461Y-66621627D01*
+X199710058Y-66614955D01*
+X199703060Y-66609982D01*
+X199703055Y-66609977D01*
+X199695595Y-66604676D01*
+X199679489Y-66591065D01*
+X198665478Y-65577054D01*
+X197644405Y-64555982D01*
+X197607500Y-64466887D01*
+X197607500Y-63501307D01*
+X197627502Y-63433186D01*
+X197681158Y-63386693D01*
+X197751432Y-63376589D01*
+X197825651Y-63415375D01*
+X197948020Y-63546600D01*
+X197954104Y-63552312D01*
+X198179425Y-63737393D01*
+X198186206Y-63742248D01*
+X198434038Y-63895910D01*
+X198441398Y-63899823D01*
+X198707364Y-64019353D01*
+X198715187Y-64022263D01*
+X198994637Y-64105571D01*
+X199002758Y-64107416D01*
+X199291433Y-64153137D01*
+X199298408Y-64153845D01*
+X199388477Y-64157936D01*
+X199391310Y-64158000D01*
+X199727885Y-64158000D01*
+X199743124Y-64153525D01*
+X199744329Y-64152135D01*
+X199746000Y-64144452D01*
+X199746000Y-64139885D01*
+X200253999Y-64139885D01*
+X200258474Y-64155124D01*
+X200259864Y-64156329D01*
+X200267547Y-64158000D01*
+X200573181Y-64158000D01*
+X200577373Y-64157861D01*
+X200795125Y-64143398D01*
+X200803394Y-64142295D01*
+X201089231Y-64084659D01*
+X201097292Y-64082469D01*
+X201372998Y-63987536D01*
+X201380685Y-63984305D01*
+X201641410Y-63853743D01*
+X201648619Y-63849513D01*
+X201889783Y-63685619D01*
+X201896358Y-63680482D01*
+X202024544Y-63565870D01*
+X209188099Y-63565870D01*
+X209188615Y-63572014D01*
+X209203192Y-63745603D01*
+X209204626Y-63762685D01*
+X209213909Y-63795059D01*
+X209254630Y-63937070D01*
+X209259066Y-63952542D01*
+X209261885Y-63958027D01*
+X209346529Y-64122727D01*
+X209346532Y-64122732D01*
+X209349347Y-64128209D01*
+X209472028Y-64282994D01*
+X209476722Y-64286988D01*
+X209476722Y-64286989D01*
+X209603018Y-64394475D01*
+X209622438Y-64411003D01*
+X209627816Y-64414009D01*
+X209627818Y-64414010D01*
+X209662507Y-64433397D01*
+X209794847Y-64507359D01*
+X209982688Y-64568392D01*
+X210178806Y-64591778D01*
+X210184941Y-64591306D01*
+X210184943Y-64591306D01*
+X210369589Y-64577098D01*
+X210369592Y-64577097D01*
+X210375732Y-64576625D01*
+X210383759Y-64574384D01*
+X210412039Y-64566488D01*
+X210565964Y-64523512D01*
+X210742257Y-64434460D01*
+X210757169Y-64422810D01*
+X210893039Y-64316656D01*
+X210897895Y-64312862D01*
+X210921179Y-64285888D01*
+X210995709Y-64199544D01*
+X211026951Y-64163350D01*
+X211030070Y-64157861D01*
+X211033159Y-64152423D01*
+X212868255Y-64152423D01*
+X212876638Y-64204471D01*
+X212879212Y-64214793D01*
+X212950230Y-64422810D01*
+X212954497Y-64432533D01*
+X213059556Y-64625625D01*
+X213065402Y-64634491D01*
+X213201486Y-64807113D01*
+X213208750Y-64814875D01*
+X213371967Y-64962094D01*
+X213380444Y-64968528D01*
+X213566122Y-65086136D01*
+X213575567Y-65091053D01*
+X213778406Y-65175694D01*
+X213788545Y-65178950D01*
+X213915264Y-65208089D01*
+X213977170Y-65242848D01*
+X214010456Y-65305558D01*
+X214004554Y-65376309D01*
+X213961338Y-65432638D01*
+X213922609Y-65451755D01*
+X213820898Y-65481690D01*
+X213645866Y-65573195D01*
+X213641068Y-65577053D01*
+X213641066Y-65577054D01*
+X213568904Y-65635074D01*
+X213491941Y-65696954D01*
+X213487982Y-65701672D01*
+X213487981Y-65701673D01*
+X213411866Y-65792383D01*
+X213364985Y-65848253D01*
+X213362022Y-65853642D01*
+X213362019Y-65853647D01*
+X213283198Y-65997023D01*
+X213269835Y-66021331D01*
+X213210115Y-66209593D01*
+X213209429Y-66215710D01*
+X213209428Y-66215714D01*
+X213194715Y-66346886D01*
+X213188099Y-66405870D01*
+X213190412Y-66433414D01*
+X213203823Y-66593119D01*
+X213204626Y-66602685D01*
+X213222651Y-66665546D01*
+X213256516Y-66783648D01*
+X213259066Y-66792542D01*
+X213261885Y-66798027D01*
+X213346529Y-66962727D01*
+X213346532Y-66962732D01*
+X213349347Y-66968209D01*
+X213353176Y-66973040D01*
+X213374807Y-67000332D01*
+X213472028Y-67122994D01*
+X213476722Y-67126988D01*
+X213476722Y-67126989D01*
+X213543031Y-67183422D01*
+X213622438Y-67251003D01*
+X213627816Y-67254009D01*
+X213627818Y-67254010D01*
+X213697440Y-67292920D01*
+X213794847Y-67347359D01*
+X213982688Y-67408392D01*
+X214178806Y-67431778D01*
+X214184941Y-67431306D01*
+X214184943Y-67431306D01*
+X214369589Y-67417098D01*
+X214369592Y-67417097D01*
+X214375732Y-67416625D01*
+X214565964Y-67363512D01*
+X214742257Y-67274460D01*
+X214748208Y-67269811D01*
+X214893039Y-67156656D01*
+X214897895Y-67152862D01*
+X214913722Y-67134527D01*
+X214974658Y-67063932D01*
+X215026951Y-67003350D01*
+X215032449Y-66993673D01*
+X215121465Y-66836974D01*
+X215121466Y-66836973D01*
+X215124508Y-66831617D01*
+X215127236Y-66823418D01*
+X215166065Y-66706691D01*
+X215186851Y-66644207D01*
+X215211605Y-66448257D01*
+X215212000Y-66420000D01*
+X215192727Y-66223435D01*
+X215135641Y-66034357D01*
+X215117373Y-66000000D01*
+X215045810Y-65865410D01*
+X215045808Y-65865407D01*
+X215042916Y-65859968D01*
+X214918086Y-65706910D01*
+X214765903Y-65581014D01*
+X214592166Y-65487074D01*
+X214482060Y-65452991D01*
+X214422901Y-65413740D01*
+X214394353Y-65348736D01*
+X214405481Y-65278617D01*
+X214484272Y-65211598D01*
+X214683333Y-65153954D01*
+X214693259Y-65150143D01*
+X214891065Y-65054307D01*
+X214900212Y-65048876D01*
+X215079041Y-64921083D01*
+X215087149Y-64914182D01*
+X215241893Y-64758082D01*
+X215248706Y-64749933D01*
+X215374940Y-64569988D01*
+X215380295Y-64560787D01*
+X215474399Y-64362156D01*
+X215478123Y-64352197D01*
+X215535968Y-64145718D01*
+X215534430Y-64137351D01*
+X215522137Y-64134000D01*
+X212883403Y-64134000D01*
+X212870222Y-64137870D01*
+X212868255Y-64152423D01*
+X211033159Y-64152423D01*
+X211121465Y-63996974D01*
+X211121466Y-63996973D01*
+X211124508Y-63991617D01*
+X211126941Y-63984305D01*
+X211160474Y-63883500D01*
+X211186851Y-63804207D01*
+X211194958Y-63740036D01*
+X211211163Y-63611758D01*
+X211211163Y-63611753D01*
+X211211605Y-63608257D01*
+X211211606Y-63608174D01*
+X212869008Y-63608174D01*
+X212870427Y-63621414D01*
+X212885062Y-63626000D01*
+X213927885Y-63626000D01*
+X213943124Y-63621525D01*
+X213944329Y-63620135D01*
+X213946000Y-63612452D01*
+X213946000Y-62563717D01*
+X213945329Y-62561430D01*
+X214454000Y-62561430D01*
+X214454000Y-63607885D01*
+X214458475Y-63623124D01*
+X214459865Y-63624329D01*
+X214467548Y-63626000D01*
+X215519079Y-63626000D01*
+X215530190Y-63622738D01*
+X215531741Y-63604992D01*
+X215486954Y-63432433D01*
+X215483419Y-63422395D01*
+X215393147Y-63221998D01*
+X215387967Y-63212692D01*
+X215265218Y-63030366D01*
+X215258557Y-63022080D01*
+X215106830Y-62863030D01*
+X215098873Y-62855990D01*
+X214922523Y-62724782D01*
+X214913486Y-62719178D01*
+X214717550Y-62619559D01*
+X214707699Y-62615559D01*
+X214497778Y-62550378D01*
+X214487396Y-62548095D01*
+X214471959Y-62546049D01*
+X214457792Y-62548246D01*
+X214454000Y-62561430D01*
+X213945329Y-62561430D01*
+X213942426Y-62551545D01*
+X213926250Y-62549746D01*
+X213813554Y-62573391D01*
+X213803358Y-62576451D01*
+X213598932Y-62657182D01*
+X213589396Y-62661916D01*
+X213401486Y-62775942D01*
+X213392896Y-62782206D01*
+X213226884Y-62926264D01*
+X213219464Y-62933895D01*
+X213080100Y-63103860D01*
+X213074075Y-63112627D01*
+X212965342Y-63303644D01*
+X212960877Y-63313308D01*
+X212885882Y-63519916D01*
+X212883111Y-63530184D01*
+X212869008Y-63608174D01*
+X211211606Y-63608174D01*
+X211212000Y-63580000D01*
+X211192727Y-63383435D01*
+X211135641Y-63194357D01*
+X211127531Y-63179105D01*
+X211045810Y-63025410D01*
+X211045808Y-63025407D01*
+X211042916Y-63019968D01*
+X210918086Y-62866910D01*
+X210765903Y-62741014D01*
+X210592166Y-62647074D01*
+X210403491Y-62588670D01*
+X210397366Y-62588026D01*
+X210397365Y-62588026D01*
+X210213193Y-62568669D01*
+X210213192Y-62568669D01*
+X210207065Y-62568025D01*
+X210086743Y-62578975D01*
+X210016511Y-62585366D01*
+X210016510Y-62585366D01*
+X210010370Y-62585925D01*
+X210000425Y-62588852D01*
+X209826809Y-62639950D01*
+X209826806Y-62639951D01*
+X209820898Y-62641690D01*
+X209645866Y-62733195D01*
+X209641068Y-62737053D01*
+X209641066Y-62737054D01*
+X209570724Y-62793611D01*
+X209491941Y-62856954D01*
+X209487982Y-62861672D01*
+X209487981Y-62861673D01*
+X209369014Y-63003451D01*
+X209364985Y-63008253D01*
+X209362022Y-63013642D01*
+X209362019Y-63013647D01*
+X209303369Y-63120332D01*
+X209269835Y-63181331D01*
+X209267972Y-63187204D01*
+X209215558Y-63352436D01*
+X209210115Y-63369593D01*
+X209209429Y-63375710D01*
+X209209428Y-63375714D01*
+X209192626Y-63525511D01*
+X209188099Y-63565870D01*
+X202024544Y-63565870D01*
+X202113735Y-63486125D01*
+X202119575Y-63480161D01*
+X202309336Y-63258762D01*
+X202314329Y-63252088D01*
+X202473144Y-63007536D01*
+X202477218Y-63000246D01*
+X202602288Y-62736848D01*
+X202605364Y-62729080D01*
+X202694501Y-62451449D01*
+X202696518Y-62443360D01*
+X202745375Y-62171830D01*
+X202743943Y-62158571D01*
+X202729333Y-62154000D01*
+X200272115Y-62153999D01*
+X200256876Y-62158474D01*
+X200255671Y-62159864D01*
+X200254000Y-62167547D01*
+X200253999Y-64139885D01*
+X199746000Y-64139885D01*
+X199746001Y-62154000D01*
+X199746000Y-62153996D01*
+X199746000Y-61627885D01*
+X200253999Y-61627885D01*
+X200258474Y-61643124D01*
+X200259864Y-61644329D01*
+X200267547Y-61646000D01*
+X202726313Y-61646001D01*
+X202740941Y-61641706D01*
+X202743004Y-61629729D01*
+X202736725Y-61557951D01*
+X202735451Y-61549720D01*
+X202671840Y-61265140D01*
+X202669484Y-61257136D01*
+X202618749Y-61119242D01*
+X214020490Y-61119242D01*
+X214024787Y-61147320D01*
+X214053278Y-61333505D01*
+X214054429Y-61341029D01*
+X214069551Y-61385196D01*
+X214125120Y-61547500D01*
+X214127106Y-61553302D01*
+X214236224Y-61749349D01*
+X214239776Y-61753689D01*
+X214239779Y-61753693D01*
+X214285581Y-61809652D01*
+X214378335Y-61922975D01*
+X214382603Y-61926620D01*
+X214544682Y-62065049D01*
+X214544686Y-62065052D01*
+X214548947Y-62068691D01*
+X214742667Y-62181892D01*
+X214747933Y-62183819D01*
+X214747937Y-62183821D01*
+X214948106Y-62257072D01*
+X214948109Y-62257073D01*
+X214953370Y-62258998D01*
+X214958886Y-62259961D01*
+X214958891Y-62259962D01*
+X215119686Y-62288025D01*
+X215174399Y-62297574D01*
+X215180005Y-62297545D01*
+X215180009Y-62297545D01*
+X215283025Y-62297005D01*
+X215398765Y-62296399D01*
+X215404285Y-62295376D01*
+X215613854Y-62256535D01*
+X215613858Y-62256534D01*
+X215619377Y-62255511D01*
+X215619431Y-62255800D01*
+X215687607Y-62256706D01*
+X215739602Y-62288025D01*
+X216422905Y-62971329D01*
+X217105596Y-63654020D01*
+X217142501Y-63743115D01*
+X217142500Y-69615167D01*
+X217142500Y-75486675D01*
+X217121266Y-75556676D01*
+X217100332Y-75588005D01*
+X217088000Y-75650000D01*
+X217088000Y-76450000D01*
+X217089437Y-76457225D01*
+X217097104Y-76495765D01*
+X217100332Y-76511995D01*
+X217107224Y-76522309D01*
+X217107225Y-76522312D01*
+X217126627Y-76551348D01*
+X217135449Y-76564551D01*
+X217145765Y-76571444D01*
+X217177688Y-76592775D01*
+X217177691Y-76592776D01*
+X217188005Y-76599668D01*
+X217200172Y-76602088D01*
+X217200174Y-76602089D01*
+X217230432Y-76608108D01*
+X217250000Y-76612000D01*
+X218150000Y-76612000D01*
+X218169568Y-76608108D01*
+X218199826Y-76602089D01*
+X218199828Y-76602088D01*
+X218211995Y-76599668D01*
+X218222309Y-76592776D01*
+X218222312Y-76592775D01*
+X218254235Y-76571444D01*
+X218264551Y-76564551D01*
+X218273373Y-76551348D01*
+X218292775Y-76522312D01*
+X218292776Y-76522309D01*
+X218299668Y-76511995D01*
+X218302897Y-76495765D01*
+X218310563Y-76457225D01*
+X218312000Y-76450000D01*
+X218312000Y-75650000D01*
+X218299668Y-75588005D01*
+X218278734Y-75556676D01*
+X218257500Y-75486675D01*
+X218257500Y-63469408D01*
+X218257611Y-63464131D01*
+X218258931Y-63432643D01*
+X218260003Y-63407063D01*
+X218256062Y-63390261D01*
+X218249929Y-63364113D01*
+X218247765Y-63352436D01*
+X218241779Y-63308733D01*
+X218235677Y-63294632D01*
+X218228645Y-63273370D01*
+X218227099Y-63266777D01*
+X218227098Y-63266775D01*
+X218225138Y-63258418D01*
+X218220347Y-63249702D01*
+X218203883Y-63219755D01*
+X218198666Y-63209107D01*
+X218181142Y-63168610D01*
+X218171476Y-63156674D01*
+X218158983Y-63138081D01*
+X218154766Y-63130410D01*
+X218151585Y-63124623D01*
+X218146017Y-63118172D01*
+X218143868Y-63115683D01*
+X218143866Y-63115681D01*
+X218142359Y-63113935D01*
+X218118165Y-63089741D01*
+X218109339Y-63079940D01*
+X218090463Y-63056629D01*
+X218090461Y-63056627D01*
+X218085058Y-63049955D01*
+X218078060Y-63044982D01*
+X218078055Y-63044977D01*
+X218070595Y-63039676D01*
+X218054489Y-63026065D01*
+X217311051Y-62282627D01*
+X216525786Y-61497363D01*
+X216491761Y-61435051D01*
+X216495254Y-61368704D01*
+X216499244Y-61356640D01*
+X216508657Y-61328179D01*
+X216510889Y-61312500D01*
+X216528093Y-61191608D01*
+X216540270Y-61106048D01*
+X216541615Y-61054699D01*
+X216541905Y-61043631D01*
+X216541905Y-61043629D01*
+X216542000Y-61040000D01*
+X216541121Y-61030144D01*
+X216529555Y-60900560D01*
+X216522055Y-60816519D01*
+X216478973Y-60659037D01*
+X216464332Y-60605518D01*
+X216464331Y-60605514D01*
+X216462850Y-60600102D01*
+X216366256Y-60397590D01*
+X216354048Y-60380600D01*
+X216238604Y-60219941D01*
+X216238599Y-60219936D01*
+X216235328Y-60215383D01*
+X216074202Y-60059242D01*
+X215887973Y-59934101D01*
+X215745481Y-59871551D01*
+X215687669Y-59846173D01*
+X215687667Y-59846172D01*
+X215682527Y-59843916D01*
+X215522838Y-59805578D01*
+X215469814Y-59792848D01*
+X215469813Y-59792848D01*
+X215464357Y-59791538D01*
+X215379591Y-59786651D01*
+X215245967Y-59778946D01*
+X215245964Y-59778946D01*
+X215240360Y-59778623D01*
+X215017615Y-59805578D01*
+X214803165Y-59871551D01*
+X214798185Y-59874121D01*
+X214798181Y-59874123D01*
+X214631560Y-59960123D01*
+X214603787Y-59974458D01*
+X214425783Y-60111045D01*
+X214422010Y-60115191D01*
+X214422005Y-60115196D01*
+X214299228Y-60250127D01*
+X214274779Y-60276996D01*
+X214245183Y-60324177D01*
+X214163307Y-60454699D01*
+X214155550Y-60467064D01*
+X214071863Y-60675242D01*
+X214026364Y-60894949D01*
+X214020490Y-61119242D01*
+X202618749Y-61119242D01*
+X202568795Y-60983475D01*
+X202565405Y-60975859D01*
+X202429411Y-60717924D01*
+X202425033Y-60710808D01*
+X202256120Y-60473125D01*
+X202250849Y-60466662D01*
+X202051980Y-60253400D01*
+X202045896Y-60247688D01*
+X201820575Y-60062607D01*
+X201813794Y-60057752D01*
+X201565962Y-59904090D01*
+X201558602Y-59900177D01*
+X201292636Y-59780647D01*
+X201284813Y-59777737D01*
+X201005363Y-59694429D01*
+X200997242Y-59692584D01*
+X200708567Y-59646863D01*
+X200701592Y-59646155D01*
+X200611523Y-59642064D01*
+X200608690Y-59642000D01*
+X200272115Y-59642000D01*
+X200256876Y-59646475D01*
+X200255671Y-59647865D01*
+X200254000Y-59655548D01*
+X200253999Y-61627885D01*
+X199746000Y-61627885D01*
+X199746001Y-59660115D01*
+X199741526Y-59644876D01*
+X199740136Y-59643671D01*
+X199732453Y-59642000D01*
+X199426819Y-59642000D01*
+X199422627Y-59642139D01*
+X199204875Y-59656602D01*
+X199196606Y-59657705D01*
+X198910769Y-59715341D01*
+X198902708Y-59717531D01*
+X198627002Y-59812464D01*
+X198619315Y-59815695D01*
+X198358590Y-59946257D01*
+X198351381Y-59950487D01*
+X198110217Y-60114381D01*
+X198103642Y-60119518D01*
+X197886265Y-60313875D01*
+X197880425Y-60319839D01*
+X197829168Y-60379642D01*
+X197769650Y-60418348D01*
+X197698655Y-60418731D01*
+X197638722Y-60380670D01*
+X197607500Y-60297645D01*
+X197607500Y-58874577D01*
+X197611069Y-58874577D01*
+X197611032Y-58874329D01*
+X197607565Y-58874341D01*
+X197607501Y-58856510D01*
+X197607500Y-58856058D01*
+X197607500Y-58763499D01*
+X197607254Y-58761703D01*
+X197607150Y-58758805D01*
+X197607048Y-58730248D01*
+X197607048Y-58730246D01*
+X197607017Y-58721662D01*
+X197604679Y-58713401D01*
+X197604678Y-58713397D01*
+X197599249Y-58694217D01*
+X197595651Y-58676999D01*
+X197592945Y-58657247D01*
+X197591779Y-58648733D01*
+X197579471Y-58620291D01*
+X197573876Y-58604582D01*
+X197565433Y-58574755D01*
+X197562353Y-58568914D01*
+X197559925Y-58564309D01*
+X197559917Y-58564296D01*
+X197558847Y-58562266D01*
+X197557625Y-58560310D01*
+X197557617Y-58560297D01*
+X197549288Y-58546970D01*
+X197540499Y-58530232D01*
+X197534554Y-58516494D01*
+X197534553Y-58516492D01*
+X197531142Y-58508610D01*
+X197510432Y-58483034D01*
+X197506385Y-58477360D01*
+X208038119Y-58477360D01*
+X208055048Y-58770970D01*
+X208055873Y-58775175D01*
+X208055874Y-58775183D01*
+X208079759Y-58896923D01*
+X208111668Y-59059567D01*
+X208113055Y-59063617D01*
+X208113056Y-59063622D01*
+X208205543Y-59333753D01*
+X208206932Y-59337809D01*
+X208254980Y-59433341D01*
+X208308624Y-59540000D01*
+X208339076Y-59600548D01*
+X208341502Y-59604077D01*
+X208341505Y-59604083D01*
+X208486942Y-59815695D01*
+X208505655Y-59842922D01*
+X208508542Y-59846095D01*
+X208508543Y-59846096D01*
+X208634812Y-59984864D01*
+X208703586Y-60060446D01*
+X208790885Y-60133439D01*
+X208925916Y-60246343D01*
+X208925921Y-60246347D01*
+X208929208Y-60249095D01*
+X209004970Y-60296620D01*
+X209174705Y-60403095D01*
+X209174709Y-60403097D01*
+X209178345Y-60405378D01*
+X209283687Y-60452942D01*
+X209442475Y-60524638D01*
+X209442479Y-60524640D01*
+X209446387Y-60526404D01*
+X209450507Y-60527624D01*
+X209450506Y-60527624D01*
+X209724261Y-60608714D01*
+X209724265Y-60608715D01*
+X209728374Y-60609932D01*
+X209732608Y-60610580D01*
+X209732613Y-60610581D01*
+X209991302Y-60650166D01*
+X210019089Y-60654418D01*
+X210168859Y-60656771D01*
+X210308859Y-60658970D01*
+X210308865Y-60658970D01*
+X210313150Y-60659037D01*
+X210605118Y-60623705D01*
+X210747354Y-60586390D01*
+X210885447Y-60550162D01*
+X210885448Y-60550162D01*
+X210889590Y-60549075D01*
+X211161301Y-60436529D01*
+X211415224Y-60288148D01*
+X211646660Y-60106679D01*
+X211851327Y-59895480D01*
+X211853860Y-59892032D01*
+X211853864Y-59892027D01*
+X212022899Y-59661913D01*
+X212025437Y-59658458D01*
+X212029609Y-59650774D01*
+X212163718Y-59403775D01*
+X212163719Y-59403773D01*
+X212165768Y-59399999D01*
+X212269725Y-59124887D01*
+X212308311Y-58956410D01*
+X212334424Y-58842395D01*
+X212334425Y-58842391D01*
+X212335382Y-58838211D01*
+X212348155Y-58695101D01*
+X212359024Y-58573316D01*
+X212361526Y-58545278D01*
+X212361593Y-58538934D01*
+X212361974Y-58502485D01*
+X212362000Y-58500000D01*
+X212360844Y-58483044D01*
+X212342289Y-58210860D01*
+X212342288Y-58210854D01*
+X212341997Y-58206583D01*
+X212282357Y-57918595D01*
+X212184186Y-57641366D01*
+X212102213Y-57482547D01*
+X212051263Y-57383833D01*
+X212051263Y-57383832D01*
+X212049298Y-57380026D01*
+X212038405Y-57364526D01*
+X211906671Y-57177088D01*
+X211880190Y-57139409D01*
+X211766861Y-57017453D01*
+X211682912Y-56927113D01*
+X211682909Y-56927111D01*
+X211679991Y-56923970D01*
+X211452406Y-56737694D01*
+X211201646Y-56584028D01*
+X211197729Y-56582309D01*
+X211197726Y-56582307D01*
+X211048669Y-56516876D01*
+X210932351Y-56465816D01*
+X210928223Y-56464640D01*
+X210928220Y-56464639D01*
+X210840654Y-56439695D01*
+X210649505Y-56385245D01*
+X210645263Y-56384641D01*
+X210645257Y-56384640D01*
+X210362592Y-56344411D01*
+X210358341Y-56343806D01*
+X210203328Y-56342994D01*
+X210068533Y-56342288D01*
+X210068526Y-56342288D01*
+X210064247Y-56342266D01*
+X210060002Y-56342825D01*
+X210060000Y-56342825D01*
+X210036925Y-56345863D01*
+X209772665Y-56380654D01*
+X209488990Y-56458258D01*
+X209485042Y-56459942D01*
+X209222425Y-56571958D01*
+X209222421Y-56571960D01*
+X209218473Y-56573644D01*
+X209103030Y-56642735D01*
+X208969799Y-56722471D01*
+X208969795Y-56722474D01*
+X208966117Y-56724675D01*
+X208962774Y-56727353D01*
+X208962770Y-56727356D01*
+X208877642Y-56795557D01*
+X208736594Y-56908558D01*
+X208733650Y-56911660D01*
+X208733646Y-56911664D01*
+X208553126Y-57101892D01*
+X208534150Y-57121889D01*
+X208362532Y-57360722D01*
+X208224915Y-57620635D01*
+X208187062Y-57724074D01*
+X208127723Y-57886225D01*
+X208123845Y-57896821D01*
+X208061193Y-58184168D01*
+X208060857Y-58188438D01*
+X208040389Y-58448522D01*
+X208038119Y-58477360D01*
+X197506385Y-58477360D01*
+X197501513Y-58470531D01*
+X197501021Y-58469744D01*
+X197253413Y-58073573D01*
+X197179256Y-57984584D01*
+X197053571Y-57897898D01*
+X197045450Y-57895103D01*
+X197045447Y-57895101D01*
+X196917331Y-57851000D01*
+X196917330Y-57851000D01*
+X196909205Y-57848203D01*
+X196900628Y-57847694D01*
+X196900627Y-57847694D01*
+X196851498Y-57844780D01*
+X196756793Y-57839162D01*
+X196748398Y-57840978D01*
+X196748397Y-57840978D01*
+X196615957Y-57869626D01*
+X196615954Y-57869627D01*
+X196607564Y-57871442D01*
+X196599967Y-57875448D01*
+X196599968Y-57875448D01*
+X196497836Y-57929308D01*
+X196472513Y-57942662D01*
+X196361590Y-58047577D01*
+X196357169Y-58054937D01*
+X196357167Y-58054939D01*
+X196287387Y-58171099D01*
+X196282967Y-58178457D01*
+X196242435Y-58325659D01*
+X196242466Y-58334251D01*
+X196242846Y-58440036D01*
+X196242983Y-58478337D01*
+X196284567Y-58625244D01*
+X196287649Y-58631088D01*
+X196290073Y-58635686D01*
+X196290079Y-58635697D01*
+X196291153Y-58637733D01*
+X196292375Y-58639687D01*
+X196292376Y-58639690D01*
+X196473349Y-58929246D01*
+X196492501Y-58996026D01*
+X196492501Y-60182006D01*
+X196472499Y-60250127D01*
+X196418843Y-60296620D01*
+X196348569Y-60306724D01*
+X196264178Y-60255532D01*
+X196238604Y-60219942D01*
+X196238603Y-60219941D01*
+X196235328Y-60215383D01*
+X196074202Y-60059242D01*
+X195887973Y-59934101D01*
+X195745481Y-59871551D01*
+X195687669Y-59846173D01*
+X195687667Y-59846172D01*
+X195682527Y-59843916D01*
+X195522838Y-59805578D01*
+X195469814Y-59792848D01*
+X195469813Y-59792848D01*
+X195464357Y-59791538D01*
+X195379591Y-59786651D01*
+X195245967Y-59778946D01*
+X195245964Y-59778946D01*
+X195240360Y-59778623D01*
+X195017615Y-59805578D01*
+X194803165Y-59871551D01*
+X194798185Y-59874121D01*
+X194798181Y-59874123D01*
+X194631560Y-59960123D01*
+X194603787Y-59974458D01*
+X194425783Y-60111045D01*
+X194422010Y-60115191D01*
+X194422005Y-60115196D01*
+X194299228Y-60250127D01*
+X194274779Y-60276996D01*
+X194245183Y-60324177D01*
+X194163307Y-60454699D01*
+X194155550Y-60467064D01*
+X194071863Y-60675242D01*
+X194026364Y-60894949D01*
+X194020490Y-61119242D01*
+X194024787Y-61147320D01*
+X194053278Y-61333505D01*
+X194054429Y-61341029D01*
+X194069551Y-61385196D01*
+X194125120Y-61547500D01*
+X194127106Y-61553302D01*
+X194236224Y-61749349D01*
+X194239776Y-61753689D01*
+X194239779Y-61753693D01*
+X194285581Y-61809652D01*
+X194378335Y-61922975D01*
+X194382603Y-61926620D01*
+X194544682Y-62065049D01*
+X194544686Y-62065052D01*
+X194548947Y-62068691D01*
+X194553790Y-62071521D01*
+X194660071Y-62133627D01*
+X194708795Y-62185265D01*
+X194722501Y-62242415D01*
+X194722500Y-65489075D01*
+X194702498Y-65557196D01*
+X194648842Y-65603689D01*
+X194578568Y-65613792D01*
+X194513988Y-65584299D01*
+X194481618Y-65540825D01*
+X194477850Y-65532459D01*
+X194390078Y-65337611D01*
+X194261458Y-65146566D01*
+X194254287Y-65139048D01*
+X194106175Y-64983786D01*
+X194102489Y-64979922D01*
+X194084076Y-64966222D01*
+X193948168Y-64865104D01*
+X193917714Y-64842446D01*
+X193912966Y-64840032D01*
+X193912958Y-64840027D01*
+X193726396Y-64745175D01*
+X193674738Y-64696472D01*
+X193657500Y-64632858D01*
+X193657500Y-57033216D01*
+X193658373Y-57018406D01*
+X193661164Y-56994824D01*
+X193662271Y-56985472D01*
+X193651568Y-56926866D01*
+X193650918Y-56922962D01*
+X193643454Y-56873317D01*
+X193643454Y-56873316D01*
+X193642054Y-56864006D01*
+X193638881Y-56857398D01*
+X193637564Y-56850188D01*
+X193633223Y-56841831D01*
+X193633221Y-56841825D01*
+X193610088Y-56797292D01*
+X193608319Y-56793752D01*
+X193586603Y-56748527D01*
+X193586601Y-56748524D01*
+X193582525Y-56740036D01*
+X193577620Y-56734730D01*
+X193577482Y-56734525D01*
+X193574170Y-56728149D01*
+X193568425Y-56721423D01*
+X193529100Y-56682098D01*
+X193525671Y-56678532D01*
+X193495570Y-56645969D01*
+X193489175Y-56639051D01*
+X193483095Y-56635520D01*
+X193477592Y-56630590D01*
+X193094405Y-56247403D01*
+X193057500Y-56158308D01*
+X193057500Y-56000862D01*
+X193077502Y-55932741D01*
+X193138962Y-55882996D01*
+X193284015Y-55828185D01*
+X193284018Y-55828184D01*
+X193289262Y-55826202D01*
+X193338844Y-55796528D01*
+X193429239Y-55742427D01*
+X193481785Y-55710979D01*
+X193486005Y-55707297D01*
+X193486010Y-55707294D01*
+X193646631Y-55567175D01*
+X193650861Y-55563485D01*
+X193681456Y-55525296D01*
+X193787641Y-55392757D01*
+X193787644Y-55392752D01*
+X193791147Y-55388380D01*
+X193898206Y-55191201D01*
+X193968657Y-54978179D01*
+X193970320Y-54966500D01*
+X193971562Y-54957771D01*
+X195239321Y-54957771D01*
+X195247938Y-55056270D01*
+X195250337Y-55068802D01*
+X195296784Y-55223949D01*
+X195302562Y-55237343D01*
+X195383071Y-55376790D01*
+X195391782Y-55388491D01*
+X195502283Y-55505615D01*
+X195513456Y-55514990D01*
+X195647984Y-55603470D01*
+X195661024Y-55610020D01*
+X195812337Y-55665093D01*
+X195826517Y-55668454D01*
+X195990104Y-55687574D01*
+X195997420Y-55688000D01*
+X196177885Y-55688000D01*
+X196193124Y-55683525D01*
+X196194329Y-55682135D01*
+X196196000Y-55674452D01*
+X196196000Y-55669885D01*
+X196703999Y-55669885D01*
+X196708474Y-55685124D01*
+X196709864Y-55686329D01*
+X196717547Y-55688000D01*
+X196903510Y-55688000D01*
+X196908974Y-55687762D01*
+X197031270Y-55677062D01*
+X197043802Y-55674663D01*
+X197198949Y-55628216D01*
+X197212343Y-55622438D01*
+X197351790Y-55541929D01*
+X197363491Y-55533218D01*
+X197480615Y-55422717D01*
+X197489990Y-55411544D01*
+X197535814Y-55341872D01*
+X197589958Y-55295949D01*
+X197660335Y-55286589D01*
+X197722074Y-55314587D01*
+X197729521Y-55320835D01*
+X197859207Y-55406132D01*
+X197872238Y-55412676D01*
+X198018098Y-55465765D01*
+X198032289Y-55469128D01*
+X198182402Y-55486674D01*
+X198193124Y-55483525D01*
+X198194329Y-55482135D01*
+X198196000Y-55474452D01*
+X198196000Y-55469885D01*
+X198703999Y-55469885D01*
+X198708474Y-55485124D01*
+X198709864Y-55486329D01*
+X198714307Y-55487295D01*
+X198826929Y-55477442D01*
+X198839461Y-55475043D01*
+X198989047Y-55430261D01*
+X199002441Y-55424483D01*
+X199136861Y-55346876D01*
+X199148562Y-55338165D01*
+X199261464Y-55231648D01*
+X199270839Y-55220474D01*
+X199356132Y-55090793D01*
+X199362676Y-55077762D01*
+X199415765Y-54931902D01*
+X199419128Y-54917711D01*
+X199431777Y-54809495D01*
+X199429307Y-54795046D01*
+X199416613Y-54791500D01*
+X198722115Y-54791499D01*
+X198706876Y-54795974D01*
+X198705671Y-54797364D01*
+X198704000Y-54805047D01*
+X198703999Y-55469885D01*
+X198196000Y-55469885D01*
+X198196001Y-54809615D01*
+X198191526Y-54794376D01*
+X198190136Y-54793171D01*
+X198182453Y-54791500D01*
+X197716115Y-54791499D01*
+X197700875Y-54795974D01*
+X197699671Y-54797364D01*
+X197698000Y-54805046D01*
+X197698000Y-54815500D01*
+X197677998Y-54883621D01*
+X197624342Y-54930114D01*
+X197572000Y-54941500D01*
+X196722115Y-54941499D01*
+X196706876Y-54945974D01*
+X196705671Y-54947364D01*
+X196704000Y-54955047D01*
+X196703999Y-55669885D01*
+X196196000Y-55669885D01*
+X196196001Y-54959615D01*
+X196191526Y-54944376D01*
+X196190136Y-54943171D01*
+X196182453Y-54941500D01*
+X195256012Y-54941499D01*
+X195241383Y-54945794D01*
+X195239321Y-54957771D01*
+X193971562Y-54957771D01*
+X193990288Y-54826187D01*
+X194000270Y-54756048D01*
+X194001595Y-54705449D01*
+X194001905Y-54693631D01*
+X194001905Y-54693629D01*
+X194002000Y-54690000D01*
+X194000368Y-54671708D01*
+X193995433Y-54616422D01*
+X193982055Y-54466519D01*
+X193930274Y-54277238D01*
+X193924332Y-54255518D01*
+X193924331Y-54255514D01*
+X193922850Y-54250102D01*
+X193826256Y-54047590D01*
+X193822984Y-54043036D01*
+X193698604Y-53869941D01*
+X193698599Y-53869936D01*
+X193695328Y-53865383D01*
+X193534202Y-53709242D01*
+X193347973Y-53584101D01*
+X193201948Y-53520000D01*
+X193147669Y-53496173D01*
+X193147667Y-53496172D01*
+X193142527Y-53493916D01*
+X192982838Y-53455578D01*
+X192929814Y-53442848D01*
+X192929813Y-53442848D01*
+X192924357Y-53441538D01*
+X192839591Y-53436651D01*
+X192705967Y-53428946D01*
+X192705964Y-53428946D01*
+X192700360Y-53428623D01*
+X192477615Y-53455578D01*
+X192263165Y-53521551D01*
+X192258185Y-53524121D01*
+X192258181Y-53524123D01*
+X192094494Y-53608609D01*
+X192063787Y-53624458D01*
+X191885783Y-53761045D01*
+X191882010Y-53765191D01*
+X191882005Y-53765196D01*
+X191766696Y-53891920D01*
+X191734779Y-53926996D01*
+X191674833Y-54022559D01*
+X191618633Y-54112150D01*
+X191615550Y-54117064D01*
+X191531863Y-54325242D01*
+X191486364Y-54544949D01*
+X191480490Y-54769242D01*
+X188725490Y-54769242D01*
+X188725490Y-53405870D01*
+X189188099Y-53405870D01*
+X189188855Y-53414876D01*
+X189201210Y-53562000D01*
+X189204626Y-53602685D01*
+X189222188Y-53663932D01*
+X189256583Y-53783882D01*
+X189259066Y-53792542D01*
+X189261885Y-53798027D01*
+X189346529Y-53962727D01*
+X189346532Y-53962732D01*
+X189349347Y-53968209D01*
+X189472028Y-54122994D01*
+X189476722Y-54126988D01*
+X189476722Y-54126989D01*
+X189617736Y-54247001D01*
+X189622438Y-54251003D01*
+X189627816Y-54254009D01*
+X189627818Y-54254010D01*
+X189680586Y-54283501D01*
+X189794847Y-54347359D01*
+X189982688Y-54408392D01*
+X190178806Y-54431778D01*
+X190184941Y-54431306D01*
+X190184943Y-54431306D01*
+X190369589Y-54417098D01*
+X190369592Y-54417097D01*
+X190375732Y-54416625D01*
+X190565964Y-54363512D01*
+X190742257Y-54274460D01*
+X190751513Y-54267229D01*
+X190893039Y-54156656D01*
+X190897895Y-54152862D01*
+X190908132Y-54141003D01*
+X190943374Y-54100174D01*
+X191026951Y-54003350D01*
+X191034986Y-53989207D01*
+X191121465Y-53836974D01*
+X191121466Y-53836973D01*
+X191124508Y-53831617D01*
+X191130043Y-53814980D01*
+X191168978Y-53697936D01*
+X191186851Y-53644207D01*
+X191211605Y-53448257D01*
+X191211875Y-53428946D01*
+X191211951Y-53423522D01*
+X191211951Y-53423518D01*
+X191212000Y-53420000D01*
+X191192727Y-53223435D01*
+X191135641Y-53034357D01*
+X191121236Y-53007265D01*
+X191045810Y-52865410D01*
+X191045808Y-52865407D01*
+X191042916Y-52859968D01*
+X190918086Y-52706910D01*
+X190765903Y-52581014D01*
+X190592166Y-52487074D01*
+X190403491Y-52428670D01*
+X190397366Y-52428026D01*
+X190397365Y-52428026D01*
+X190213193Y-52408669D01*
+X190213192Y-52408669D01*
+X190207065Y-52408025D01*
+X190086743Y-52418975D01*
+X190016511Y-52425366D01*
+X190016510Y-52425366D01*
+X190010370Y-52425925D01*
+X189969350Y-52437998D01*
+X189826809Y-52479950D01*
+X189826806Y-52479951D01*
+X189820898Y-52481690D01*
+X189645866Y-52573195D01*
+X189641068Y-52577053D01*
+X189641066Y-52577054D01*
+X189600414Y-52609739D01*
+X189491941Y-52696954D01*
+X189487982Y-52701672D01*
+X189487981Y-52701673D01*
+X189370094Y-52842164D01*
+X189364985Y-52848253D01*
+X189362022Y-52853642D01*
+X189362019Y-52853647D01*
+X189295944Y-52973839D01*
+X189269835Y-53021331D01*
+X189210115Y-53209593D01*
+X189209429Y-53215710D01*
+X189209428Y-53215714D01*
+X189195450Y-53340337D01*
+X189188099Y-53405870D01*
+X188725490Y-53405870D01*
+X188725490Y-51752936D01*
+X193639997Y-51752936D01*
+X193641958Y-51761295D01*
+X193641958Y-51761299D01*
+X193656915Y-51825066D01*
+X193674862Y-51901582D01*
+X193748415Y-52035376D01*
+X193752732Y-52040377D01*
+X193754565Y-52042500D01*
+X193757641Y-52046064D01*
+X193759282Y-52047705D01*
+X194911599Y-53200023D01*
+X194915253Y-53203832D01*
+X194953949Y-53245914D01*
+X194991464Y-53269174D01*
+X195001215Y-53275876D01*
+X195036366Y-53302557D01*
+X195044353Y-53305719D01*
+X195044356Y-53305721D01*
+X195050650Y-53308213D01*
+X195070653Y-53318273D01*
+X195083711Y-53326369D01*
+X195091962Y-53328766D01*
+X195091966Y-53328768D01*
+X195126082Y-53338680D01*
+X195137309Y-53342524D01*
+X195170334Y-53355599D01*
+X195170340Y-53355600D01*
+X195178325Y-53358762D01*
+X195186864Y-53359659D01*
+X195186866Y-53359660D01*
+X195193596Y-53360367D01*
+X195215575Y-53364680D01*
+X195230328Y-53368966D01*
+X195236910Y-53369449D01*
+X195236914Y-53369450D01*
+X195242101Y-53369831D01*
+X195242114Y-53369831D01*
+X195244409Y-53370000D01*
+X195278628Y-53370000D01*
+X195291800Y-53370690D01*
+X195321624Y-53373825D01*
+X195321625Y-53373825D01*
+X195330168Y-53374723D01*
+X195338640Y-53373290D01*
+X195338641Y-53373290D01*
+X195347656Y-53371765D01*
+X195368670Y-53370000D01*
+X195696986Y-53370000D01*
+X195753322Y-53388305D01*
+X195755256Y-53384509D01*
+X195853839Y-53434739D01*
+X195868366Y-53442141D01*
+X195893453Y-53446114D01*
+X195895300Y-53446407D01*
+X195959454Y-53476819D01*
+X195996981Y-53537087D01*
+X195995967Y-53608077D01*
+X195956735Y-53667249D01*
+X195886572Y-53696376D01*
+X195868740Y-53697936D01*
+X195856198Y-53700337D01*
+X195701051Y-53746784D01*
+X195687657Y-53752562D01*
+X195548210Y-53833071D01*
+X195536509Y-53841782D01*
+X195419385Y-53952283D01*
+X195410010Y-53963456D01*
+X195321530Y-54097984D01*
+X195314980Y-54111024D01*
+X195259907Y-54262337D01*
+X195256546Y-54276517D01*
+X195240301Y-54415505D01*
+X195242771Y-54429954D01*
+X195255465Y-54433500D01*
+X196195998Y-54433501D01*
+X196196004Y-54433500D01*
+X197408885Y-54433501D01*
+X197424124Y-54429026D01*
+X197425329Y-54427636D01*
+X197427000Y-54419953D01*
+X197427000Y-54409500D01*
+X197447002Y-54341379D01*
+X197500658Y-54294886D01*
+X197553000Y-54283500D01*
+X198195998Y-54283501D01*
+X198196004Y-54283500D01*
+X199416801Y-54283501D01*
+X199431430Y-54279206D01*
+X199433492Y-54267229D01*
+X199427442Y-54198071D01*
+X199425043Y-54185539D01*
+X199380261Y-54035953D01*
+X199374483Y-54022559D01*
+X199296876Y-53888139D01*
+X199288165Y-53876438D01*
+X199181648Y-53763536D01*
+X199170475Y-53754162D01*
+X199166078Y-53751270D01*
+X199120156Y-53697125D01*
+X199110798Y-53626748D01*
+X199140973Y-53562483D01*
+X199235318Y-53520000D01*
+X200029386Y-53520000D01*
+X200118482Y-53556905D01*
+X200476947Y-53915371D01*
+X201551096Y-54989521D01*
+X201588000Y-55078616D01*
+X201588000Y-56150000D01*
+X201591892Y-56169568D01*
+X201595539Y-56187898D01*
+X201600332Y-56211995D01*
+X201607224Y-56222309D01*
+X201607225Y-56222312D01*
+X201628071Y-56253509D01*
+X201635449Y-56264551D01*
+X201645765Y-56271444D01*
+X201677688Y-56292775D01*
+X201677691Y-56292776D01*
+X201688005Y-56299668D01*
+X201700172Y-56302088D01*
+X201700174Y-56302089D01*
+X201730432Y-56308108D01*
+X201750000Y-56312000D01*
+X203250000Y-56312000D01*
+X203269568Y-56308108D01*
+X203299826Y-56302089D01*
+X203299828Y-56302088D01*
+X203311995Y-56299668D01*
+X203322309Y-56292776D01*
+X203322312Y-56292775D01*
+X203354235Y-56271444D01*
+X203364551Y-56264551D01*
+X203371929Y-56253509D01*
+X203392775Y-56222312D01*
+X203392776Y-56222309D01*
+X203399668Y-56211995D01*
+X203404462Y-56187898D01*
+X203408108Y-56169568D01*
+X203412000Y-56150000D01*
+X203412000Y-54150000D01*
+X203405659Y-54118124D01*
+X203402089Y-54100174D01*
+X203402088Y-54100172D01*
+X203399668Y-54088005D01*
+X203392776Y-54077691D01*
+X203392775Y-54077688D01*
+X203371444Y-54045765D01*
+X203364551Y-54035449D01*
+X203352878Y-54027649D01*
+X203322312Y-54007225D01*
+X203322309Y-54007224D01*
+X203311995Y-54000332D01*
+X203299828Y-53997912D01*
+X203299826Y-53997911D01*
+X203265805Y-53991144D01*
+X203250000Y-53988000D01*
+X202178614Y-53988000D01*
+X202089519Y-53951095D01*
+X200713412Y-52574989D01*
+X200709758Y-52571180D01*
+X200682055Y-52541053D01*
+X200671051Y-52529086D01*
+X200663752Y-52524561D01*
+X200663748Y-52524557D01*
+X200633552Y-52505835D01*
+X200623769Y-52499112D01*
+X200595475Y-52477636D01*
+X200595474Y-52477635D01*
+X200588633Y-52472443D01*
+X200574348Y-52466787D01*
+X200554348Y-52456727D01*
+X200551663Y-52455063D01*
+X200548588Y-52453156D01*
+X200548586Y-52453155D01*
+X200541289Y-52448631D01*
+X200498909Y-52436318D01*
+X200487684Y-52432474D01*
+X200454665Y-52419401D01*
+X200454659Y-52419400D01*
+X200446674Y-52416238D01*
+X200431412Y-52414634D01*
+X200409427Y-52410321D01*
+X200394672Y-52406034D01*
+X200388095Y-52405551D01*
+X200382899Y-52405169D01*
+X200382886Y-52405169D01*
+X200380591Y-52405000D01*
+X200346363Y-52405000D01*
+X200333192Y-52404310D01*
+X200303376Y-52401176D01*
+X200294832Y-52400278D01*
+X200277338Y-52403237D01*
+X200256336Y-52405000D01*
+X198901276Y-52405000D01*
+X198849014Y-52391766D01*
+X198848937Y-52391989D01*
+X198846241Y-52391063D01*
+X198841307Y-52389814D01*
+X198839061Y-52388599D01*
+X198829879Y-52383630D01*
+X198776071Y-52374651D01*
+X198711387Y-52363857D01*
+X198711384Y-52363857D01*
+X198706250Y-52363000D01*
+X198193750Y-52363000D01*
+X198145319Y-52369037D01*
+X198109344Y-52373521D01*
+X198109342Y-52373522D01*
+X198100281Y-52374651D01*
+X198061263Y-52391766D01*
+X198055285Y-52394388D01*
+X198004672Y-52405000D01*
+X197349806Y-52405000D01*
+X197281685Y-52384998D01*
+X197246393Y-52345989D01*
+X197244842Y-52347116D01*
+X197239009Y-52339088D01*
+X197234509Y-52330256D01*
+X197144744Y-52240491D01*
+X197031634Y-52182859D01*
+X196906250Y-52163000D01*
+X195993750Y-52163000D01*
+X195868366Y-52182859D01*
+X195859531Y-52187361D01*
+X195859530Y-52187361D01*
+X195790752Y-52222405D01*
+X195755256Y-52240491D01*
+X195753322Y-52236695D01*
+X195696986Y-52255000D01*
+X195595615Y-52255000D01*
+X195506520Y-52218096D01*
+X194571433Y-51283010D01*
+X194568401Y-51279978D01*
+X194555227Y-51269978D01*
+X194482973Y-51215135D01*
+X194476133Y-51209943D01*
+X194334174Y-51153738D01*
+X194182332Y-51137778D01*
+X194173866Y-51139210D01*
+X194173864Y-51139210D01*
+X194124488Y-51147561D01*
+X194031790Y-51163240D01*
+X194022850Y-51167447D01*
+X193910098Y-51220505D01*
+X193893641Y-51228249D01*
+X193887142Y-51233858D01*
+X193887140Y-51233860D01*
+X193877131Y-51242500D01*
+X193778063Y-51328013D01*
+X193693571Y-51455182D01*
+X193690917Y-51463349D01*
+X193690917Y-51463350D01*
+X193657290Y-51566847D01*
+X193646391Y-51600390D01*
+X193639997Y-51752936D01*
+X188725490Y-51752936D01*
+X188725490Y-51296989D01*
+X188745492Y-51228868D01*
+X188799148Y-51182375D01*
+X188871200Y-51172540D01*
+X188933299Y-51182375D01*
+X188962500Y-51187000D01*
+X189437500Y-51187000D01*
+X189514853Y-51174748D01*
+X189551161Y-51168998D01*
+X189551162Y-51168998D01*
+X189560952Y-51167447D01*
+X189672320Y-51110702D01*
+X189760702Y-51022320D01*
+X189817447Y-50910952D01*
+X189837000Y-50787500D01*
+X189837000Y-50212500D01*
+X189838311Y-50212500D01*
+X189851666Y-50148911D01*
+X189901506Y-50098349D01*
+X189962685Y-50082500D01*
+X190512000Y-50082500D01*
+X190580121Y-50102502D01*
+X190626614Y-50156158D01*
+X190638000Y-50208500D01*
+X190638000Y-50350000D01*
+X190650332Y-50411995D01*
+X190657224Y-50422309D01*
+X190657225Y-50422312D01*
+X190678556Y-50454235D01*
+X190685449Y-50464551D01*
+X190695765Y-50471444D01*
+X190727688Y-50492775D01*
+X190727691Y-50492776D01*
+X190738005Y-50499668D01*
+X190750172Y-50502088D01*
+X190750174Y-50502089D01*
+X190780432Y-50508108D01*
+X190800000Y-50512000D01*
+X191450000Y-50512000D01*
+X191469568Y-50508108D01*
+X191499826Y-50502089D01*
+X191499828Y-50502088D01*
+X191511995Y-50499668D01*
+X191522309Y-50492776D01*
+X191522312Y-50492775D01*
+X191554235Y-50471444D01*
+X191564551Y-50464551D01*
+X191571444Y-50454235D01*
+X191592775Y-50422312D01*
+X191592776Y-50422309D01*
+X191599668Y-50411995D01*
+X191612000Y-50350000D01*
+X191612000Y-49300000D01*
+X191604191Y-49260744D01*
+X191602089Y-49250174D01*
+X191602088Y-49250172D01*
+X191599668Y-49238005D01*
+X191592776Y-49227691D01*
+X191592775Y-49227688D01*
+X191571444Y-49195765D01*
+X191564551Y-49185449D01*
+X191552004Y-49177065D01*
+X191522312Y-49157225D01*
+X191522309Y-49157224D01*
+X191511995Y-49150332D01*
+X191499828Y-49147912D01*
+X191499826Y-49147911D01*
+X191483918Y-49144747D01*
+X191421009Y-49111839D01*
+X191382500Y-49021168D01*
+X191382500Y-46478832D01*
+X191402502Y-46410711D01*
+X191483918Y-46355253D01*
+X191499826Y-46352089D01*
+X191499828Y-46352088D01*
+X191511995Y-46349668D01*
+X191522309Y-46342776D01*
+X191522312Y-46342775D01*
+X191554235Y-46321444D01*
+X191564551Y-46314551D01*
+X191587776Y-46279793D01*
+X191592775Y-46272312D01*
+X191592776Y-46272309D01*
+X191599668Y-46261995D01*
+X191612000Y-46200000D01*
+X191612000Y-45150000D01*
+X192788000Y-45150000D01*
+X192788000Y-46200000D01*
+X192800332Y-46261995D01*
+X192807224Y-46272309D01*
+X192807225Y-46272312D01*
+X192812224Y-46279793D01*
+X192835449Y-46314551D01*
+X192845765Y-46321444D01*
+X192877688Y-46342775D01*
+X192877691Y-46342776D01*
+X192888005Y-46349668D01*
+X192900172Y-46352088D01*
+X192900174Y-46352089D01*
+X192916082Y-46355253D01*
+X192978992Y-46388160D01*
+X193017501Y-46478832D01*
+X193017500Y-47750140D01*
+X193017500Y-49021168D01*
+X192997498Y-49089289D01*
+X192916082Y-49144747D01*
+X192900174Y-49147911D01*
+X192900172Y-49147912D01*
+X192888005Y-49150332D01*
+X192877691Y-49157224D01*
+X192877688Y-49157225D01*
+X192847996Y-49177065D01*
+X192835449Y-49185449D01*
+X192828556Y-49195765D01*
+X192807225Y-49227688D01*
+X192807224Y-49227691D01*
+X192800332Y-49238005D01*
+X192797912Y-49250172D01*
+X192797911Y-49250174D01*
+X192795809Y-49260744D01*
+X192788000Y-49300000D01*
+X192788000Y-50350000D01*
+X192800332Y-50411995D01*
+X192807224Y-50422309D01*
+X192807225Y-50422312D01*
+X192828556Y-50454235D01*
+X192835449Y-50464551D01*
+X192845765Y-50471444D01*
+X192877688Y-50492775D01*
+X192877691Y-50492776D01*
+X192888005Y-50499668D01*
+X192900172Y-50502088D01*
+X192900174Y-50502089D01*
+X192930432Y-50508108D01*
+X192950000Y-50512000D01*
+X193600000Y-50512000D01*
+X193619568Y-50508108D01*
+X193649826Y-50502089D01*
+X193649828Y-50502088D01*
+X193661995Y-50499668D01*
+X193672309Y-50492776D01*
+X193672312Y-50492775D01*
+X193704235Y-50471444D01*
+X193714551Y-50464551D01*
+X193721444Y-50454235D01*
+X193742775Y-50422312D01*
+X193742776Y-50422309D01*
+X193749668Y-50411995D01*
+X193762000Y-50350000D01*
+X193762000Y-49300000D01*
+X193754191Y-49260744D01*
+X193752089Y-49250174D01*
+X193752088Y-49250172D01*
+X193749668Y-49238005D01*
+X193742776Y-49227691D01*
+X193742775Y-49227688D01*
+X193721444Y-49195765D01*
+X193714551Y-49185449D01*
+X193702004Y-49177065D01*
+X193672312Y-49157225D01*
+X193672309Y-49157224D01*
+X193661995Y-49150332D01*
+X193649828Y-49147912D01*
+X193649826Y-49147911D01*
+X193633918Y-49144747D01*
+X193571009Y-49111839D01*
+X193532500Y-49021168D01*
+X193532500Y-48517547D01*
+X198762000Y-48517547D01*
+X198762000Y-48703510D01*
+X198762238Y-48708974D01*
+X198772938Y-48831270D01*
+X198775337Y-48843802D01*
+X198821784Y-48998949D01*
+X198827562Y-49012343D01*
+X198908071Y-49151790D01*
+X198916782Y-49163491D01*
+X199027283Y-49280615D01*
+X199038456Y-49289990D01*
+X199172984Y-49378470D01*
+X199186024Y-49385020D01*
+X199337337Y-49440093D01*
+X199351517Y-49443454D01*
+X199490505Y-49459699D01*
+X199504954Y-49457229D01*
+X199508500Y-49444535D01*
+X199508501Y-48522115D01*
+X199504026Y-48506876D01*
+X199502636Y-48505671D01*
+X199494953Y-48504000D01*
+X198780115Y-48503999D01*
+X198764876Y-48508474D01*
+X198763671Y-48509864D01*
+X198762000Y-48517547D01*
+X193532500Y-48517547D01*
+X193532500Y-47797420D01*
+X198762000Y-47797420D01*
+X198762000Y-47977885D01*
+X198766475Y-47993124D01*
+X198767865Y-47994329D01*
+X198775548Y-47996000D01*
+X199490385Y-47996001D01*
+X199505624Y-47991526D01*
+X199506829Y-47990136D01*
+X199508500Y-47982453D01*
+X199508501Y-47109355D01*
+X199528503Y-47041234D01*
+X199546511Y-47027752D01*
+X199543896Y-47025486D01*
+X199569329Y-46996135D01*
+X199571000Y-46988452D01*
+X199571001Y-46522115D01*
+X199566526Y-46506875D01*
+X199565136Y-46505671D01*
+X199557454Y-46504000D01*
+X198806559Y-46503999D01*
+X198791931Y-46508294D01*
+X198789868Y-46520271D01*
+X198797843Y-46611435D01*
+X198800241Y-46623966D01*
+X198846273Y-46777724D01*
+X198852051Y-46791118D01*
+X198931835Y-46929308D01*
+X198940546Y-46941009D01*
+X199036218Y-47042415D01*
+X199068416Y-47105691D01*
+X199061294Y-47176329D01*
+X199031036Y-47220531D01*
+X198944386Y-47302282D01*
+X198935010Y-47313456D01*
+X198846530Y-47447984D01*
+X198839980Y-47461024D01*
+X198784907Y-47612337D01*
+X198781546Y-47626517D01*
+X198762426Y-47790104D01*
+X198762000Y-47797420D01*
+X193532500Y-47797420D01*
+X193532500Y-46478832D01*
+X193552502Y-46410711D01*
+X193633918Y-46355253D01*
+X193649826Y-46352089D01*
+X193649828Y-46352088D01*
+X193661995Y-46349668D01*
+X193672309Y-46342776D01*
+X193672312Y-46342775D01*
+X193704235Y-46321444D01*
+X193714551Y-46314551D01*
+X193737776Y-46279793D01*
+X193742775Y-46272312D01*
+X193742776Y-46272309D01*
+X193749668Y-46261995D01*
+X193762000Y-46200000D01*
+X193762000Y-45404350D01*
+X193798905Y-45315255D01*
+X193869755Y-45244405D01*
+X193958850Y-45207500D01*
+X198987345Y-45207500D01*
+X199055466Y-45227502D01*
+X199101959Y-45281158D01*
+X199112063Y-45351432D01*
+X199073812Y-45425149D01*
+X198967924Y-45525050D01*
+X198958548Y-45536224D01*
+X198870863Y-45669542D01*
+X198864319Y-45682573D01*
+X198809739Y-45832530D01*
+X198806379Y-45846707D01*
+X198791032Y-45978004D01*
+X198793502Y-45992454D01*
+X198806196Y-45996000D01*
+X199570998Y-45996001D01*
+X199571004Y-45996000D01*
+X199953000Y-45996001D01*
+X200021121Y-46016003D01*
+X200067614Y-46069659D01*
+X200079000Y-46122001D01*
+X200078999Y-47165645D01*
+X200058997Y-47233766D01*
+X200040989Y-47247248D01*
+X200043604Y-47249514D01*
+X200018171Y-47278865D01*
+X200016500Y-47286548D01*
+X200016499Y-47995998D01*
+X200016500Y-47996004D01*
+X200016499Y-49443988D01*
+X200020794Y-49458617D01*
+X200032771Y-49460679D01*
+X200131270Y-49452062D01*
+X200143802Y-49449663D01*
+X200298957Y-49403214D01*
+X200312337Y-49397442D01*
+X200312886Y-49397125D01*
+X200313310Y-49397022D01*
+X200319076Y-49394535D01*
+X200319501Y-49395520D01*
+X200381882Y-49380389D01*
+X200455023Y-49414827D01*
+X200457921Y-49411267D01*
+X200457921Y-49411268D01*
+X200504182Y-49448931D01*
+X200507160Y-49451434D01*
+X200516863Y-49459854D01*
+X200536371Y-49476782D01*
+X200552180Y-49490501D01*
+X200558882Y-49493464D01*
+X200564568Y-49498093D01*
+X200597879Y-49511585D01*
+X200619806Y-49520466D01*
+X200623424Y-49521997D01*
+X200677960Y-49546108D01*
+X200685239Y-49546969D01*
+X200692032Y-49549721D01*
+X200712961Y-49551534D01*
+X200751392Y-49554862D01*
+X200755329Y-49555265D01*
+X200805174Y-49561164D01*
+X200814527Y-49562271D01*
+X200821736Y-49560954D01*
+X200829041Y-49561587D01*
+X200838246Y-49559605D01*
+X200838249Y-49559605D01*
+X200887326Y-49549039D01*
+X200891190Y-49548270D01*
+X200914505Y-49544012D01*
+X200940549Y-49539256D01*
+X200940552Y-49539255D01*
+X200949811Y-49537564D01*
+X200956313Y-49534186D01*
+X200963482Y-49532643D01*
+X201015502Y-49503511D01*
+X201018984Y-49501632D01*
+X201065475Y-49477482D01*
+X201065478Y-49477480D01*
+X201071850Y-49474170D01*
+X201078576Y-49468425D01*
+X201079115Y-49467886D01*
+X201083470Y-49465447D01*
+X201122138Y-49424913D01*
+X201124212Y-49422790D01*
+X201398097Y-49148905D01*
+X201487192Y-49112000D01*
+X201658885Y-49112000D01*
+X201747980Y-49148905D01*
+X201836609Y-49237534D01*
+X201840263Y-49241343D01*
+X201878949Y-49283414D01*
+X201886246Y-49287938D01*
+X201886248Y-49287940D01*
+X201916446Y-49306663D01*
+X201926229Y-49313387D01*
+X201954525Y-49334865D01*
+X201954528Y-49334867D01*
+X201961366Y-49340057D01*
+X201975642Y-49345709D01*
+X201995656Y-49355775D01*
+X202008711Y-49363869D01*
+X202016955Y-49366264D01*
+X202016957Y-49366265D01*
+X202051078Y-49376178D01*
+X202062307Y-49380023D01*
+X202095335Y-49393100D01*
+X202095342Y-49393102D01*
+X202103324Y-49396262D01*
+X202111864Y-49397160D01*
+X202111866Y-49397160D01*
+X202118595Y-49397867D01*
+X202140575Y-49402180D01*
+X202155328Y-49406466D01*
+X202161910Y-49406949D01*
+X202161914Y-49406950D01*
+X202167101Y-49407331D01*
+X202167114Y-49407331D01*
+X202169409Y-49407500D01*
+X202203637Y-49407500D01*
+X202216808Y-49408190D01*
+X202246092Y-49411268D01*
+X202255168Y-49412222D01*
+X202272662Y-49409263D01*
+X202293664Y-49407500D01*
+X202612000Y-49407500D01*
+X202680121Y-49427502D01*
+X202726614Y-49481158D01*
+X202738000Y-49533500D01*
+X202738000Y-49850000D01*
+X202750332Y-49911995D01*
+X202757224Y-49922309D01*
+X202757225Y-49922312D01*
+X202778556Y-49954235D01*
+X202785449Y-49964551D01*
+X202795765Y-49971444D01*
+X202827688Y-49992775D01*
+X202827691Y-49992776D01*
+X202838005Y-49999668D01*
+X202850172Y-50002088D01*
+X202850174Y-50002089D01*
+X202880432Y-50008108D01*
+X202900000Y-50012000D01*
+X204116500Y-50012000D01*
+X204184621Y-50032002D01*
+X204231114Y-50085658D01*
+X204242500Y-50138000D01*
+X204242501Y-53862000D01*
+X204222499Y-53930121D01*
+X204168843Y-53976614D01*
+X204116501Y-53988000D01*
+X204050000Y-53988000D01*
+X204034195Y-53991144D01*
+X204000174Y-53997911D01*
+X204000172Y-53997912D01*
+X203988005Y-54000332D01*
+X203977691Y-54007224D01*
+X203977688Y-54007225D01*
+X203947122Y-54027649D01*
+X203935449Y-54035449D01*
+X203928556Y-54045765D01*
+X203907225Y-54077688D01*
+X203907224Y-54077691D01*
+X203900332Y-54088005D01*
+X203897912Y-54100172D01*
+X203897911Y-54100174D01*
+X203894341Y-54118124D01*
+X203888000Y-54150000D01*
+X203888000Y-56150000D01*
+X203891892Y-56169568D01*
+X203895539Y-56187898D01*
+X203900332Y-56211995D01*
+X203907224Y-56222309D01*
+X203907225Y-56222312D01*
+X203928071Y-56253509D01*
+X203935449Y-56264551D01*
+X203945765Y-56271444D01*
+X203977688Y-56292775D01*
+X203977691Y-56292776D01*
+X203988005Y-56299668D01*
+X204000172Y-56302088D01*
+X204000174Y-56302089D01*
+X204030432Y-56308108D01*
+X204050000Y-56312000D01*
+X205550000Y-56312000D01*
+X205569568Y-56308108D01*
+X205599826Y-56302089D01*
+X205599828Y-56302088D01*
+X205611995Y-56299668D01*
+X205622309Y-56292776D01*
+X205622312Y-56292775D01*
+X205654235Y-56271444D01*
+X205664551Y-56264551D01*
+X205667354Y-56260357D01*
+X205725191Y-56228774D01*
+X205796006Y-56233839D01*
+X205852842Y-56276386D01*
+X205875095Y-56325113D01*
+X205880964Y-56352094D01*
+X205889455Y-56372594D01*
+X205957426Y-56478360D01*
+X205969112Y-56491847D01*
+X206065840Y-56575662D01*
+X206080848Y-56585307D01*
+X206197275Y-56638477D01*
+X206214388Y-56643502D01*
+X206345554Y-56662361D01*
+X206354495Y-56663000D01*
+X206827885Y-56663000D01*
+X206843124Y-56658525D01*
+X206844329Y-56657135D01*
+X206846000Y-56649452D01*
+X206846000Y-56644885D01*
+X207353999Y-56644885D01*
+X207358474Y-56660124D01*
+X207359864Y-56661329D01*
+X207367547Y-56663000D01*
+X207843243Y-56663000D01*
+X207856605Y-56661563D01*
+X208052089Y-56619038D01*
+X208072594Y-56610545D01*
+X208178360Y-56542574D01*
+X208191847Y-56530888D01*
+X208275662Y-56434160D01*
+X208285307Y-56419152D01*
+X208338477Y-56302725D01*
+X208343502Y-56285612D01*
+X208362361Y-56154446D01*
+X208363000Y-56145505D01*
+X208363000Y-55422115D01*
+X208358525Y-55406876D01*
+X208357135Y-55405671D01*
+X208349452Y-55404000D01*
+X207372115Y-55403999D01*
+X207356876Y-55408474D01*
+X207355671Y-55409864D01*
+X207354000Y-55417547D01*
+X207353999Y-56644885D01*
+X206846000Y-56644885D01*
+X206846001Y-55404000D01*
+X206846000Y-55403996D01*
+X206846000Y-54877885D01*
+X207353999Y-54877885D01*
+X207358474Y-54893124D01*
+X207359864Y-54894329D01*
+X207367547Y-54896000D01*
+X208344885Y-54896001D01*
+X208360124Y-54891526D01*
+X208361329Y-54890136D01*
+X208363000Y-54882453D01*
+X208363000Y-54769242D01*
+X211480490Y-54769242D01*
+X211493476Y-54854101D01*
+X211512463Y-54978179D01*
+X211514429Y-54991029D01*
+X211536766Y-55056270D01*
+X211562515Y-55131476D01*
+X211587106Y-55203302D01*
+X211696224Y-55399349D01*
+X211699776Y-55403689D01*
+X211699779Y-55403693D01*
+X211790312Y-55514302D01*
+X211838335Y-55572975D01*
+X211853145Y-55585624D01*
+X212004682Y-55715049D01*
+X212004686Y-55715052D01*
+X212008947Y-55718691D01*
+X212202667Y-55831892D01*
+X212207933Y-55833819D01*
+X212207937Y-55833821D01*
+X212408106Y-55907072D01*
+X212408109Y-55907073D01*
+X212413370Y-55908998D01*
+X212418886Y-55909961D01*
+X212418891Y-55909962D01*
+X212549410Y-55932741D01*
+X212634399Y-55947574D01*
+X212640005Y-55947545D01*
+X212640009Y-55947545D01*
+X212743025Y-55947005D01*
+X212858765Y-55946399D01*
+X212892466Y-55940153D01*
+X212969071Y-55925955D01*
+X213079377Y-55905511D01*
+X213084626Y-55903528D01*
+X213084628Y-55903527D01*
+X213183916Y-55866009D01*
+X213289262Y-55826202D01*
+X213338844Y-55796528D01*
+X213429239Y-55742427D01*
+X213481785Y-55710979D01*
+X213486005Y-55707297D01*
+X213486010Y-55707294D01*
+X213646631Y-55567175D01*
+X213650861Y-55563485D01*
+X213681456Y-55525296D01*
+X213787641Y-55392757D01*
+X213787644Y-55392752D01*
+X213791147Y-55388380D01*
+X213898206Y-55191201D01*
+X213968657Y-54978179D01*
+X213970320Y-54966500D01*
+X213990288Y-54826187D01*
+X214000270Y-54756048D01*
+X214001595Y-54705449D01*
+X214001905Y-54693631D01*
+X214001905Y-54693629D01*
+X214002000Y-54690000D01*
+X214000368Y-54671708D01*
+X213995433Y-54616422D01*
+X213982055Y-54466519D01*
+X213930274Y-54277238D01*
+X213924332Y-54255518D01*
+X213924331Y-54255514D01*
+X213922850Y-54250102D01*
+X213826256Y-54047590D01*
+X213822984Y-54043036D01*
+X213698604Y-53869941D01*
+X213698599Y-53869936D01*
+X213695328Y-53865383D01*
+X213534202Y-53709242D01*
+X213347973Y-53584101D01*
+X213201948Y-53520000D01*
+X213147669Y-53496173D01*
+X213147667Y-53496172D01*
+X213142527Y-53493916D01*
+X212982838Y-53455578D01*
+X212929814Y-53442848D01*
+X212929813Y-53442848D01*
+X212924357Y-53441538D01*
+X212839591Y-53436651D01*
+X212705967Y-53428946D01*
+X212705964Y-53428946D01*
+X212700360Y-53428623D01*
+X212477615Y-53455578D01*
+X212263165Y-53521551D01*
+X212258185Y-53524121D01*
+X212258181Y-53524123D01*
+X212094494Y-53608609D01*
+X212063787Y-53624458D01*
+X211885783Y-53761045D01*
+X211882010Y-53765191D01*
+X211882005Y-53765196D01*
+X211766696Y-53891920D01*
+X211734779Y-53926996D01*
+X211674833Y-54022559D01*
+X211618633Y-54112150D01*
+X211615550Y-54117064D01*
+X211531863Y-54325242D01*
+X211486364Y-54544949D01*
+X211480490Y-54769242D01*
+X208363000Y-54769242D01*
+X208363000Y-54156757D01*
+X208361563Y-54143395D01*
+X208319038Y-53947911D01*
+X208310545Y-53927406D01*
+X208242574Y-53821640D01*
+X208230888Y-53808153D01*
+X208134160Y-53724338D01*
+X208119152Y-53714693D01*
+X208002725Y-53661523D01*
+X207985612Y-53656498D01*
+X207854446Y-53637639D01*
+X207845505Y-53637000D01*
+X207372115Y-53637000D01*
+X207356876Y-53641475D01*
+X207355671Y-53642865D01*
+X207354000Y-53650548D01*
+X207353999Y-54877885D01*
+X206846000Y-54877885D01*
+X206846001Y-53655115D01*
+X206841526Y-53639876D01*
+X206840136Y-53638671D01*
+X206832453Y-53637000D01*
+X206356757Y-53637000D01*
+X206343395Y-53638437D01*
+X206147911Y-53680962D01*
+X206127406Y-53689455D01*
+X206021640Y-53757426D01*
+X206008153Y-53769112D01*
+X205924338Y-53865840D01*
+X205914691Y-53880852D01*
+X205862115Y-53995976D01*
+X205815622Y-54049631D01*
+X205747501Y-54069633D01*
+X205664643Y-54035311D01*
+X205664551Y-54035449D01*
+X205663858Y-54034986D01*
+X205652878Y-54027649D01*
+X205622312Y-54007225D01*
+X205622309Y-54007224D01*
+X205611995Y-54000332D01*
+X205599828Y-53997912D01*
+X205599826Y-53997911D01*
+X205565805Y-53991144D01*
+X205550000Y-53988000D01*
+X205483500Y-53988000D01*
+X205415379Y-53967998D01*
+X205368886Y-53914342D01*
+X205357500Y-53862000D01*
+X205357500Y-53405870D01*
+X209188099Y-53405870D01*
+X209188855Y-53414876D01*
+X209201210Y-53562000D01*
+X209204626Y-53602685D01*
+X209222188Y-53663932D01*
+X209256583Y-53783882D01*
+X209259066Y-53792542D01*
+X209261885Y-53798027D01*
+X209346529Y-53962727D01*
+X209346532Y-53962732D01*
+X209349347Y-53968209D01*
+X209472028Y-54122994D01*
+X209476722Y-54126988D01*
+X209476722Y-54126989D01*
+X209617736Y-54247001D01*
+X209622438Y-54251003D01*
+X209627816Y-54254009D01*
+X209627818Y-54254010D01*
+X209680586Y-54283501D01*
+X209794847Y-54347359D01*
+X209982688Y-54408392D01*
+X210178806Y-54431778D01*
+X210184941Y-54431306D01*
+X210184943Y-54431306D01*
+X210369589Y-54417098D01*
+X210369592Y-54417097D01*
+X210375732Y-54416625D01*
+X210565964Y-54363512D01*
+X210742257Y-54274460D01*
+X210751513Y-54267229D01*
+X210893039Y-54156656D01*
+X210897895Y-54152862D01*
+X210908132Y-54141003D01*
+X210943374Y-54100174D01*
+X211026951Y-54003350D01*
+X211034986Y-53989207D01*
+X211121465Y-53836974D01*
+X211121466Y-53836973D01*
+X211124508Y-53831617D01*
+X211130043Y-53814980D01*
+X211168978Y-53697936D01*
+X211186851Y-53644207D01*
+X211211605Y-53448257D01*
+X211211875Y-53428946D01*
+X211211951Y-53423522D01*
+X211211951Y-53423518D01*
+X211212000Y-53420000D01*
+X211192727Y-53223435D01*
+X211135641Y-53034357D01*
+X211121236Y-53007265D01*
+X211045810Y-52865410D01*
+X211045808Y-52865407D01*
+X211042916Y-52859968D01*
+X210918086Y-52706910D01*
+X210765903Y-52581014D01*
+X210592166Y-52487074D01*
+X210403491Y-52428670D01*
+X210397366Y-52428026D01*
+X210397365Y-52428026D01*
+X210213193Y-52408669D01*
+X210213192Y-52408669D01*
+X210207065Y-52408025D01*
+X210086743Y-52418975D01*
+X210016511Y-52425366D01*
+X210016510Y-52425366D01*
+X210010370Y-52425925D01*
+X209969350Y-52437998D01*
+X209826809Y-52479950D01*
+X209826806Y-52479951D01*
+X209820898Y-52481690D01*
+X209645866Y-52573195D01*
+X209641068Y-52577053D01*
+X209641066Y-52577054D01*
+X209600414Y-52609739D01*
+X209491941Y-52696954D01*
+X209487982Y-52701672D01*
+X209487981Y-52701673D01*
+X209370094Y-52842164D01*
+X209364985Y-52848253D01*
+X209362022Y-52853642D01*
+X209362019Y-52853647D01*
+X209295944Y-52973839D01*
+X209269835Y-53021331D01*
+X209210115Y-53209593D01*
+X209209429Y-53215710D01*
+X209209428Y-53215714D01*
+X209195450Y-53340337D01*
+X209188099Y-53405870D01*
+X205357500Y-53405870D01*
+X205357500Y-50138000D01*
+X205377502Y-50069879D01*
+X205431158Y-50023386D01*
+X205483500Y-50012000D01*
+X206700000Y-50012000D01*
+X206719568Y-50008108D01*
+X206749826Y-50002089D01*
+X206749828Y-50002088D01*
+X206761995Y-49999668D01*
+X206772309Y-49992776D01*
+X206772312Y-49992775D01*
+X206804235Y-49971444D01*
+X206814551Y-49964551D01*
+X206821444Y-49954235D01*
+X206842775Y-49922312D01*
+X206842776Y-49922309D01*
+X206849668Y-49911995D01*
+X206862000Y-49850000D01*
+X206862000Y-47850000D01*
+X206849668Y-47788005D01*
+X206842776Y-47777691D01*
+X206842775Y-47777688D01*
+X206821444Y-47745765D01*
+X206814551Y-47735449D01*
+X206803463Y-47728040D01*
+X206772312Y-47707225D01*
+X206772309Y-47707224D01*
+X206761995Y-47700332D01*
+X206749828Y-47697912D01*
+X206749826Y-47697911D01*
+X206719568Y-47691892D01*
+X206700000Y-47688000D01*
+X202900000Y-47688000D01*
+X202880432Y-47691892D01*
+X202850174Y-47697911D01*
+X202850172Y-47697912D01*
+X202838005Y-47700332D01*
+X202827691Y-47707224D01*
+X202827688Y-47707225D01*
+X202796537Y-47728040D01*
+X202785449Y-47735449D01*
+X202778556Y-47745765D01*
+X202757225Y-47777688D01*
+X202757224Y-47777691D01*
+X202750332Y-47788005D01*
+X202738000Y-47850000D01*
+X202738000Y-48166500D01*
+X202717998Y-48234621D01*
+X202664342Y-48281114D01*
+X202612000Y-48292500D01*
+X202520615Y-48292500D01*
+X202431520Y-48255596D01*
+X202323905Y-48147982D01*
+X202287000Y-48058886D01*
+X202287000Y-47793750D01*
+X202267141Y-47668366D01*
+X202209509Y-47555256D01*
+X202142517Y-47488264D01*
+X202123740Y-47453876D01*
+X219438604Y-47453876D01*
+X219438828Y-47458542D01*
+X219438828Y-47458547D01*
+X219440870Y-47501051D01*
+X219451134Y-47714734D01*
+X219502083Y-47970874D01*
+X219590333Y-48216670D01*
+X219603246Y-48240703D01*
+X219700362Y-48421444D01*
+X219713944Y-48446722D01*
+X219716739Y-48450465D01*
+X219716741Y-48450468D01*
+X219867410Y-48652238D01*
+X219867415Y-48652244D01*
+X219870202Y-48655976D01*
+X219873511Y-48659256D01*
+X219873516Y-48659262D01*
+X220022706Y-48807155D01*
+X220055673Y-48839835D01*
+X220163266Y-48918726D01*
+X220248115Y-48980940D01*
+X220266282Y-48994261D01*
+X220270425Y-48996441D01*
+X220270427Y-48996442D01*
+X220493254Y-49113677D01*
+X220493259Y-49113679D01*
+X220497404Y-49115860D01*
+X220501827Y-49117405D01*
+X220501828Y-49117405D01*
+X220721677Y-49194179D01*
+X220743961Y-49201961D01*
+X220748554Y-49202833D01*
+X220941245Y-49239417D01*
+X221000536Y-49250674D01*
+X221123341Y-49255499D01*
+X221256825Y-49260744D01*
+X221256830Y-49260744D01*
+X221261493Y-49260927D01*
+X221368659Y-49249190D01*
+X221516446Y-49233005D01*
+X221516451Y-49233004D01*
+X221521099Y-49232495D01*
+X221525623Y-49231304D01*
+X221769128Y-49167195D01*
+X221769130Y-49167194D01*
+X221773651Y-49166004D01*
+X221785410Y-49160952D01*
+X222009307Y-49064758D01*
+X222009309Y-49064757D01*
+X222013601Y-49062913D01*
+X222235678Y-48925488D01*
+X222435002Y-48756747D01*
+X222500430Y-48682141D01*
+X222604114Y-48563913D01*
+X222604118Y-48563908D01*
+X222607196Y-48560398D01*
+X222631821Y-48522115D01*
+X222701008Y-48414551D01*
+X222748476Y-48340754D01*
+X222855738Y-48102639D01*
+X222926627Y-47851286D01*
+X222933480Y-47797420D01*
+X222959187Y-47595346D01*
+X222959187Y-47595342D01*
+X222959585Y-47592216D01*
+X222960322Y-47564097D01*
+X222961917Y-47503160D01*
+X222962000Y-47500000D01*
+X222961083Y-47487657D01*
+X222942992Y-47244212D01*
+X222942991Y-47244208D01*
+X222942646Y-47239560D01*
+X222885009Y-46984841D01*
+X222880926Y-46974341D01*
+X222792048Y-46745792D01*
+X222792047Y-46745790D01*
+X222790355Y-46741439D01*
+X222752235Y-46674742D01*
+X222735795Y-46645979D01*
+X222660764Y-46514702D01*
+X222499083Y-46309610D01*
+X222308863Y-46130669D01*
+X222109628Y-45992454D01*
+X222098125Y-45984474D01*
+X222098122Y-45984472D01*
+X222094283Y-45981809D01*
+X222090093Y-45979743D01*
+X222090090Y-45979741D01*
+X221864245Y-45868367D01*
+X221864242Y-45868366D01*
+X221860057Y-45866302D01*
+X221854422Y-45864498D01*
+X221735694Y-45826493D01*
+X221611331Y-45786684D01*
+X221486401Y-45766338D01*
+X221358181Y-45745456D01*
+X221358177Y-45745456D01*
+X221353568Y-45744705D01*
+X221223000Y-45742995D01*
+X221097109Y-45741347D01*
+X221097106Y-45741347D01*
+X221092432Y-45741286D01*
+X220908357Y-45766338D01*
+X220838288Y-45775874D01*
+X220838286Y-45775874D01*
+X220833660Y-45776504D01*
+X220829178Y-45777810D01*
+X220829175Y-45777811D01*
+X220756727Y-45798928D01*
+X220582935Y-45849584D01*
+X220578688Y-45851542D01*
+X220578685Y-45851543D01*
+X220528230Y-45874803D01*
+X220345765Y-45958920D01*
+X220341856Y-45961483D01*
+X220131275Y-46099545D01*
+X220131270Y-46099549D01*
+X220127362Y-46102111D01*
+X220116091Y-46112171D01*
+X219938856Y-46270360D01*
+X219932523Y-46276012D01*
+X219765528Y-46476801D01*
+X219630047Y-46700069D01*
+X219628238Y-46704383D01*
+X219628237Y-46704385D01*
+X219532969Y-46931574D01*
+X219529054Y-46940909D01*
+X219527903Y-46945441D01*
+X219527902Y-46945444D01*
+X219508357Y-47022405D01*
+X219464769Y-47194032D01*
+X219438604Y-47453876D01*
+X202123740Y-47453876D01*
+X202108491Y-47425952D01*
+X202106485Y-47384360D01*
+X202111164Y-47344825D01*
+X202112271Y-47335472D01*
+X202108913Y-47317085D01*
+X202089257Y-47209456D01*
+X202089256Y-47209453D01*
+X202087564Y-47200189D01*
+X202079907Y-47185449D01*
+X202046686Y-47121494D01*
+X202032500Y-47063412D01*
+X202032500Y-46920079D01*
+X202052502Y-46851958D01*
+X202084438Y-46818144D01*
+X202088484Y-46815204D01*
+X202097320Y-46810702D01*
+X202185702Y-46722320D01*
+X202242447Y-46610952D01*
+X202246162Y-46587500D01*
+X202256517Y-46522115D01*
+X202262000Y-46487500D01*
+X202262000Y-46482545D01*
+X202262388Y-46477616D01*
+X202263697Y-46477719D01*
+X202282002Y-46415379D01*
+X202335658Y-46368886D01*
+X202388000Y-46357500D01*
+X202425136Y-46357500D01*
+X202500454Y-46342518D01*
+X202585616Y-46285616D01*
+X202642518Y-46200454D01*
+X202662500Y-46100000D01*
+X202642518Y-45999546D01*
+X202632448Y-45984474D01*
+X202592508Y-45924699D01*
+X202585616Y-45914384D01*
+X202526377Y-45874803D01*
+X202510772Y-45864376D01*
+X202500454Y-45857482D01*
+X202425136Y-45842500D01*
+X202331874Y-45842500D01*
+X202325807Y-45843707D01*
+X202325805Y-45843707D01*
+X202322688Y-45844327D01*
+X202321023Y-45844178D01*
+X202319642Y-45844314D01*
+X202319616Y-45844052D01*
+X202251974Y-45837999D01*
+X202200528Y-45791275D01*
+X202196035Y-45794540D01*
+X202190202Y-45786512D01*
+X202185702Y-45777680D01*
+X202097320Y-45689298D01*
+X201985952Y-45632553D01*
+X201976162Y-45631002D01*
+X201976161Y-45631002D01*
+X201939853Y-45625252D01*
+X201862500Y-45613000D01*
+X201832999Y-45613000D01*
+X201763947Y-45584397D01*
+X201760615Y-45589383D01*
+X201749618Y-45582035D01*
+X201730525Y-45566365D01*
+X200958634Y-44794474D01*
+X200942968Y-44775386D01*
+X200935616Y-44764384D01*
+X200850454Y-44707482D01*
+X200775136Y-44692500D01*
+X200775135Y-44692500D01*
+X200750000Y-44687500D01*
+X200737830Y-44689921D01*
+X200737828Y-44689921D01*
+X200737034Y-44690079D01*
+X200712452Y-44692500D01*
+X193837547Y-44692500D01*
+X193812965Y-44690079D01*
+X193812171Y-44689921D01*
+X193812169Y-44689921D01*
+X193799999Y-44687500D01*
+X193774864Y-44692500D01*
+X193711716Y-44705061D01*
+X193711715Y-44705061D01*
+X193699546Y-44707482D01*
+X193614384Y-44764384D01*
+X193607492Y-44774699D01*
+X193607490Y-44774701D01*
+X193607035Y-44775382D01*
+X193591365Y-44794475D01*
+X193434745Y-44951095D01*
+X193345650Y-44988000D01*
+X192950000Y-44988000D01*
+X192930432Y-44991892D01*
+X192900174Y-44997911D01*
+X192900172Y-44997912D01*
+X192888005Y-45000332D01*
+X192877691Y-45007224D01*
+X192877688Y-45007225D01*
+X192860813Y-45018501D01*
+X192835449Y-45035449D01*
+X192828556Y-45045765D01*
+X192807225Y-45077688D01*
+X192807224Y-45077691D01*
+X192800332Y-45088005D01*
+X192797912Y-45100172D01*
+X192797911Y-45100174D01*
+X192792784Y-45125952D01*
+X192788000Y-45150000D01*
+X191612000Y-45150000D01*
+X191607216Y-45125952D01*
+X191602089Y-45100174D01*
+X191602088Y-45100172D01*
+X191599668Y-45088005D01*
+X191592776Y-45077691D01*
+X191592775Y-45077688D01*
+X191571444Y-45045765D01*
+X191564551Y-45035449D01*
+X191539187Y-45018501D01*
+X191522312Y-45007225D01*
+X191522309Y-45007224D01*
+X191511995Y-45000332D01*
+X191499828Y-44997912D01*
+X191499826Y-44997911D01*
+X191469568Y-44991892D01*
+X191450000Y-44988000D01*
+X190800000Y-44988000D01*
+X190780432Y-44991892D01*
+X190750174Y-44997911D01*
+X190750172Y-44997912D01*
+X190738005Y-45000332D01*
+X190727691Y-45007224D01*
+X190727688Y-45007225D01*
+X190710813Y-45018501D01*
+X190685449Y-45035449D01*
+X190678556Y-45045765D01*
+X190657225Y-45077688D01*
+X190657224Y-45077691D01*
+X190650332Y-45088005D01*
+X190647912Y-45100172D01*
+X190647911Y-45100174D01*
+X190642784Y-45125952D01*
+X190638000Y-45150000D01*
+X190638000Y-46200000D01*
+X190650332Y-46261995D01*
+X190657224Y-46272309D01*
+X190657225Y-46272312D01*
+X190662224Y-46279793D01*
+X190685449Y-46314551D01*
+X190695765Y-46321444D01*
+X190727688Y-46342775D01*
+X190727691Y-46342776D01*
+X190738005Y-46349668D01*
+X190750172Y-46352088D01*
+X190750174Y-46352089D01*
+X190766082Y-46355253D01*
+X190828991Y-46388161D01*
+X190867500Y-46478832D01*
+X190867501Y-49021168D01*
+X190847499Y-49089289D01*
+X190766082Y-49144747D01*
+X190750174Y-49147911D01*
+X190750172Y-49147912D01*
+X190738005Y-49150332D01*
+X190727691Y-49157224D01*
+X190727688Y-49157225D01*
+X190697996Y-49177065D01*
+X190685449Y-49185449D01*
+X190678556Y-49195765D01*
+X190657225Y-49227688D01*
+X190657224Y-49227691D01*
+X190650332Y-49238005D01*
+X190647912Y-49250172D01*
+X190647911Y-49250174D01*
+X190645809Y-49260744D01*
+X190638000Y-49300000D01*
+X190638000Y-49441500D01*
+X190617998Y-49509621D01*
+X190564342Y-49556114D01*
+X190512000Y-49567500D01*
+X189769712Y-49567500D01*
+X189701591Y-49547498D01*
+X189655098Y-49493842D01*
+X189644994Y-49423568D01*
+X189680617Y-49352405D01*
+X189760702Y-49272320D01*
+X189817447Y-49160952D01*
+X189837000Y-49037500D01*
+X189837000Y-48462500D01*
+X189822716Y-48372312D01*
+X189818998Y-48348839D01*
+X189818998Y-48348838D01*
+X189817447Y-48339048D01*
+X189760702Y-48227680D01*
+X189672320Y-48139298D01*
+X189560952Y-48082553D01*
+X189551161Y-48081002D01*
+X189551160Y-48081002D01*
+X189440279Y-48063440D01*
+X189387507Y-48036551D01*
+X189385615Y-48039383D01*
+X189374621Y-48032037D01*
+X189355528Y-48016368D01*
+X189240755Y-47901596D01*
+X189206730Y-47839284D01*
+X189211794Y-47768468D01*
+X189240755Y-47723404D01*
+X189258092Y-47706068D01*
+X189355531Y-47608629D01*
+X189374626Y-47592959D01*
+X189385616Y-47585616D01*
+X189387508Y-47588448D01*
+X189440278Y-47561560D01*
+X189551161Y-47543998D01*
+X189551162Y-47543998D01*
+X189560952Y-47542447D01*
+X189672320Y-47485702D01*
+X189760702Y-47397320D01*
+X189817447Y-47285952D01*
+X189837000Y-47162500D01*
+X189837000Y-46587500D01*
+X189824230Y-46506875D01*
+X189818998Y-46473839D01*
+X189818998Y-46473838D01*
+X189817447Y-46464048D01*
+X189760702Y-46352680D01*
+X189708100Y-46300078D01*
+X189674074Y-46237766D01*
+X189679139Y-46166951D01*
+X189737212Y-46105871D01*
+X189734758Y-46101621D01*
+X189879308Y-46018165D01*
+X189891009Y-46009454D01*
+X190007077Y-45899950D01*
+X190016452Y-45888776D01*
+X190104137Y-45755458D01*
+X190110681Y-45742427D01*
+X190165261Y-45592470D01*
+X190168621Y-45578292D01*
+X190187574Y-45416144D01*
+X190188000Y-45408831D01*
+X190188000Y-45397115D01*
+X190183525Y-45381876D01*
+X190182135Y-45380671D01*
+X190174452Y-45379000D01*
+X189454002Y-45378999D01*
+X189453996Y-45379000D01*
+X188230115Y-45378999D01*
+X188214876Y-45383474D01*
+X188213671Y-45384864D01*
+X188212000Y-45392547D01*
+X188212000Y-45409760D01*
+X188212238Y-45415224D01*
+X188222843Y-45536435D01*
+X188225242Y-45548967D01*
+X188271273Y-45702724D01*
+X188277051Y-45716118D01*
+X188356835Y-45854308D01*
+X188365546Y-45866009D01*
+X188475050Y-45982077D01*
+X188486224Y-45991452D01*
+X188619542Y-46079137D01*
+X188632573Y-46085681D01*
+X188647403Y-46091079D01*
+X188704574Y-46133173D01*
+X188729912Y-46199495D01*
+X188715371Y-46268986D01*
+X188693403Y-46298575D01*
+X188639298Y-46352680D01*
+X188582553Y-46464048D01*
+X188581002Y-46473838D01*
+X188581002Y-46473839D01*
+X188575770Y-46506875D01*
+X188563000Y-46587500D01*
+X188563000Y-47162500D01*
+X188582553Y-47285952D01*
+X188596503Y-47313330D01*
+X188619924Y-47359297D01*
+X188633028Y-47429074D01*
+X188606328Y-47494859D01*
+X188548300Y-47535765D01*
+X188507657Y-47542500D01*
+X188088000Y-47542500D01*
+X188019879Y-47522498D01*
+X187973386Y-47468842D01*
+X187962000Y-47416500D01*
+X187962000Y-47300000D01*
+X187953365Y-47256591D01*
+X187952089Y-47250174D01*
+X187952088Y-47250172D01*
+X187949668Y-47238005D01*
+X187942776Y-47227691D01*
+X187942775Y-47227688D01*
+X187921444Y-47195765D01*
+X187914551Y-47185449D01*
+X187887524Y-47167390D01*
+X187872312Y-47157225D01*
+X187872309Y-47157224D01*
+X187861995Y-47150332D01*
+X187849828Y-47147912D01*
+X187849826Y-47147911D01*
+X187819568Y-47141892D01*
+X187800000Y-47138000D01*
+X186800000Y-47138000D01*
+X186780432Y-47141892D01*
+X186750174Y-47147911D01*
+X186750172Y-47147912D01*
+X186738005Y-47150332D01*
+X186727691Y-47157224D01*
+X186727688Y-47157225D01*
+X186712476Y-47167390D01*
+X186685449Y-47185449D01*
+X186678556Y-47195765D01*
+X186657225Y-47227688D01*
+X186657224Y-47227691D01*
+X186650332Y-47238005D01*
+X186647912Y-47250172D01*
+X186647911Y-47250174D01*
+X186646635Y-47256591D01*
+X186638000Y-47300000D01*
+X186638000Y-48300000D01*
+X186639207Y-48306067D01*
+X186646888Y-48344679D01*
+X186650332Y-48361995D01*
+X186657224Y-48372309D01*
+X186657225Y-48372312D01*
+X186678556Y-48404235D01*
+X186685449Y-48414551D01*
+X186695765Y-48421444D01*
+X186727688Y-48442775D01*
+X186727691Y-48442776D01*
+X186738005Y-48449668D01*
+X186750172Y-48452088D01*
+X186750174Y-48452089D01*
+X186777931Y-48457610D01*
+X186800000Y-48462000D01*
+X187800000Y-48462000D01*
+X187822069Y-48457610D01*
+X187849826Y-48452089D01*
+X187849828Y-48452088D01*
+X187861995Y-48449668D01*
+X187872309Y-48442776D01*
+X187872312Y-48442775D01*
+X187904235Y-48421444D01*
+X187914551Y-48414551D01*
+X187921444Y-48404235D01*
+X187942775Y-48372312D01*
+X187942776Y-48372309D01*
+X187949668Y-48361995D01*
+X187953113Y-48344679D01*
+X187960793Y-48306067D01*
+X187962000Y-48300000D01*
+X187962000Y-48183500D01*
+X187982002Y-48115379D01*
+X188035658Y-48068886D01*
+X188088000Y-48057500D01*
+X188520395Y-48057500D01*
+X188588516Y-48077502D01*
+X188635009Y-48131158D01*
+X188645113Y-48201432D01*
+X188632662Y-48240703D01*
+X188582553Y-48339048D01*
+X188581002Y-48348838D01*
+X188581002Y-48348839D01*
+X188577284Y-48372312D01*
+X188563000Y-48462500D01*
+X188563000Y-48492001D01*
+X188534397Y-48561053D01*
+X188539383Y-48564385D01*
+X188532037Y-48575379D01*
+X188516368Y-48594472D01*
+X188312461Y-48798380D01*
+X188293365Y-48814051D01*
+X188282375Y-48821394D01*
+X188267021Y-48844374D01*
+X188240824Y-48883582D01*
+X188240823Y-48883583D01*
+X188236205Y-48890495D01*
+X188225473Y-48906556D01*
+X188205490Y-49007010D01*
+X188207911Y-49019180D01*
+X188207911Y-49019182D01*
+X188208070Y-49019981D01*
+X188210491Y-49044563D01*
+X188210490Y-52500046D01*
+X188190488Y-52568167D01*
+X188136832Y-52614660D01*
+X188041395Y-52618447D01*
+X188017469Y-52609739D01*
+X188003293Y-52606379D01*
+X187871996Y-52591032D01*
+X187857546Y-52593502D01*
+X187854000Y-52606196D01*
+X187853999Y-53753000D01*
+X187833997Y-53821121D01*
+X187780341Y-53867614D01*
+X187727999Y-53879000D01*
+X187472000Y-53878999D01*
+X187403879Y-53858997D01*
+X187357386Y-53805341D01*
+X187346000Y-53752999D01*
+X187346001Y-52606559D01*
+X187341706Y-52591931D01*
+X187329729Y-52589868D01*
+X187238565Y-52597843D01*
+X187226034Y-52600241D01*
+X187072276Y-52646273D01*
+X187058882Y-52652051D01*
+X186920692Y-52731835D01*
+X186908991Y-52740546D01*
+X186792923Y-52850050D01*
+X186783548Y-52861224D01*
+X186695863Y-52994542D01*
+X186689319Y-53007573D01*
+X186634739Y-53157530D01*
+X186631379Y-53171708D01*
+X186612426Y-53333856D01*
+X186612000Y-53341169D01*
+X186612000Y-53352893D01*
+X186591998Y-53421014D01*
+X186538342Y-53467507D01*
+X186442906Y-53471294D01*
+X186342473Y-53434739D01*
+X186328292Y-53431379D01*
+X186166144Y-53412426D01*
+X186158831Y-53412000D01*
+X186147115Y-53412000D01*
+X186131876Y-53416475D01*
+X186130671Y-53417865D01*
+X186129000Y-53425548D01*
+X186129000Y-55369885D01*
+X186133475Y-55385124D01*
+X186134865Y-55386329D01*
+X186142548Y-55388000D01*
+X186159760Y-55388000D01*
+X186165224Y-55387762D01*
+X186286435Y-55377157D01*
+X186298967Y-55374758D01*
+X186452724Y-55328727D01*
+X186466118Y-55322949D01*
+X186604308Y-55243165D01*
+X186616009Y-55234454D01*
+X186732077Y-55124949D01*
+X186740476Y-55114939D01*
+X186799585Y-55075611D01*
+X186870573Y-55074483D01*
+X186930901Y-55111913D01*
+X186963000Y-55195928D01*
+X186963000Y-55437566D01*
+X186942998Y-55505687D01*
+X186882463Y-55555078D01*
+X186770850Y-55598258D01*
+X186662852Y-55683397D01*
+X186584662Y-55796528D01*
+X186581823Y-55805505D01*
+X186581822Y-55805507D01*
+X186560220Y-55873813D01*
+X186543194Y-55927649D01*
+X186542114Y-56065167D01*
+X186544813Y-56074191D01*
+X186544813Y-56074193D01*
+X186567412Y-56149759D01*
+X186581517Y-56196923D01*
+X186615247Y-56247403D01*
+X186652213Y-56302725D01*
+X186657921Y-56311268D01*
+X186665222Y-56317212D01*
+X186665223Y-56317213D01*
+X186757262Y-56392145D01*
+X186764568Y-56398093D01*
+X186892032Y-56449721D01*
+X186901417Y-56450534D01*
+X186901418Y-56450534D01*
+X187019661Y-56460775D01*
+X187019664Y-56460775D01*
+X187029041Y-56461587D01*
+X187038242Y-56459606D01*
+X187038244Y-56459606D01*
+X187154278Y-56434625D01*
+X187154281Y-56434624D01*
+X187163482Y-56432643D01*
+X187171694Y-56428044D01*
+X187171697Y-56428043D01*
+X187275254Y-56370048D01*
+X187283470Y-56365447D01*
+X187378394Y-56265940D01*
+X187439864Y-56142920D01*
+X187440410Y-56143193D01*
+X187476468Y-56090459D01*
+X187556228Y-56062000D01*
+X187837500Y-56062000D01*
+X187914853Y-56049748D01*
+X187951161Y-56043998D01*
+X187951162Y-56043998D01*
+X187960952Y-56042447D01*
+X187981281Y-56032089D01*
+X188027288Y-56008648D01*
+X188097065Y-55995544D01*
+X188162849Y-56022245D01*
+X188203755Y-56080272D01*
+X188210490Y-56120915D01*
+X188210490Y-56383160D01*
+X188173585Y-56472255D01*
+X187354472Y-57291368D01*
+X187335384Y-57307034D01*
+X187324385Y-57314384D01*
+X187317491Y-57324702D01*
+X187317489Y-57324704D01*
+X187294001Y-57359858D01*
+X187280526Y-57380026D01*
+X187267483Y-57399546D01*
+X187247500Y-57500000D01*
+X187249921Y-57512170D01*
+X187249921Y-57512172D01*
+X187250080Y-57512971D01*
+X187252501Y-57537553D01*
+X187252500Y-59502452D01*
+X187250079Y-59527034D01*
+X187247500Y-59540000D01*
+X187249921Y-59552170D01*
+X187249921Y-59552174D01*
+X187252499Y-59565133D01*
+X187252500Y-59565135D01*
+X187267482Y-59640453D01*
+X187274378Y-59650774D01*
+X187317488Y-59715296D01*
+X187317490Y-59715298D01*
+X187324384Y-59725616D01*
+X187334702Y-59732510D01*
+X187341769Y-59739577D01*
+X187375793Y-59801890D01*
+X187370727Y-59872705D01*
+X187328179Y-59929540D01*
+X187232962Y-59953120D01*
+X187137500Y-59938000D01*
+X187070771Y-59938000D01*
+X186981676Y-59901095D01*
+X186894405Y-59813824D01*
+X186857500Y-59724729D01*
+X186857500Y-59033083D01*
+X186878687Y-58966087D01*
+X186878394Y-58965940D01*
+X186879319Y-58964088D01*
+X186924170Y-58874329D01*
+X186935653Y-58851348D01*
+X186935653Y-58851347D01*
+X186939864Y-58842920D01*
+X186962443Y-58707265D01*
+X186962500Y-58700000D01*
+X186942054Y-58564006D01*
+X186897168Y-58470531D01*
+X186886600Y-58448522D01*
+X186886600Y-58448521D01*
+X186882525Y-58440036D01*
+X186789175Y-58339051D01*
+X186781031Y-58334320D01*
+X186781029Y-58334319D01*
+X186678399Y-58274706D01*
+X186678395Y-58274704D01*
+X186670258Y-58269978D01*
+X186578189Y-58248638D01*
+X186545465Y-58241053D01*
+X186545463Y-58241053D01*
+X186536287Y-58238926D01*
+X186526891Y-58239591D01*
+X186526888Y-58239591D01*
+X186408511Y-58247972D01*
+X186408509Y-58247973D01*
+X186399109Y-58248638D01*
+X186270850Y-58298258D01*
+X186162852Y-58383397D01*
+X186084662Y-58496528D01*
+X186081823Y-58505505D01*
+X186081822Y-58505507D01*
+X186063226Y-58564309D01*
+X186043194Y-58627649D01*
+X186042114Y-58765167D01*
+X186081517Y-58896923D01*
+X186086749Y-58904753D01*
+X186121265Y-58956410D01*
+X186142500Y-59026412D01*
+X186142501Y-59873161D01*
+X186140263Y-59894184D01*
+X186140095Y-59897744D01*
+X186137903Y-59907925D01*
+X186139127Y-59918266D01*
+X186141628Y-59939397D01*
+X186141939Y-59944672D01*
+X186142073Y-59944661D01*
+X186142501Y-59949839D01*
+X186142501Y-59955040D01*
+X186143355Y-59960171D01*
+X186145399Y-59972451D01*
+X186146236Y-59978329D01*
+X186151894Y-60026133D01*
+X186147864Y-60026610D01*
+X186147734Y-60077308D01*
+X186109197Y-60136936D01*
+X186026929Y-60167500D01*
+X185870079Y-60167500D01*
+X185801958Y-60147498D01*
+X185768144Y-60115562D01*
+X185765204Y-60111516D01*
+X185760702Y-60102680D01*
+X185672320Y-60014298D01*
+X185560952Y-59957553D01*
+X185551162Y-59956002D01*
+X185551161Y-59956002D01*
+X185514853Y-59950252D01*
+X185437500Y-59938000D01*
+X184962500Y-59938000D01*
+X184885147Y-59950252D01*
+X184848839Y-59956002D01*
+X184848838Y-59956002D01*
+X184839048Y-59957553D01*
+X184727680Y-60014298D01*
+X184639298Y-60102680D01*
+X184582553Y-60214048D01*
+X184581002Y-60223838D01*
+X184581002Y-60223839D01*
+X184577225Y-60247688D01*
+X184563000Y-60337500D01*
+X184563000Y-60779229D01*
+X184526095Y-60868324D01*
+X183288824Y-62105595D01*
+X183199729Y-62142500D01*
+X180429052Y-62142500D01*
+X180365766Y-62125454D01*
+X180278398Y-62074706D01*
+X180278397Y-62074706D01*
+X180270258Y-62069978D01*
+X180178189Y-62048638D01*
+X180145465Y-62041053D01*
+X180145463Y-62041053D01*
+X180136287Y-62038926D01*
+X180126891Y-62039591D01*
+X180126888Y-62039591D01*
+X180008511Y-62047972D01*
+X180008509Y-62047973D01*
+X179999109Y-62048638D01*
+X179870850Y-62098258D01*
+X179863450Y-62104091D01*
+X179863451Y-62104091D01*
+X179849042Y-62115450D01*
+X179771036Y-62142500D01*
+X179669960Y-62142500D01*
+X179582580Y-62157044D01*
+X179573414Y-62161990D01*
+X179573413Y-62161990D01*
+X179486987Y-62208623D01*
+X179486986Y-62208624D01*
+X179477821Y-62213569D01*
+X179470753Y-62221215D01*
+X179470752Y-62221216D01*
+X179451494Y-62242049D01*
+X179397020Y-62300979D01*
+X179348889Y-62409850D01*
+X179347990Y-62420224D01*
+X179347990Y-62420226D01*
+X179344124Y-62464866D01*
+X179338617Y-62528441D01*
+X179367314Y-62643966D01*
+X179372961Y-62652712D01*
+X179372962Y-62652714D01*
+X179422352Y-62729205D01*
+X179442500Y-62797552D01*
+X179442501Y-62879384D01*
+X179442501Y-62954484D01*
+X179405596Y-63043580D01*
+X179328844Y-63120332D01*
+X179266532Y-63154358D01*
+X179195717Y-63149293D01*
+X179150654Y-63120332D01*
+X178953371Y-62923049D01*
+X178948229Y-62919613D01*
+X178948225Y-62919610D01*
+X178886800Y-62878567D01*
+X178886798Y-62878566D01*
+X178876483Y-62871674D01*
+X178864316Y-62869254D01*
+X178864314Y-62869253D01*
+X178797958Y-62856054D01*
+X178785787Y-62853633D01*
+X178695091Y-62871674D01*
+X178685314Y-62878207D01*
+X178600914Y-62878208D01*
+X178600026Y-62881521D01*
+X178576230Y-62875145D01*
+X178440421Y-62857265D01*
+X178424045Y-62857265D01*
+X178288236Y-62875145D01*
+X178272416Y-62879384D01*
+X178145863Y-62931804D01*
+X178131682Y-62939991D01*
+X178090841Y-62971329D01*
+X178082377Y-62982921D01*
+X178089010Y-62994898D01*
+X179818167Y-64724055D01*
+X179830768Y-64730936D01*
+X179841774Y-64722799D01*
+X179873345Y-64681654D01*
+X179881532Y-64667473D01*
+X179933952Y-64540920D01*
+X179938191Y-64525100D01*
+X179956071Y-64389291D01*
+X179956071Y-64372915D01*
+X179938191Y-64237106D01*
+X179931815Y-64213310D01*
+X179935128Y-64212422D01*
+X179935129Y-64128022D01*
+X179941662Y-64118245D01*
+X179959703Y-64027549D01*
+X179955668Y-64007265D01*
+X179944083Y-63949022D01*
+X179944082Y-63949020D01*
+X179941662Y-63936853D01*
+X179934770Y-63926538D01*
+X179934769Y-63926536D01*
+X179893726Y-63865111D01*
+X179893723Y-63865107D01*
+X179890287Y-63859965D01*
+X179693004Y-63662682D01*
+X179658978Y-63600370D01*
+X179664043Y-63529555D01*
+X179693004Y-63484492D01*
+X179855525Y-63321971D01*
+X179874618Y-63306301D01*
+X179875299Y-63305846D01*
+X179875301Y-63305844D01*
+X179885616Y-63298952D01*
+X179934876Y-63225228D01*
+X179935625Y-63224108D01*
+X179935626Y-63224105D01*
+X179942518Y-63213791D01*
+X179945211Y-63200257D01*
+X179960079Y-63125507D01*
+X179962500Y-63113336D01*
+X179960079Y-63101165D01*
+X179960079Y-63088754D01*
+X179963404Y-63088754D01*
+X179967933Y-63038130D01*
+X180011487Y-62982061D01*
+X180096057Y-62958730D01*
+X180110084Y-62959945D01*
+X180119662Y-62960775D01*
+X180119664Y-62960775D01*
+X180129041Y-62961587D01*
+X180138242Y-62959606D01*
+X180138244Y-62959606D01*
+X180254278Y-62934625D01*
+X180254281Y-62934624D01*
+X180263482Y-62932643D01*
+X180271694Y-62928044D01*
+X180271697Y-62928043D01*
+X180356201Y-62880718D01*
+X180368974Y-62873565D01*
+X180430540Y-62857500D01*
+X181207988Y-62857500D01*
+X181276109Y-62877502D01*
+X181322602Y-62931158D01*
+X181332706Y-63001432D01*
+X181297083Y-63072595D01*
+X180509713Y-63859965D01*
+X180506277Y-63865107D01*
+X180506274Y-63865111D01*
+X180465231Y-63926536D01*
+X180465230Y-63926538D01*
+X180458338Y-63936853D01*
+X180455918Y-63949020D01*
+X180455917Y-63949022D01*
+X180444332Y-64007265D01*
+X180440297Y-64027549D01*
+X180442718Y-64039720D01*
+X180454643Y-64099668D01*
+X180458338Y-64118245D01*
+X180465230Y-64128560D01*
+X180465231Y-64128562D01*
+X180506274Y-64189987D01*
+X180506277Y-64189991D01*
+X180509713Y-64195133D01*
+X180615779Y-64301199D01*
+X180620921Y-64304635D01*
+X180620925Y-64304638D01*
+X180682350Y-64345681D01*
+X180682352Y-64345682D01*
+X180692667Y-64352574D01*
+X180704834Y-64354994D01*
+X180704836Y-64354995D01*
+X180709538Y-64355930D01*
+X180772448Y-64388837D01*
+X180808536Y-64454928D01*
+X180811892Y-64471799D01*
+X180818784Y-64482114D01*
+X180818785Y-64482116D01*
+X180859828Y-64543541D01*
+X180859831Y-64543545D01*
+X180863267Y-64548687D01*
+X180969333Y-64654753D01*
+X180974475Y-64658189D01*
+X180974479Y-64658192D01*
+X181035904Y-64699235D01*
+X181035906Y-64699236D01*
+X181046221Y-64706128D01*
+X181058388Y-64708548D01*
+X181058390Y-64708549D01*
+X181063092Y-64709484D01*
+X181126002Y-64742392D01*
+X181162089Y-64808481D01*
+X181162889Y-64812500D01*
+X181165445Y-64825352D01*
+X181172337Y-64835667D01*
+X181172338Y-64835669D01*
+X181213381Y-64897094D01*
+X181213384Y-64897098D01*
+X181216820Y-64902240D01*
+X181322886Y-65008306D01*
+X181328028Y-65011742D01*
+X181328032Y-65011745D01*
+X181389457Y-65052788D01*
+X181389459Y-65052789D01*
+X181399774Y-65059681D01*
+X181411941Y-65062101D01*
+X181411943Y-65062102D01*
+X181416645Y-65063037D01*
+X181479555Y-65095944D01*
+X181515643Y-65162035D01*
+X181518999Y-65178906D01*
+X181525891Y-65189221D01*
+X181525892Y-65189223D01*
+X181566935Y-65250648D01*
+X181566938Y-65250652D01*
+X181570374Y-65255794D01*
+X181676440Y-65361860D01*
+X181681585Y-65365298D01*
+X181681589Y-65365301D01*
+X181690784Y-65371445D01*
+X181736311Y-65425923D01*
+X181745158Y-65496366D01*
+X181709876Y-65565304D01*
+X179662575Y-67612606D01*
+X179573480Y-67649511D01*
+X178649913Y-67649511D01*
+X178581792Y-67629509D01*
+X178535299Y-67575853D01*
+X178525195Y-67505579D01*
+X178558741Y-67436542D01*
+X178578394Y-67415940D01*
+X178614165Y-67344352D01*
+X178635653Y-67301348D01*
+X178635653Y-67301347D01*
+X178639864Y-67292920D01*
+X178662443Y-67157265D01*
+X178662500Y-67150000D01*
+X178646717Y-67045021D01*
+X178643454Y-67023316D01*
+X178643453Y-67023314D01*
+X178642054Y-67014006D01*
+X178608435Y-66943994D01*
+X178586600Y-66898522D01*
+X178586600Y-66898521D01*
+X178582525Y-66890036D01*
+X178490974Y-66790998D01*
+X178457500Y-66705470D01*
+X178457500Y-66183993D01*
+X178459921Y-66159411D01*
+X178460079Y-66158617D01*
+X178460079Y-66158615D01*
+X178462500Y-66146445D01*
+X178455648Y-66112000D01*
+X178453677Y-66102089D01*
+X178444939Y-66058162D01*
+X178444939Y-66058161D01*
+X178442518Y-66045992D01*
+X178431109Y-66028916D01*
+X178392508Y-65971145D01*
+X178385616Y-65960830D01*
+X178375301Y-65953938D01*
+X178375299Y-65953936D01*
+X178374618Y-65953481D01*
+X178355525Y-65937811D01*
+X178336571Y-65918857D01*
+X178302545Y-65856545D01*
+X178307610Y-65785730D01*
+X178355663Y-65724998D01*
+X178364858Y-65718854D01*
+X178364862Y-65718851D01*
+X178370007Y-65715413D01*
+X178476073Y-65609347D01*
+X178479509Y-65604205D01*
+X178479512Y-65604201D01*
+X178520555Y-65542776D01*
+X178520556Y-65542774D01*
+X178527448Y-65532459D01*
+X178530804Y-65515588D01*
+X178563712Y-65452678D01*
+X178629801Y-65416591D01*
+X178634503Y-65415656D01*
+X178634505Y-65415655D01*
+X178646672Y-65413235D01*
+X178656987Y-65406343D01*
+X178656989Y-65406342D01*
+X178718414Y-65365299D01*
+X178718418Y-65365296D01*
+X178723560Y-65361860D01*
+X178829626Y-65255794D01*
+X178833062Y-65250652D01*
+X178833065Y-65250648D01*
+X178874108Y-65189223D01*
+X178874109Y-65189221D01*
+X178881001Y-65178906D01*
+X178884357Y-65162035D01*
+X178917264Y-65099125D01*
+X178983355Y-65063037D01*
+X178988055Y-65062102D01*
+X179000226Y-65059681D01*
+X179010003Y-65053148D01*
+X179094402Y-65053148D01*
+X179095290Y-65049835D01*
+X179119086Y-65056211D01*
+X179254895Y-65074091D01*
+X179271271Y-65074091D01*
+X179407080Y-65056211D01*
+X179422900Y-65051972D01*
+X179549453Y-64999552D01*
+X179563634Y-64991365D01*
+X179604475Y-64960027D01*
+X179612939Y-64948435D01*
+X179606306Y-64936458D01*
+X177877149Y-63207301D01*
+X177864548Y-63200420D01*
+X177853542Y-63208557D01*
+X177821971Y-63249702D01*
+X177813784Y-63263883D01*
+X177761364Y-63390436D01*
+X177757125Y-63406256D01*
+X177739245Y-63542065D01*
+X177739245Y-63558441D01*
+X177757125Y-63694250D01*
+X177763501Y-63718046D01*
+X177760188Y-63718934D01*
+X177760188Y-63803333D01*
+X177753655Y-63813110D01*
+X177751234Y-63825281D01*
+X177750299Y-63829981D01*
+X177717392Y-63892891D01*
+X177651301Y-63928979D01*
+X177646599Y-63929914D01*
+X177646597Y-63929915D01*
+X177634430Y-63932335D01*
+X177624115Y-63939227D01*
+X177624113Y-63939228D01*
+X177562688Y-63980271D01*
+X177562684Y-63980274D01*
+X177557542Y-63983710D01*
+X177451476Y-64089776D01*
+X177448038Y-64094921D01*
+X177448035Y-64094925D01*
+X177441895Y-64104115D01*
+X177387420Y-64149645D01*
+X177316977Y-64158495D01*
+X177248033Y-64123212D01*
+X176469295Y-63344474D01*
+X176453628Y-63325386D01*
+X176453171Y-63324702D01*
+X176453168Y-63324699D01*
+X176446276Y-63314384D01*
+X176361114Y-63257482D01*
+X176285796Y-63242500D01*
+X176285795Y-63242500D01*
+X176280527Y-63241452D01*
+X176260660Y-63237500D01*
+X176248490Y-63239921D01*
+X176248488Y-63239921D01*
+X176247694Y-63240079D01*
+X176223112Y-63242500D01*
+X173888000Y-63242500D01*
+X173819879Y-63222498D01*
+X173773386Y-63168842D01*
+X173762000Y-63116500D01*
+X173762000Y-63050000D01*
+X173753382Y-63006675D01*
+X173752089Y-63000174D01*
+X173752088Y-63000172D01*
+X173749668Y-62988005D01*
+X173742776Y-62977691D01*
+X173742775Y-62977688D01*
+X173721444Y-62945765D01*
+X173714551Y-62935449D01*
+X173695993Y-62923049D01*
+X173672312Y-62907225D01*
+X173672309Y-62907224D01*
+X173661995Y-62900332D01*
+X173649828Y-62897912D01*
+X173649826Y-62897911D01*
+X173619568Y-62891892D01*
+X173600000Y-62888000D01*
+X172800000Y-62888000D01*
+X172780432Y-62891892D01*
+X172750174Y-62897911D01*
+X172750172Y-62897912D01*
+X172738005Y-62900332D01*
+X172727691Y-62907224D01*
+X172727688Y-62907225D01*
+X172704007Y-62923049D01*
+X172685449Y-62935449D01*
+X172678556Y-62945765D01*
+X172657225Y-62977688D01*
+X172657224Y-62977691D01*
+X172650332Y-62988005D01*
+X172647912Y-63000172D01*
+X172647911Y-63000174D01*
+X172646618Y-63006675D01*
+X172638000Y-63050000D01*
+X172638000Y-63899650D01*
+X172617998Y-63967771D01*
+X172564342Y-64014264D01*
+X172494068Y-64024368D01*
+X172422905Y-63988745D01*
+X172254405Y-63820245D01*
+X172217500Y-63731150D01*
+X172217500Y-62467547D01*
+X172219921Y-62442965D01*
+X172220079Y-62442171D01*
+X172220079Y-62442169D01*
+X172222500Y-62429999D01*
+X172214453Y-62389546D01*
+X172202518Y-62329546D01*
+X172145616Y-62244384D01*
+X172135301Y-62237492D01*
+X172135299Y-62237490D01*
+X172134621Y-62237037D01*
+X172115528Y-62221368D01*
+X171548632Y-61654473D01*
+X171532961Y-61635377D01*
+X171532511Y-61634704D01*
+X171525616Y-61624384D01*
+X171440454Y-61567482D01*
+X171365136Y-61552500D01*
+X171365135Y-61552500D01*
+X171361385Y-61551754D01*
+X171340000Y-61547500D01*
+X171327830Y-61549921D01*
+X171327828Y-61549921D01*
+X171327034Y-61550079D01*
+X171302452Y-61552500D01*
+X169753182Y-61552500D01*
+X169728600Y-61550079D01*
+X169727806Y-61549921D01*
+X169727804Y-61549921D01*
+X169715634Y-61547500D01*
+X169690499Y-61552500D01*
+X169690498Y-61552500D01*
+X169615180Y-61567482D01*
+X169530018Y-61624384D01*
+X169523126Y-61634699D01*
+X169523124Y-61634701D01*
+X169522671Y-61635379D01*
+X169507002Y-61654472D01*
+X169145880Y-62015595D01*
+X169056784Y-62052500D01*
+X168988908Y-62052500D01*
+X168920787Y-62032498D01*
+X168867374Y-61959748D01*
+X168864512Y-61949284D01*
+X168842850Y-61870102D01*
+X168746256Y-61667590D01*
+X168730743Y-61646001D01*
+X168618604Y-61489941D01*
+X168618599Y-61489936D01*
+X168615328Y-61485383D01*
+X168454202Y-61329242D01*
+X168325810Y-61242966D01*
+X168272634Y-61207233D01*
+X168272632Y-61207232D01*
+X168267973Y-61204101D01*
+X168192851Y-61171125D01*
+X168138519Y-61125432D01*
+X168117500Y-61055754D01*
+X168117500Y-60787583D01*
+X168118373Y-60772774D01*
+X168121164Y-60749191D01*
+X168122271Y-60739838D01*
+X168111568Y-60681232D01*
+X168110918Y-60677328D01*
+X168103454Y-60627683D01*
+X168103454Y-60627682D01*
+X168102054Y-60618372D01*
+X168098881Y-60611764D01*
+X168097564Y-60604554D01*
+X168093223Y-60596197D01*
+X168093221Y-60596191D01*
+X168070088Y-60551658D01*
+X168068319Y-60548118D01*
+X168046603Y-60502893D01*
+X168046601Y-60502890D01*
+X168042525Y-60494402D01*
+X168037624Y-60489101D01*
+X168037478Y-60488884D01*
+X168034170Y-60482515D01*
+X168028426Y-60475789D01*
+X167989089Y-60436452D01*
+X167985660Y-60432886D01*
+X167955572Y-60400337D01*
+X167955571Y-60400336D01*
+X167949175Y-60393417D01*
+X167943096Y-60389886D01*
+X167937600Y-60384963D01*
+X167757852Y-60205215D01*
+X167574405Y-60021769D01*
+X167537500Y-59932673D01*
+X167537500Y-58477360D01*
+X168038119Y-58477360D01*
+X168055048Y-58770970D01*
+X168055873Y-58775175D01*
+X168055874Y-58775183D01*
+X168079759Y-58896923D01*
+X168111668Y-59059567D01*
+X168113055Y-59063617D01*
+X168113056Y-59063622D01*
+X168205543Y-59333753D01*
+X168206932Y-59337809D01*
+X168254980Y-59433341D01*
+X168308624Y-59540000D01*
+X168339076Y-59600548D01*
+X168341502Y-59604077D01*
+X168341505Y-59604083D01*
+X168486942Y-59815695D01*
+X168505655Y-59842922D01*
+X168508542Y-59846095D01*
+X168508543Y-59846096D01*
+X168634812Y-59984864D01*
+X168703586Y-60060446D01*
+X168790885Y-60133439D01*
+X168925916Y-60246343D01*
+X168925921Y-60246347D01*
+X168929208Y-60249095D01*
+X169004970Y-60296620D01*
+X169174705Y-60403095D01*
+X169174709Y-60403097D01*
+X169178345Y-60405378D01*
+X169283687Y-60452942D01*
+X169442475Y-60524638D01*
+X169442479Y-60524640D01*
+X169446387Y-60526404D01*
+X169450507Y-60527624D01*
+X169450506Y-60527624D01*
+X169724261Y-60608714D01*
+X169724265Y-60608715D01*
+X169728374Y-60609932D01*
+X169732608Y-60610580D01*
+X169732613Y-60610581D01*
+X169991302Y-60650166D01*
+X170019089Y-60654418D01*
+X170168859Y-60656771D01*
+X170308859Y-60658970D01*
+X170308865Y-60658970D01*
+X170313150Y-60659037D01*
+X170605118Y-60623705D01*
+X170747354Y-60586390D01*
+X170885447Y-60550162D01*
+X170885448Y-60550162D01*
+X170889590Y-60549075D01*
+X171161301Y-60436529D01*
+X171415224Y-60288148D01*
+X171646660Y-60106679D01*
+X171851327Y-59895480D01*
+X171853860Y-59892032D01*
+X171853864Y-59892027D01*
+X172022899Y-59661913D01*
+X172025437Y-59658458D01*
+X172029609Y-59650774D01*
+X172163718Y-59403775D01*
+X172163719Y-59403773D01*
+X172165768Y-59399999D01*
+X172269725Y-59124887D01*
+X172308311Y-58956410D01*
+X172334424Y-58842395D01*
+X172334425Y-58842391D01*
+X172335382Y-58838211D01*
+X172348155Y-58695101D01*
+X172359024Y-58573316D01*
+X172361526Y-58545278D01*
+X172361593Y-58538934D01*
+X172361974Y-58502485D01*
+X172362000Y-58500000D01*
+X172360844Y-58483044D01*
+X172342289Y-58210860D01*
+X172342288Y-58210854D01*
+X172341997Y-58206583D01*
+X172282357Y-57918595D01*
+X172184186Y-57641366D01*
+X172102213Y-57482547D01*
+X172051263Y-57383833D01*
+X172051263Y-57383832D01*
+X172049298Y-57380026D01*
+X172038405Y-57364526D01*
+X171906671Y-57177088D01*
+X171880190Y-57139409D01*
+X171766861Y-57017453D01*
+X171682912Y-56927113D01*
+X171682909Y-56927111D01*
+X171679991Y-56923970D01*
+X171452406Y-56737694D01*
+X171201646Y-56584028D01*
+X171197729Y-56582309D01*
+X171197726Y-56582307D01*
+X171048669Y-56516876D01*
+X170932351Y-56465816D01*
+X170928223Y-56464640D01*
+X170928220Y-56464639D01*
+X170840654Y-56439695D01*
+X170649505Y-56385245D01*
+X170645263Y-56384641D01*
+X170645257Y-56384640D01*
+X170362592Y-56344411D01*
+X170358341Y-56343806D01*
+X170203328Y-56342994D01*
+X170068533Y-56342288D01*
+X170068526Y-56342288D01*
+X170064247Y-56342266D01*
+X170060002Y-56342825D01*
+X170060000Y-56342825D01*
+X170036925Y-56345863D01*
+X169772665Y-56380654D01*
+X169488990Y-56458258D01*
+X169485042Y-56459942D01*
+X169222425Y-56571958D01*
+X169222421Y-56571960D01*
+X169218473Y-56573644D01*
+X169103030Y-56642735D01*
+X168969799Y-56722471D01*
+X168969795Y-56722474D01*
+X168966117Y-56724675D01*
+X168962774Y-56727353D01*
+X168962770Y-56727356D01*
+X168877642Y-56795557D01*
+X168736594Y-56908558D01*
+X168733650Y-56911660D01*
+X168733646Y-56911664D01*
+X168553126Y-57101892D01*
+X168534150Y-57121889D01*
+X168362532Y-57360722D01*
+X168224915Y-57620635D01*
+X168187062Y-57724074D01*
+X168127723Y-57886225D01*
+X168123845Y-57896821D01*
+X168061193Y-58184168D01*
+X168060857Y-58188438D01*
+X168040389Y-58448522D01*
+X168038119Y-58477360D01*
+X167537500Y-58477360D01*
+X167537500Y-55392547D01*
+X174962000Y-55392547D01*
+X174962000Y-55409760D01*
+X174962238Y-55415224D01*
+X174972843Y-55536435D01*
+X174975242Y-55548967D01*
+X175021273Y-55702724D01*
+X175027051Y-55716118D01*
+X175106835Y-55854308D01*
+X175115546Y-55866009D01*
+X175225050Y-55982077D01*
+X175236224Y-55991452D01*
+X175369542Y-56079137D01*
+X175382573Y-56085681D01*
+X175532530Y-56140261D01*
+X175546707Y-56143621D01*
+X175678004Y-56158968D01*
+X175692454Y-56156498D01*
+X175696000Y-56143804D01*
+X175696000Y-56143441D01*
+X176203999Y-56143441D01*
+X176208294Y-56158069D01*
+X176220271Y-56160132D01*
+X176311435Y-56152157D01*
+X176323966Y-56149759D01*
+X176477724Y-56103727D01*
+X176491118Y-56097949D01*
+X176629308Y-56018165D01*
+X176641009Y-56009454D01*
+X176757077Y-55899950D01*
+X176766452Y-55888776D01*
+X176854137Y-55755458D01*
+X176860681Y-55742427D01*
+X176915261Y-55592470D01*
+X176918621Y-55578292D01*
+X176937574Y-55416144D01*
+X176938000Y-55408831D01*
+X176938000Y-55397115D01*
+X176933525Y-55381876D01*
+X176932135Y-55380671D01*
+X176924452Y-55379000D01*
+X176222115Y-55378999D01*
+X176206876Y-55383474D01*
+X176205671Y-55384864D01*
+X176204000Y-55392547D01*
+X176203999Y-56143441D01*
+X175696000Y-56143441D01*
+X175696001Y-55397115D01*
+X175691526Y-55381876D01*
+X175690136Y-55380671D01*
+X175682453Y-55379000D01*
+X174980115Y-55378999D01*
+X174964876Y-55383474D01*
+X174963671Y-55384864D01*
+X174962000Y-55392547D01*
+X167537500Y-55392547D01*
+X167537500Y-54841169D01*
+X174962000Y-54841169D01*
+X174962000Y-54852885D01*
+X174966475Y-54868124D01*
+X174967865Y-54869329D01*
+X174975548Y-54871000D01*
+X175695998Y-54871001D01*
+X175696004Y-54871000D01*
+X176854651Y-54871001D01*
+X176943746Y-54907906D01*
+X177476095Y-55440255D01*
+X177513000Y-55529350D01*
+X177513000Y-55880000D01*
+X177514207Y-55886067D01*
+X177521109Y-55920763D01*
+X177525332Y-55941995D01*
+X177532224Y-55952309D01*
+X177532225Y-55952312D01*
+X177552114Y-55982077D01*
+X177560449Y-55994551D01*
+X177570765Y-56001444D01*
+X177602688Y-56022775D01*
+X177602691Y-56022776D01*
+X177613005Y-56029668D01*
+X177625172Y-56032088D01*
+X177625174Y-56032089D01*
+X177641082Y-56035253D01*
+X177703991Y-56068161D01*
+X177742500Y-56158832D01*
+X177742501Y-56325113D01*
+X177742501Y-56487000D01*
+X177722499Y-56555120D01*
+X177668844Y-56601613D01*
+X177616501Y-56613000D01*
+X177412500Y-56613000D01*
+X177335147Y-56625252D01*
+X177298839Y-56631002D01*
+X177298838Y-56631002D01*
+X177289048Y-56632553D01*
+X177177680Y-56689298D01*
+X177089298Y-56777680D01*
+X177032553Y-56889048D01*
+X177031002Y-56898838D01*
+X177031002Y-56898839D01*
+X177026563Y-56926866D01*
+X177013000Y-57012500D01*
+X177013000Y-57487500D01*
+X177032553Y-57610952D01*
+X177089298Y-57722320D01*
+X177177680Y-57810702D01*
+X177289048Y-57867447D01*
+X177298838Y-57868998D01*
+X177298839Y-57868998D01*
+X177335147Y-57874748D01*
+X177412500Y-57887000D01*
+X177987500Y-57887000D01*
+X178064853Y-57874748D01*
+X178101161Y-57868998D01*
+X178101162Y-57868998D01*
+X178110952Y-57867447D01*
+X178222320Y-57810702D01*
+X178274922Y-57758100D01*
+X178337234Y-57724074D01*
+X178408049Y-57729139D01*
+X178469129Y-57787212D01*
+X178473379Y-57784758D01*
+X178556835Y-57929308D01*
+X178565546Y-57941009D01*
+X178675050Y-58057077D01*
+X178686224Y-58066452D01*
+X178819542Y-58154137D01*
+X178832573Y-58160681D01*
+X178982530Y-58215261D01*
+X178996708Y-58218621D01*
+X179158856Y-58237574D01*
+X179166169Y-58238000D01*
+X179177885Y-58238000D01*
+X179193124Y-58233525D01*
+X179194329Y-58232135D01*
+X179196000Y-58224452D01*
+X179196000Y-57517548D01*
+X179704000Y-57517548D01*
+X179704000Y-58219885D01*
+X179708475Y-58235124D01*
+X179709865Y-58236329D01*
+X179717548Y-58238000D01*
+X179734760Y-58238000D01*
+X179740224Y-58237762D01*
+X179861435Y-58227157D01*
+X179873967Y-58224758D01*
+X180027724Y-58178727D01*
+X180041118Y-58172949D01*
+X180179308Y-58093165D01*
+X180191009Y-58084454D01*
+X180307077Y-57974950D01*
+X180316452Y-57963776D01*
+X180404137Y-57830458D01*
+X180410681Y-57817427D01*
+X180465261Y-57667470D01*
+X180468621Y-57653293D01*
+X180483968Y-57521996D01*
+X180481498Y-57507546D01*
+X180468804Y-57504000D01*
+X179722115Y-57504000D01*
+X179706876Y-57508475D01*
+X179705671Y-57509865D01*
+X179704000Y-57517548D01*
+X179196000Y-57517548D01*
+X179196000Y-57122000D01*
+X179216002Y-57053879D01*
+X179269658Y-57007386D01*
+X179322000Y-56996000D01*
+X180468441Y-56996000D01*
+X180483069Y-56991705D01*
+X180485132Y-56979728D01*
+X180477157Y-56888565D01*
+X180474759Y-56876034D01*
+X180428727Y-56722276D01*
+X180422949Y-56708882D01*
+X180343165Y-56570692D01*
+X180334454Y-56558991D01*
+X180224950Y-56442923D01*
+X180213776Y-56433548D01*
+X180080458Y-56345863D01*
+X180067427Y-56339319D01*
+X179922037Y-56286401D01*
+X179864866Y-56244307D01*
+X179839528Y-56177985D01*
+X179854069Y-56108494D01*
+X179903871Y-56057895D01*
+X179965132Y-56042000D01*
+X180225000Y-56042000D01*
+X180245385Y-56037945D01*
+X180274826Y-56032089D01*
+X180274828Y-56032088D01*
+X180286995Y-56029668D01*
+X180297309Y-56022776D01*
+X180297312Y-56022775D01*
+X180329235Y-56001444D01*
+X180339551Y-55994551D01*
+X180347886Y-55982077D01*
+X180367775Y-55952312D01*
+X180367776Y-55952309D01*
+X180374668Y-55941995D01*
+X180378892Y-55920763D01*
+X180385793Y-55886067D01*
+X180387000Y-55880000D01*
+X180387000Y-55733500D01*
+X180407002Y-55665379D01*
+X180460658Y-55618886D01*
+X180513000Y-55607500D01*
+X183612452Y-55607500D01*
+X183637034Y-55609921D01*
+X183637828Y-55610079D01*
+X183637830Y-55610079D01*
+X183650000Y-55612500D01*
+X183662170Y-55610079D01*
+X183662965Y-55609921D01*
+X183675135Y-55607500D01*
+X183675136Y-55607500D01*
+X183750454Y-55592518D01*
+X183786200Y-55568634D01*
+X183825297Y-55542511D01*
+X183825298Y-55542510D01*
+X183835616Y-55535616D01*
+X183842961Y-55524623D01*
+X183858632Y-55505527D01*
+X184280528Y-55083632D01*
+X184299621Y-55067963D01*
+X184310615Y-55060617D01*
+X184313947Y-55065603D01*
+X184382999Y-55037000D01*
+X184412500Y-55037000D01*
+X184489853Y-55024748D01*
+X184526161Y-55018998D01*
+X184526162Y-55018998D01*
+X184535952Y-55017447D01*
+X184647320Y-54960702D01*
+X184699922Y-54908100D01*
+X184762234Y-54874074D01*
+X184833049Y-54879139D01*
+X184894129Y-54937212D01*
+X184898379Y-54934758D01*
+X184981835Y-55079308D01*
+X184990546Y-55091009D01*
+X185100050Y-55207077D01*
+X185111224Y-55216452D01*
+X185244542Y-55304137D01*
+X185257573Y-55310681D01*
+X185407530Y-55365261D01*
+X185421708Y-55368621D01*
+X185583856Y-55387574D01*
+X185591169Y-55388000D01*
+X185602885Y-55388000D01*
+X185618124Y-55383525D01*
+X185619329Y-55382135D01*
+X185621000Y-55374452D01*
+X185621000Y-53430115D01*
+X185616525Y-53414876D01*
+X185615135Y-53413671D01*
+X185607452Y-53412000D01*
+X185590240Y-53412000D01*
+X185584776Y-53412238D01*
+X185463565Y-53422843D01*
+X185451033Y-53425242D01*
+X185297276Y-53471273D01*
+X185283882Y-53477051D01*
+X185145692Y-53556835D01*
+X185133991Y-53565546D01*
+X185017923Y-53675050D01*
+X185008548Y-53686224D01*
+X184920863Y-53819542D01*
+X184914319Y-53832573D01*
+X184908921Y-53847403D01*
+X184866827Y-53904574D01*
+X184800505Y-53929912D01*
+X184731014Y-53915371D01*
+X184701425Y-53893403D01*
+X184647320Y-53839298D01*
+X184535952Y-53782553D01*
+X184526162Y-53781002D01*
+X184526161Y-53781002D01*
+X184489853Y-53775252D01*
+X184412500Y-53763000D01*
+X183837500Y-53763000D01*
+X183760147Y-53775252D01*
+X183723839Y-53781002D01*
+X183723838Y-53781002D01*
+X183714048Y-53782553D01*
+X183602680Y-53839298D01*
+X183514298Y-53927680D01*
+X183457553Y-54039048D01*
+X183456002Y-54048838D01*
+X183456002Y-54048839D01*
+X183438449Y-54159665D01*
+X183408036Y-54223818D01*
+X183347768Y-54261345D01*
+X183276779Y-54260331D01*
+X183217607Y-54221098D01*
+X183188000Y-54139954D01*
+X183188000Y-54090240D01*
+X183187762Y-54084776D01*
+X183177157Y-53963565D01*
+X183174758Y-53951033D01*
+X183128727Y-53797276D01*
+X183122949Y-53783882D01*
+X183043165Y-53645692D01*
+X183034454Y-53633991D01*
+X182924950Y-53517923D01*
+X182913776Y-53508548D01*
+X182780458Y-53420863D01*
+X182767427Y-53414319D01*
+X182752597Y-53408921D01*
+X182695426Y-53366827D01*
+X182670088Y-53300505D01*
+X182684629Y-53231014D01*
+X182706597Y-53201425D01*
+X182760702Y-53147320D01*
+X182817447Y-53035952D01*
+X182819143Y-53025248D01*
+X182827285Y-52973839D01*
+X182837000Y-52912500D01*
+X182837000Y-52337500D01*
+X182831569Y-52303211D01*
+X182840668Y-52232800D01*
+X182886390Y-52178486D01*
+X182956018Y-52157500D01*
+X182976406Y-52157500D01*
+X182987278Y-52157970D01*
+X183019661Y-52160775D01*
+X183019664Y-52160775D01*
+X183029041Y-52161587D01*
+X183038246Y-52159605D01*
+X183038249Y-52159605D01*
+X183078338Y-52150974D01*
+X183086118Y-52149552D01*
+X183135994Y-52142054D01*
+X183144483Y-52137978D01*
+X183149427Y-52136457D01*
+X183154273Y-52134626D01*
+X183163482Y-52132643D01*
+X183191304Y-52117062D01*
+X183207483Y-52108002D01*
+X183214505Y-52104354D01*
+X183217991Y-52102680D01*
+X183259964Y-52082525D01*
+X183266881Y-52076131D01*
+X183271163Y-52073221D01*
+X183275253Y-52070049D01*
+X183283470Y-52065447D01*
+X183318278Y-52028958D01*
+X183323920Y-52023404D01*
+X183354031Y-51995570D01*
+X183360949Y-51989175D01*
+X183365681Y-51981028D01*
+X183368915Y-51976991D01*
+X183371891Y-51972756D01*
+X183378394Y-51965940D01*
+X183400934Y-51920832D01*
+X183404690Y-51913871D01*
+X183425290Y-51878405D01*
+X183425290Y-51878404D01*
+X183430022Y-51870258D01*
+X183432149Y-51861080D01*
+X183434057Y-51856261D01*
+X183435654Y-51851344D01*
+X183439864Y-51842920D01*
+X183441411Y-51833626D01*
+X183444192Y-51825066D01*
+X183484265Y-51766460D01*
+X183564026Y-51738000D01*
+X183693243Y-51738000D01*
+X183706605Y-51736563D01*
+X183902089Y-51694038D01*
+X183922594Y-51685545D01*
+X184028360Y-51617574D01*
+X184041847Y-51605888D01*
+X184125662Y-51509160D01*
+X184135307Y-51494152D01*
+X184188477Y-51377725D01*
+X184193502Y-51360612D01*
+X184212361Y-51229446D01*
+X184213000Y-51220505D01*
+X184213000Y-51208704D01*
+X184233002Y-51140583D01*
+X184254067Y-51119824D01*
+X184266000Y-51088529D01*
+X184266000Y-49857115D01*
+X184264659Y-49852548D01*
+X184774000Y-49852548D01*
+X184774000Y-51093052D01*
+X184777040Y-51103405D01*
+X184795829Y-51104742D01*
+X184893557Y-51075979D01*
+X184904941Y-51071380D01*
+X185069222Y-50985496D01*
+X185079483Y-50978782D01*
+X185223959Y-50862620D01*
+X185232719Y-50854042D01*
+X185351881Y-50712030D01*
+X185358807Y-50701916D01*
+X185448118Y-50539458D01*
+X185452946Y-50528194D01*
+X185509002Y-50351484D01*
+X185511550Y-50339497D01*
+X185527607Y-50196339D01*
+X185528000Y-50189315D01*
+X185528000Y-49857115D01*
+X185523525Y-49841876D01*
+X185522135Y-49840671D01*
+X185514452Y-49839000D01*
+X184792115Y-49839000D01*
+X184776876Y-49843475D01*
+X184775671Y-49844865D01*
+X184774000Y-49852548D01*
+X184264659Y-49852548D01*
+X184261525Y-49841876D01*
+X184260135Y-49840671D01*
+X184252452Y-49839000D01*
+X183598000Y-49839000D01*
+X183529879Y-49818998D01*
+X183483386Y-49765342D01*
+X183472000Y-49713000D01*
+X183472000Y-49457000D01*
+X183492002Y-49388879D01*
+X183545658Y-49342386D01*
+X183598000Y-49331000D01*
+X184247885Y-49331000D01*
+X184263124Y-49326525D01*
+X184264329Y-49325135D01*
+X184266000Y-49317452D01*
+X184266000Y-48081472D01*
+X184774000Y-48081472D01*
+X184774000Y-49312885D01*
+X184778475Y-49328124D01*
+X184779865Y-49329329D01*
+X184787548Y-49331000D01*
+X185509885Y-49331000D01*
+X185525124Y-49326525D01*
+X185526329Y-49325135D01*
+X185528000Y-49317452D01*
+X185528000Y-48987088D01*
+X185527699Y-48980940D01*
+X185514309Y-48844374D01*
+X185511926Y-48832339D01*
+X185458346Y-48654876D01*
+X185453671Y-48643534D01*
+X185366643Y-48479856D01*
+X185359856Y-48469640D01*
+X185242690Y-48325981D01*
+X185234046Y-48317277D01*
+X185091211Y-48199113D01*
+X185081040Y-48192253D01*
+X184917970Y-48104082D01*
+X184906669Y-48099332D01*
+X184791307Y-48063620D01*
+X184780866Y-48063468D01*
+X184774000Y-48081472D01*
+X184266000Y-48081472D01*
+X184266000Y-48076948D01*
+X184262960Y-48066595D01*
+X184244171Y-48065258D01*
+X184146443Y-48094021D01*
+X184135059Y-48098620D01*
+X183970778Y-48184504D01*
+X183960517Y-48191218D01*
+X183816041Y-48307380D01*
+X183807281Y-48315958D01*
+X183688123Y-48457965D01*
+X183681189Y-48468092D01*
+X183588916Y-48635938D01*
+X183587824Y-48635337D01*
+X183546769Y-48685030D01*
+X183479138Y-48706628D01*
+X183407644Y-48686395D01*
+X183301807Y-48617795D01*
+X183301803Y-48617793D01*
+X183294273Y-48612912D01*
+X183285676Y-48610341D01*
+X183285674Y-48610340D01*
+X183203269Y-48585696D01*
+X183161983Y-48573349D01*
+X183153007Y-48573294D01*
+X183153006Y-48573294D01*
+X183094791Y-48572939D01*
+X183023907Y-48572506D01*
+X183013855Y-48575379D01*
+X182899774Y-48607983D01*
+X182899772Y-48607984D01*
+X182891143Y-48610450D01*
+X182774366Y-48684131D01*
+X182768423Y-48690860D01*
+X182768422Y-48690861D01*
+X182708897Y-48758260D01*
+X182682962Y-48787626D01*
+X182679148Y-48795749D01*
+X182679147Y-48795751D01*
+X182656319Y-48844374D01*
+X182624280Y-48912615D01*
+X182622900Y-48921480D01*
+X182622899Y-48921482D01*
+X182605606Y-49032547D01*
+X182603036Y-49049050D01*
+X182604200Y-49057952D01*
+X182604200Y-49057955D01*
+X182618824Y-49169785D01*
+X182620940Y-49185964D01*
+X182676551Y-49312349D01*
+X182682326Y-49319219D01*
+X182682329Y-49319224D01*
+X182682425Y-49319338D01*
+X182682484Y-49319473D01*
+X182687072Y-49326844D01*
+X182686008Y-49327506D01*
+X182710946Y-49384354D01*
+X182681198Y-49482924D01*
+X182674338Y-49490840D01*
+X182664691Y-49505852D01*
+X182649396Y-49539343D01*
+X182602903Y-49592998D01*
+X182534783Y-49613000D01*
+X182300000Y-49613000D01*
+X182238005Y-49625332D01*
+X182237685Y-49623722D01*
+X182162315Y-49623722D01*
+X182161995Y-49625332D01*
+X182153901Y-49623722D01*
+X182100000Y-49613000D01*
+X181800000Y-49613000D01*
+X181738005Y-49625332D01*
+X181737685Y-49623722D01*
+X181662315Y-49623722D01*
+X181661995Y-49625332D01*
+X181653901Y-49623722D01*
+X181600000Y-49613000D01*
+X181300000Y-49613000D01*
+X181238005Y-49625332D01*
+X181237685Y-49623722D01*
+X181162315Y-49623722D01*
+X181161995Y-49625332D01*
+X181153901Y-49623722D01*
+X181100000Y-49613000D01*
+X180800000Y-49613000D01*
+X180800000Y-49611679D01*
+X180736946Y-49599719D01*
+X180689587Y-49557557D01*
+X180652218Y-49501630D01*
+X180635616Y-49476782D01*
+X180625301Y-49469890D01*
+X180625299Y-49469888D01*
+X180624615Y-49469431D01*
+X180605522Y-49453761D01*
+X180514238Y-49362477D01*
+X180498568Y-49343384D01*
+X180498111Y-49342700D01*
+X180498109Y-49342698D01*
+X180491217Y-49332383D01*
+X180406055Y-49275481D01*
+X180330737Y-49260499D01*
+X180330736Y-49260499D01*
+X180330329Y-49260418D01*
+X180305601Y-49255499D01*
+X180293431Y-49257920D01*
+X180293429Y-49257920D01*
+X180292635Y-49258078D01*
+X180268053Y-49260499D01*
+X179544548Y-49260499D01*
+X179519966Y-49258078D01*
+X179519172Y-49257920D01*
+X179507001Y-49255499D01*
+X179481865Y-49260499D01*
+X179406547Y-49275481D01*
+X179321385Y-49332383D01*
+X179314493Y-49342698D01*
+X179314491Y-49342700D01*
+X179314034Y-49343384D01*
+X179298364Y-49362477D01*
+X179294478Y-49366363D01*
+X179275385Y-49382033D01*
+X179274875Y-49382374D01*
+X179264384Y-49389384D01*
+X179257490Y-49399702D01*
+X179257488Y-49399704D01*
+X179214377Y-49464227D01*
+X179207482Y-49474547D01*
+X179205061Y-49486719D01*
+X179202032Y-49501946D01*
+X179202031Y-49501949D01*
+X179200114Y-49511585D01*
+X179167205Y-49574494D01*
+X179076536Y-49613000D01*
+X178800000Y-49613000D01*
+X178738005Y-49625332D01*
+X178737685Y-49623722D01*
+X178662315Y-49623722D01*
+X178661995Y-49625332D01*
+X178653901Y-49623722D01*
+X178600000Y-49613000D01*
+X178300000Y-49613000D01*
+X178238005Y-49625332D01*
+X178237685Y-49623722D01*
+X178162315Y-49623722D01*
+X178161995Y-49625332D01*
+X178153901Y-49623722D01*
+X178100000Y-49613000D01*
+X177868287Y-49613000D01*
+X177800166Y-49592998D01*
+X177762289Y-49555120D01*
+X177707742Y-49470242D01*
+X177687740Y-49402121D01*
+X177709008Y-49332556D01*
+X177713741Y-49327327D01*
+X177773946Y-49203064D01*
+X177788358Y-49117405D01*
+X177796049Y-49071691D01*
+X177796049Y-49071686D01*
+X177796855Y-49066898D01*
+X177797000Y-49055000D01*
+X177777425Y-48918315D01*
+X177773712Y-48910148D01*
+X177723990Y-48800791D01*
+X177723989Y-48800789D01*
+X177720274Y-48792619D01*
+X177675208Y-48740317D01*
+X177636005Y-48694819D01*
+X177636004Y-48694818D01*
+X177630142Y-48688015D01*
+X177622611Y-48683134D01*
+X177622608Y-48683131D01*
+X177521804Y-48617793D01*
+X177521802Y-48617792D01*
+X177514273Y-48612912D01*
+X177505676Y-48610341D01*
+X177505674Y-48610340D01*
+X177423269Y-48585696D01*
+X177381983Y-48573349D01*
+X177373007Y-48573294D01*
+X177373006Y-48573294D01*
+X177314791Y-48572939D01*
+X177243907Y-48572506D01*
+X177233855Y-48575379D01*
+X177119774Y-48607983D01*
+X177119772Y-48607984D01*
+X177111143Y-48610450D01*
+X176994366Y-48684131D01*
+X176993110Y-48682141D01*
+X176939952Y-48705855D01*
+X176869769Y-48695134D01*
+X176811866Y-48640138D01*
+X176726643Y-48479856D01*
+X176719856Y-48469640D01*
+X176602690Y-48325981D01*
+X176594046Y-48317277D01*
+X176451211Y-48199113D01*
+X176441040Y-48192253D01*
+X176277970Y-48104082D01*
+X176266669Y-48099332D01*
+X176151307Y-48063620D01*
+X176140866Y-48063468D01*
+X176134000Y-48081472D01*
+X176134000Y-49312885D01*
+X176138475Y-49328124D01*
+X176139865Y-49329329D01*
+X176147548Y-49331000D01*
+X176802000Y-49331000D01*
+X176870121Y-49351002D01*
+X176916614Y-49404658D01*
+X176928000Y-49457000D01*
+X176928000Y-49713000D01*
+X176907998Y-49781121D01*
+X176854342Y-49827614D01*
+X176802000Y-49839000D01*
+X176152115Y-49839000D01*
+X176136876Y-49843475D01*
+X176135671Y-49844865D01*
+X176134000Y-49852548D01*
+X176134000Y-51093052D01*
+X176138475Y-51108291D01*
+X176143513Y-51112657D01*
+X176187000Y-51207881D01*
+X176187000Y-51218243D01*
+X176188437Y-51231605D01*
+X176230962Y-51427089D01*
+X176239455Y-51447594D01*
+X176307426Y-51553360D01*
+X176319112Y-51566847D01*
+X176415840Y-51650662D01*
+X176430848Y-51660307D01*
+X176547275Y-51713477D01*
+X176564388Y-51718502D01*
+X176695554Y-51737361D01*
+X176704495Y-51738000D01*
+X176727885Y-51738000D01*
+X176743124Y-51733525D01*
+X176744329Y-51732135D01*
+X176746000Y-51724452D01*
+X176746000Y-50372000D01*
+X176766002Y-50303879D01*
+X176819658Y-50257386D01*
+X176872000Y-50246000D01*
+X177128000Y-50246000D01*
+X177196121Y-50266002D01*
+X177242614Y-50319658D01*
+X177254000Y-50372000D01*
+X177254000Y-51568197D01*
+X177249434Y-51594987D01*
+X177250576Y-51595205D01*
+X177248811Y-51604455D01*
+X177245692Y-51613337D01*
+X177245404Y-51620660D01*
+X177243194Y-51627649D01*
+X177242739Y-51685616D01*
+X177242726Y-51687237D01*
+X177242634Y-51691167D01*
+X177240293Y-51750751D01*
+X177242172Y-51757836D01*
+X177242114Y-51765167D01*
+X177244813Y-51774191D01*
+X177244813Y-51774193D01*
+X177259185Y-51822250D01*
+X177260258Y-51826050D01*
+X177274140Y-51878405D01*
+X177275538Y-51883679D01*
+X177271870Y-51884652D01*
+X177278292Y-51937018D01*
+X177247464Y-52000972D01*
+X177153974Y-52042500D01*
+X176537547Y-52042500D01*
+X176512965Y-52040079D01*
+X176512171Y-52039921D01*
+X176512169Y-52039921D01*
+X176499999Y-52037500D01*
+X176479253Y-52041627D01*
+X176474864Y-52042500D01*
+X176411716Y-52055061D01*
+X176411715Y-52055061D01*
+X176399546Y-52057482D01*
+X176314384Y-52114384D01*
+X176307492Y-52124699D01*
+X176307490Y-52124701D01*
+X176307037Y-52125379D01*
+X176291368Y-52144472D01*
+X175794473Y-52641368D01*
+X175775377Y-52657039D01*
+X175764384Y-52664384D01*
+X175762492Y-52661552D01*
+X175709722Y-52688440D01*
+X175598839Y-52706002D01*
+X175598838Y-52706002D01*
+X175589048Y-52707553D01*
+X175477680Y-52764298D01*
+X175389298Y-52852680D01*
+X175332553Y-52964048D01*
+X175331002Y-52973838D01*
+X175331002Y-52973839D01*
+X175325708Y-53007265D01*
+X175313000Y-53087500D01*
+X175313000Y-53662500D01*
+X175322794Y-53724338D01*
+X175329266Y-53765196D01*
+X175332553Y-53785952D01*
+X175389298Y-53897320D01*
+X175441900Y-53949922D01*
+X175475926Y-54012234D01*
+X175470861Y-54083049D01*
+X175412788Y-54144129D01*
+X175415242Y-54148379D01*
+X175270692Y-54231835D01*
+X175258991Y-54240546D01*
+X175142923Y-54350050D01*
+X175133548Y-54361224D01*
+X175045863Y-54494542D01*
+X175039319Y-54507573D01*
+X174984739Y-54657530D01*
+X174981379Y-54671708D01*
+X174962426Y-54833856D01*
+X174962000Y-54841169D01*
+X167537500Y-54841169D01*
+X167537500Y-53411692D01*
+X167539911Y-53405870D01*
+X169188099Y-53405870D01*
+X169188855Y-53414876D01*
+X169201210Y-53562000D01*
+X169204626Y-53602685D01*
+X169222188Y-53663932D01*
+X169256583Y-53783882D01*
+X169259066Y-53792542D01*
+X169261885Y-53798027D01*
+X169346529Y-53962727D01*
+X169346532Y-53962732D01*
+X169349347Y-53968209D01*
+X169472028Y-54122994D01*
+X169476722Y-54126988D01*
+X169476722Y-54126989D01*
+X169617736Y-54247001D01*
+X169622438Y-54251003D01*
+X169627816Y-54254009D01*
+X169627818Y-54254010D01*
+X169680586Y-54283501D01*
+X169794847Y-54347359D01*
+X169982688Y-54408392D01*
+X170178806Y-54431778D01*
+X170184941Y-54431306D01*
+X170184943Y-54431306D01*
+X170369589Y-54417098D01*
+X170369592Y-54417097D01*
+X170375732Y-54416625D01*
+X170565964Y-54363512D01*
+X170742257Y-54274460D01*
+X170751513Y-54267229D01*
+X170893039Y-54156656D01*
+X170897895Y-54152862D01*
+X170908132Y-54141003D01*
+X170943374Y-54100174D01*
+X171026951Y-54003350D01*
+X171034986Y-53989207D01*
+X171121465Y-53836974D01*
+X171121466Y-53836973D01*
+X171124508Y-53831617D01*
+X171130043Y-53814980D01*
+X171168978Y-53697936D01*
+X171186851Y-53644207D01*
+X171211605Y-53448257D01*
+X171211875Y-53428946D01*
+X171211951Y-53423522D01*
+X171211951Y-53423518D01*
+X171212000Y-53420000D01*
+X171192727Y-53223435D01*
+X171135641Y-53034357D01*
+X171121236Y-53007265D01*
+X171045810Y-52865410D01*
+X171045808Y-52865407D01*
+X171042916Y-52859968D01*
+X170918086Y-52706910D01*
+X170765903Y-52581014D01*
+X170592166Y-52487074D01*
+X170403491Y-52428670D01*
+X170397366Y-52428026D01*
+X170397365Y-52428026D01*
+X170213193Y-52408669D01*
+X170213192Y-52408669D01*
+X170207065Y-52408025D01*
+X170086743Y-52418975D01*
+X170016511Y-52425366D01*
+X170016510Y-52425366D01*
+X170010370Y-52425925D01*
+X169969350Y-52437998D01*
+X169826809Y-52479950D01*
+X169826806Y-52479951D01*
+X169820898Y-52481690D01*
+X169645866Y-52573195D01*
+X169641068Y-52577053D01*
+X169641066Y-52577054D01*
+X169600414Y-52609739D01*
+X169491941Y-52696954D01*
+X169487982Y-52701672D01*
+X169487981Y-52701673D01*
+X169370094Y-52842164D01*
+X169364985Y-52848253D01*
+X169362022Y-52853642D01*
+X169362019Y-52853647D01*
+X169295944Y-52973839D01*
+X169269835Y-53021331D01*
+X169210115Y-53209593D01*
+X169209429Y-53215710D01*
+X169209428Y-53215714D01*
+X169195450Y-53340337D01*
+X169188099Y-53405870D01*
+X167539911Y-53405870D01*
+X167574405Y-53322596D01*
+X167984650Y-52912352D01*
+X168019205Y-52887864D01*
+X168021834Y-52886602D01*
+X168021836Y-52886601D01*
+X168030324Y-52882525D01*
+X168035632Y-52877618D01*
+X168035834Y-52877482D01*
+X168042210Y-52874170D01*
+X168048936Y-52868425D01*
+X168088248Y-52829113D01*
+X168091814Y-52825684D01*
+X168124392Y-52795569D01*
+X168131309Y-52789175D01*
+X168134841Y-52783094D01*
+X168139775Y-52777586D01*
+X171064813Y-49852548D01*
+X174872001Y-49852548D01*
+X174872001Y-50182912D01*
+X174872302Y-50189060D01*
+X174885692Y-50325626D01*
+X174888075Y-50337661D01*
+X174941655Y-50515124D01*
+X174946330Y-50526466D01*
+X175033358Y-50690144D01*
+X175040145Y-50700360D01*
+X175157311Y-50844019D01*
+X175165955Y-50852723D01*
+X175308789Y-50970886D01*
+X175318960Y-50977746D01*
+X175482032Y-51065918D01*
+X175493331Y-51070668D01*
+X175608693Y-51106380D01*
+X175619134Y-51106532D01*
+X175626000Y-51088528D01*
+X175626000Y-49857115D01*
+X175621525Y-49841876D01*
+X175620135Y-49840671D01*
+X175612452Y-49839000D01*
+X174890116Y-49839000D01*
+X174874877Y-49843475D01*
+X174873672Y-49844865D01*
+X174872001Y-49852548D01*
+X171064813Y-49852548D01*
+X171936675Y-48980686D01*
+X174872000Y-48980686D01*
+X174872000Y-49312885D01*
+X174876475Y-49328124D01*
+X174877865Y-49329329D01*
+X174885548Y-49331000D01*
+X175607885Y-49331000D01*
+X175623124Y-49326525D01*
+X175624329Y-49325135D01*
+X175626000Y-49317452D01*
+X175626000Y-48076948D01*
+X175622960Y-48066595D01*
+X175604171Y-48065258D01*
+X175506443Y-48094021D01*
+X175495059Y-48098620D01*
+X175330778Y-48184504D01*
+X175320517Y-48191218D01*
+X175176041Y-48307380D01*
+X175167281Y-48315958D01*
+X175048123Y-48457965D01*
+X175041189Y-48468092D01*
+X174951887Y-48630533D01*
+X174947051Y-48641816D01*
+X174890998Y-48818517D01*
+X174888450Y-48830504D01*
+X174872393Y-48973662D01*
+X174872000Y-48980686D01*
+X171936675Y-48980686D01*
+X173122957Y-47794405D01*
+X173212052Y-47757500D01*
+X173776406Y-47757500D01*
+X173787278Y-47757970D01*
+X173819661Y-47760775D01*
+X173819664Y-47760775D01*
+X173829041Y-47761587D01*
+X173838246Y-47759605D01*
+X173838249Y-47759605D01*
+X173878338Y-47750974D01*
+X173886118Y-47749552D01*
+X173935994Y-47742054D01*
+X173944483Y-47737978D01*
+X173949427Y-47736457D01*
+X173954273Y-47734626D01*
+X173963482Y-47732643D01*
+X173988274Y-47718759D01*
+X174007483Y-47708002D01*
+X174014505Y-47704354D01*
+X174059964Y-47682525D01*
+X174066881Y-47676131D01*
+X174071163Y-47673221D01*
+X174075253Y-47670049D01*
+X174083470Y-47665447D01*
+X174118278Y-47628958D01*
+X174123920Y-47623404D01*
+X174154031Y-47595570D01*
+X174160949Y-47589175D01*
+X174165681Y-47581028D01*
+X174168915Y-47576991D01*
+X174171891Y-47572756D01*
+X174178394Y-47565940D01*
+X174200934Y-47520832D01*
+X174204690Y-47513871D01*
+X174225290Y-47478405D01*
+X174225290Y-47478404D01*
+X174230022Y-47470258D01*
+X174232149Y-47461078D01*
+X174234055Y-47456265D01*
+X174235653Y-47451348D01*
+X174239864Y-47442920D01*
+X174248143Y-47393176D01*
+X174249687Y-47385414D01*
+X174258947Y-47345464D01*
+X174258947Y-47345463D01*
+X174261074Y-47336287D01*
+X174260409Y-47326888D01*
+X174261086Y-47318278D01*
+X174261233Y-47317085D01*
+X174261203Y-47317082D01*
+X174261631Y-47312145D01*
+X174262443Y-47307265D01*
+X174262500Y-47300000D01*
+X174260535Y-47286933D01*
+X174255684Y-47254662D01*
+X174254599Y-47244829D01*
+X174252989Y-47222096D01*
+X174251362Y-47199109D01*
+X174247963Y-47190322D01*
+X174246044Y-47181737D01*
+X174243454Y-47173320D01*
+X174242054Y-47164006D01*
+X174222209Y-47122677D01*
+X174218291Y-47113626D01*
+X174201742Y-47070850D01*
+X174195913Y-47063456D01*
+X174191550Y-47055807D01*
+X174186601Y-47048525D01*
+X174182525Y-47040036D01*
+X174151403Y-47006369D01*
+X174144995Y-46998867D01*
+X174116603Y-46962852D01*
+X174108855Y-46957497D01*
+X174102439Y-46951472D01*
+X174095570Y-46945969D01*
+X174089175Y-46939051D01*
+X174066413Y-46925830D01*
+X174049538Y-46916028D01*
+X174041184Y-46910726D01*
+X174011221Y-46890017D01*
+X174011217Y-46890015D01*
+X174003472Y-46884662D01*
+X173994497Y-46881824D01*
+X173986596Y-46877953D01*
+X173978403Y-46874709D01*
+X173970258Y-46869978D01*
+X173961082Y-46867851D01*
+X173961079Y-46867850D01*
+X173930590Y-46860784D01*
+X173925598Y-46859627D01*
+X173916073Y-46857022D01*
+X173872351Y-46843194D01*
+X173863533Y-46842500D01*
+X173861515Y-46842500D01*
+X173854867Y-46841607D01*
+X173854852Y-46841792D01*
+X173845464Y-46841053D01*
+X173836287Y-46838926D01*
+X173826891Y-46839591D01*
+X173826888Y-46839591D01*
+X173790255Y-46842185D01*
+X173781357Y-46842500D01*
+X173003584Y-46842500D01*
+X172988774Y-46841627D01*
+X172970127Y-46839420D01*
+X172955832Y-46837728D01*
+X172946568Y-46839420D01*
+X172946567Y-46839420D01*
+X172897186Y-46848439D01*
+X172893280Y-46849089D01*
+X172843682Y-46856545D01*
+X172843679Y-46856546D01*
+X172834366Y-46857946D01*
+X172827761Y-46861118D01*
+X172820548Y-46862435D01*
+X172812188Y-46866778D01*
+X172812187Y-46866778D01*
+X172767651Y-46889913D01*
+X172764110Y-46891682D01*
+X172718887Y-46913397D01*
+X172718884Y-46913399D01*
+X172710396Y-46917475D01*
+X172705095Y-46922376D01*
+X172704878Y-46922522D01*
+X172698509Y-46925830D01*
+X172691783Y-46931574D01*
+X172652445Y-46970912D01*
+X172648879Y-46974341D01*
+X172618162Y-47002736D01*
+X172609411Y-47010825D01*
+X172605880Y-47016904D01*
+X172600952Y-47022405D01*
+X170093017Y-49530341D01*
+X167617763Y-52005595D01*
+X167528668Y-52042500D01*
+X164583217Y-52042500D01*
+X164568408Y-52041627D01*
+X164544825Y-52038836D01*
+X164535472Y-52037729D01*
+X164526208Y-52039421D01*
+X164526207Y-52039421D01*
+X164476866Y-52048432D01*
+X164472962Y-52049082D01*
+X164423317Y-52056546D01*
+X164423316Y-52056546D01*
+X164414006Y-52057946D01*
+X164407398Y-52061119D01*
+X164400188Y-52062436D01*
+X164391831Y-52066777D01*
+X164391825Y-52066779D01*
+X164347292Y-52089912D01*
+X164343765Y-52091675D01*
+X164335447Y-52095669D01*
+X164298527Y-52113397D01*
+X164298524Y-52113399D01*
+X164290036Y-52117475D01*
+X164284735Y-52122376D01*
+X164284518Y-52122522D01*
+X164278149Y-52125830D01*
+X164271423Y-52131574D01*
+X164232085Y-52170912D01*
+X164228519Y-52174341D01*
+X164189051Y-52210825D01*
+X164185520Y-52216904D01*
+X164180592Y-52222405D01*
+X159637722Y-56765276D01*
+X155127403Y-61275595D01*
+X155038308Y-61312500D01*
+X148467688Y-61312500D01*
+X148397412Y-61291081D01*
+X148377226Y-61277516D01*
+X148325810Y-61242966D01*
+X148272633Y-61207232D01*
+X148272630Y-61207230D01*
+X148267973Y-61204101D01*
+X148125481Y-61141551D01*
+X148067669Y-61116173D01*
+X148067667Y-61116172D01*
+X148062527Y-61113916D01*
+X147902838Y-61075578D01*
+X147849814Y-61062848D01*
+X147849813Y-61062848D01*
+X147844357Y-61061538D01*
+X147744040Y-61055754D01*
+X147625967Y-61048946D01*
+X147625964Y-61048946D01*
+X147620360Y-61048623D01*
+X147397615Y-61075578D01*
+X147183165Y-61141551D01*
+X147178185Y-61144121D01*
+X147178181Y-61144123D01*
+X147011799Y-61230000D01*
+X146983787Y-61244458D01*
+X146805783Y-61381045D01*
+X146802010Y-61385191D01*
+X146802005Y-61385196D01*
+X146689764Y-61508548D01*
+X146654779Y-61546996D01*
+X146627207Y-61590950D01*
+X146539365Y-61730983D01*
+X146535550Y-61737064D01*
+X146451863Y-61945242D01*
+X146406364Y-62164949D01*
+X146400490Y-62389242D01*
+X145577500Y-62389242D01*
+X145577500Y-58477360D01*
+X148038119Y-58477360D01*
+X148055048Y-58770970D01*
+X148055873Y-58775175D01*
+X148055874Y-58775183D01*
+X148079759Y-58896923D01*
+X148111668Y-59059567D01*
+X148113055Y-59063617D01*
+X148113056Y-59063622D01*
+X148205543Y-59333753D01*
+X148206932Y-59337809D01*
+X148254980Y-59433341D01*
+X148308624Y-59540000D01*
+X148339076Y-59600548D01*
+X148341502Y-59604077D01*
+X148341505Y-59604083D01*
+X148486942Y-59815695D01*
+X148505655Y-59842922D01*
+X148508542Y-59846095D01*
+X148508543Y-59846096D01*
+X148634812Y-59984864D01*
+X148703586Y-60060446D01*
+X148790885Y-60133439D01*
+X148925916Y-60246343D01*
+X148925921Y-60246347D01*
+X148929208Y-60249095D01*
+X149004970Y-60296620D01*
+X149174705Y-60403095D01*
+X149174709Y-60403097D01*
+X149178345Y-60405378D01*
+X149283687Y-60452942D01*
+X149442475Y-60524638D01*
+X149442479Y-60524640D01*
+X149446387Y-60526404D01*
+X149450507Y-60527624D01*
+X149450506Y-60527624D01*
+X149724261Y-60608714D01*
+X149724265Y-60608715D01*
+X149728374Y-60609932D01*
+X149732608Y-60610580D01*
+X149732613Y-60610581D01*
+X149991302Y-60650166D01*
+X150019089Y-60654418D01*
+X150168859Y-60656771D01*
+X150308859Y-60658970D01*
+X150308865Y-60658970D01*
+X150313150Y-60659037D01*
+X150605118Y-60623705D01*
+X150747354Y-60586390D01*
+X150885447Y-60550162D01*
+X150885448Y-60550162D01*
+X150889590Y-60549075D01*
+X151161301Y-60436529D01*
+X151415224Y-60288148D01*
+X151646660Y-60106679D01*
+X151851327Y-59895480D01*
+X151853860Y-59892032D01*
+X151853864Y-59892027D01*
+X152022899Y-59661913D01*
+X152025437Y-59658458D01*
+X152029609Y-59650774D01*
+X152163718Y-59403775D01*
+X152163719Y-59403773D01*
+X152165768Y-59399999D01*
+X152269725Y-59124887D01*
+X152308311Y-58956410D01*
+X152334424Y-58842395D01*
+X152334425Y-58842391D01*
+X152335382Y-58838211D01*
+X152348155Y-58695101D01*
+X152359024Y-58573316D01*
+X152361526Y-58545278D01*
+X152361593Y-58538934D01*
+X152361974Y-58502485D01*
+X152362000Y-58500000D01*
+X152360844Y-58483044D01*
+X152342289Y-58210860D01*
+X152342288Y-58210854D01*
+X152341997Y-58206583D01*
+X152282357Y-57918595D01*
+X152184186Y-57641366D01*
+X152102213Y-57482547D01*
+X152051263Y-57383833D01*
+X152051263Y-57383832D01*
+X152049298Y-57380026D01*
+X152038405Y-57364526D01*
+X151906671Y-57177088D01*
+X151880190Y-57139409D01*
+X151766861Y-57017453D01*
+X151682912Y-56927113D01*
+X151682909Y-56927111D01*
+X151679991Y-56923970D01*
+X151452406Y-56737694D01*
+X151201646Y-56584028D01*
+X151197729Y-56582309D01*
+X151197726Y-56582307D01*
+X151048669Y-56516876D01*
+X150932351Y-56465816D01*
+X150928223Y-56464640D01*
+X150928220Y-56464639D01*
+X150840654Y-56439695D01*
+X150649505Y-56385245D01*
+X150645263Y-56384641D01*
+X150645257Y-56384640D01*
+X150362592Y-56344411D01*
+X150358341Y-56343806D01*
+X150203328Y-56342994D01*
+X150068533Y-56342288D01*
+X150068526Y-56342288D01*
+X150064247Y-56342266D01*
+X150060002Y-56342825D01*
+X150060000Y-56342825D01*
+X150036925Y-56345863D01*
+X149772665Y-56380654D01*
+X149488990Y-56458258D01*
+X149485042Y-56459942D01*
+X149222425Y-56571958D01*
+X149222421Y-56571960D01*
+X149218473Y-56573644D01*
+X149103030Y-56642735D01*
+X148969799Y-56722471D01*
+X148969795Y-56722474D01*
+X148966117Y-56724675D01*
+X148962774Y-56727353D01*
+X148962770Y-56727356D01*
+X148877642Y-56795557D01*
+X148736594Y-56908558D01*
+X148733650Y-56911660D01*
+X148733646Y-56911664D01*
+X148553126Y-57101892D01*
+X148534150Y-57121889D01*
+X148362532Y-57360722D01*
+X148224915Y-57620635D01*
+X148187062Y-57724074D01*
+X148127723Y-57886225D01*
+X148123845Y-57896821D01*
+X148061193Y-58184168D01*
+X148060857Y-58188438D01*
+X148040389Y-58448522D01*
+X148038119Y-58477360D01*
+X145577500Y-58477360D01*
+X145577500Y-57217960D01*
+X145597502Y-57149839D01*
+X145658963Y-57100094D01*
+X145664012Y-57098186D01*
+X145664014Y-57098185D01*
+X145669262Y-57096202D01*
+X145861785Y-56980979D01*
+X145866005Y-56977297D01*
+X145866010Y-56977294D01*
+X146026631Y-56837175D01*
+X146030861Y-56833485D01*
+X146071721Y-56782484D01*
+X146167641Y-56662757D01*
+X146167644Y-56662752D01*
+X146171147Y-56658380D01*
+X146264198Y-56487000D01*
+X146275531Y-56466128D01*
+X146275532Y-56466127D01*
+X146278206Y-56461201D01*
+X146348657Y-56248179D01*
+X146352339Y-56222312D01*
+X146366769Y-56120915D01*
+X146380270Y-56026048D01*
+X146382000Y-55960000D01*
+X146381131Y-55950257D01*
+X146369555Y-55820560D01*
+X146362055Y-55736519D01*
+X146318312Y-55576620D01*
+X146304332Y-55525518D01*
+X146304331Y-55525514D01*
+X146302850Y-55520102D01*
+X146206256Y-55317590D01*
+X146202984Y-55313036D01*
+X146078604Y-55139941D01*
+X146078599Y-55139936D01*
+X146075328Y-55135383D01*
+X145914202Y-54979242D01*
+X145727973Y-54854101D01*
+X145585365Y-54791500D01*
+X145527669Y-54766173D01*
+X145527667Y-54766172D01*
+X145522527Y-54763916D01*
+X145362838Y-54725578D01*
+X145309814Y-54712848D01*
+X145309813Y-54712848D01*
+X145304357Y-54711538D01*
+X145219591Y-54706651D01*
+X145085967Y-54698946D01*
+X145085964Y-54698946D01*
+X145080360Y-54698623D01*
+X144857615Y-54725578D01*
+X144643165Y-54791551D01*
+X144638185Y-54794121D01*
+X144638181Y-54794123D01*
+X144448770Y-54891886D01*
+X144443787Y-54894458D01*
+X144265783Y-55031045D01*
+X144262010Y-55035191D01*
+X144262005Y-55035196D01*
+X144166695Y-55139941D01*
+X144114779Y-55196996D01*
+X144058578Y-55286589D01*
+X144000609Y-55379000D01*
+X143995550Y-55387064D01*
+X143911863Y-55595242D01*
+X143866364Y-55814949D01*
+X143860490Y-56039242D01*
+X143869474Y-56097949D01*
+X143892463Y-56248179D01*
+X143894429Y-56261029D01*
+X143911467Y-56310793D01*
+X143965134Y-56467541D01*
+X143967106Y-56473302D01*
+X144076224Y-56669349D01*
+X144079776Y-56673689D01*
+X144079779Y-56673693D01*
+X144179524Y-56795557D01*
+X144218335Y-56842975D01*
+X144253861Y-56873317D01*
+X144384682Y-56985049D01*
+X144384686Y-56985052D01*
+X144388947Y-56988691D01*
+X144393785Y-56991518D01*
+X144577823Y-57099062D01*
+X144577828Y-57099065D01*
+X144582667Y-57101892D01*
+X144587935Y-57103820D01*
+X144590148Y-57104861D01*
+X144643270Y-57151964D01*
+X144662501Y-57218869D01*
+X144662500Y-60779229D01*
+X144662500Y-64338308D01*
+X144625595Y-64427403D01*
+X143399993Y-65653005D01*
+X143388904Y-65662860D01*
+X143362853Y-65683397D01*
+X143357498Y-65691145D01*
+X143328953Y-65732445D01*
+X143326672Y-65735638D01*
+X143291259Y-65783583D01*
+X143288831Y-65790498D01*
+X143284663Y-65796528D01*
+X143266752Y-65853163D01*
+X143266699Y-65853330D01*
+X143265446Y-65857085D01*
+X143249275Y-65903133D01*
+X143245692Y-65913337D01*
+X143245408Y-65920556D01*
+X143245360Y-65920802D01*
+X143243195Y-65927649D01*
+X143242501Y-65936467D01*
+X143242501Y-65992076D01*
+X143242404Y-65997023D01*
+X143240293Y-66050751D01*
+X143242095Y-66057547D01*
+X143242501Y-66064923D01*
+X143242500Y-66896571D01*
+X143222498Y-66964692D01*
+X143186504Y-67001335D01*
+X143135449Y-67035449D01*
+X143128556Y-67045765D01*
+X143107225Y-67077688D01*
+X143107224Y-67077691D01*
+X143100332Y-67088005D01*
+X143097912Y-67100172D01*
+X143097911Y-67100174D01*
+X143096672Y-67106405D01*
+X143088000Y-67150000D01*
+X135357000Y-67150000D01*
+X135357000Y-53405870D01*
+X149188099Y-53405870D01*
+X149188855Y-53414876D01*
+X149201210Y-53562000D01*
+X149204626Y-53602685D01*
+X149222188Y-53663932D01*
+X149256583Y-53783882D01*
+X149259066Y-53792542D01*
+X149261885Y-53798027D01*
+X149346529Y-53962727D01*
+X149346532Y-53962732D01*
+X149349347Y-53968209D01*
+X149472028Y-54122994D01*
+X149476722Y-54126988D01*
+X149476722Y-54126989D01*
+X149617736Y-54247001D01*
+X149622438Y-54251003D01*
+X149627816Y-54254009D01*
+X149627818Y-54254010D01*
+X149680586Y-54283501D01*
+X149794847Y-54347359D01*
+X149982688Y-54408392D01*
+X150178806Y-54431778D01*
+X150184941Y-54431306D01*
+X150184943Y-54431306D01*
+X150369589Y-54417098D01*
+X150369592Y-54417097D01*
+X150375732Y-54416625D01*
+X150565964Y-54363512D01*
+X150742257Y-54274460D01*
+X150751513Y-54267229D01*
+X150893039Y-54156656D01*
+X150897895Y-54152862D01*
+X150908132Y-54141003D01*
+X150943374Y-54100174D01*
+X151026951Y-54003350D01*
+X151034986Y-53989207D01*
+X151121465Y-53836974D01*
+X151121466Y-53836973D01*
+X151124508Y-53831617D01*
+X151130043Y-53814980D01*
+X151168978Y-53697936D01*
+X151186851Y-53644207D01*
+X151211605Y-53448257D01*
+X151211875Y-53428946D01*
+X151211951Y-53423522D01*
+X151211951Y-53423518D01*
+X151212000Y-53420000D01*
+X151192727Y-53223435D01*
+X151135641Y-53034357D01*
+X151121236Y-53007265D01*
+X151045810Y-52865410D01*
+X151045808Y-52865407D01*
+X151042916Y-52859968D01*
+X150918086Y-52706910D01*
+X150765903Y-52581014D01*
+X150592166Y-52487074D01*
+X150403491Y-52428670D01*
+X150397366Y-52428026D01*
+X150397365Y-52428026D01*
+X150213193Y-52408669D01*
+X150213192Y-52408669D01*
+X150207065Y-52408025D01*
+X150086743Y-52418975D01*
+X150016511Y-52425366D01*
+X150016510Y-52425366D01*
+X150010370Y-52425925D01*
+X149969350Y-52437998D01*
+X149826809Y-52479950D01*
+X149826806Y-52479951D01*
+X149820898Y-52481690D01*
+X149645866Y-52573195D01*
+X149641068Y-52577053D01*
+X149641066Y-52577054D01*
+X149600414Y-52609739D01*
+X149491941Y-52696954D01*
+X149487982Y-52701672D01*
+X149487981Y-52701673D01*
+X149370094Y-52842164D01*
+X149364985Y-52848253D01*
+X149362022Y-52853642D01*
+X149362019Y-52853647D01*
+X149295944Y-52973839D01*
+X149269835Y-53021331D01*
+X149210115Y-53209593D01*
+X149209429Y-53215710D01*
+X149209428Y-53215714D01*
+X149195450Y-53340337D01*
+X149188099Y-53405870D01*
+X135357000Y-53405870D01*
+X135357000Y-48506285D01*
+X135357125Y-48500679D01*
+X135369590Y-48220794D01*
+X135376078Y-48075112D01*
+X135377074Y-48063945D01*
+X135432947Y-47647963D01*
+X135434933Y-47636928D01*
+X135476354Y-47453876D01*
+X137438604Y-47453876D01*
+X137438828Y-47458542D01*
+X137438828Y-47458547D01*
+X137440870Y-47501051D01*
+X137451134Y-47714734D01*
+X137502083Y-47970874D01*
+X137590333Y-48216670D01*
+X137603246Y-48240703D01*
+X137700362Y-48421444D01*
+X137713944Y-48446722D01*
+X137716739Y-48450465D01*
+X137716741Y-48450468D01*
+X137867410Y-48652238D01*
+X137867415Y-48652244D01*
+X137870202Y-48655976D01*
+X137873511Y-48659256D01*
+X137873516Y-48659262D01*
+X138022706Y-48807155D01*
+X138055673Y-48839835D01*
+X138163266Y-48918726D01*
+X138248115Y-48980940D01*
+X138266282Y-48994261D01*
+X138270425Y-48996441D01*
+X138270427Y-48996442D01*
+X138493254Y-49113677D01*
+X138493259Y-49113679D01*
+X138497404Y-49115860D01*
+X138501827Y-49117405D01*
+X138501828Y-49117405D01*
+X138721677Y-49194179D01*
+X138743961Y-49201961D01*
+X138748554Y-49202833D01*
+X138941245Y-49239417D01*
+X139000536Y-49250674D01*
+X139123341Y-49255499D01*
+X139256825Y-49260744D01*
+X139256830Y-49260744D01*
+X139261493Y-49260927D01*
+X139368659Y-49249190D01*
+X139516446Y-49233005D01*
+X139516451Y-49233004D01*
+X139521099Y-49232495D01*
+X139525623Y-49231304D01*
+X139769128Y-49167195D01*
+X139769130Y-49167194D01*
+X139773651Y-49166004D01*
+X139785410Y-49160952D01*
+X140009307Y-49064758D01*
+X140009309Y-49064757D01*
+X140013601Y-49062913D01*
+X140235678Y-48925488D01*
+X140435002Y-48756747D01*
+X140500430Y-48682141D01*
+X140604114Y-48563913D01*
+X140604118Y-48563908D01*
+X140607196Y-48560398D01*
+X140631821Y-48522115D01*
+X140701008Y-48414551D01*
+X140748476Y-48340754D01*
+X140855738Y-48102639D01*
+X140926627Y-47851286D01*
+X140933480Y-47797420D01*
+X140959187Y-47595346D01*
+X140959187Y-47595342D01*
+X140959585Y-47592216D01*
+X140960322Y-47564097D01*
+X140961917Y-47503160D01*
+X140962000Y-47500000D01*
+X140961083Y-47487657D01*
+X140942992Y-47244212D01*
+X140942991Y-47244208D01*
+X140942646Y-47239560D01*
+X140885009Y-46984841D01*
+X140880926Y-46974341D01*
+X140792048Y-46745792D01*
+X140792047Y-46745790D01*
+X140790355Y-46741439D01*
+X140752235Y-46674742D01*
+X140735795Y-46645979D01*
+X140660764Y-46514702D01*
+X140499083Y-46309610D01*
+X140308863Y-46130669D01*
+X140109628Y-45992454D01*
+X140098125Y-45984474D01*
+X140098122Y-45984472D01*
+X140094283Y-45981809D01*
+X140090093Y-45979743D01*
+X140090090Y-45979741D01*
+X139864245Y-45868367D01*
+X139864242Y-45868366D01*
+X139860057Y-45866302D01*
+X139854422Y-45864498D01*
+X139735694Y-45826493D01*
+X139611331Y-45786684D01*
+X139486401Y-45766338D01*
+X139358181Y-45745456D01*
+X139358177Y-45745456D01*
+X139353568Y-45744705D01*
+X139223000Y-45742995D01*
+X139097109Y-45741347D01*
+X139097106Y-45741347D01*
+X139092432Y-45741286D01*
+X138908357Y-45766338D01*
+X138838288Y-45775874D01*
+X138838286Y-45775874D01*
+X138833660Y-45776504D01*
+X138829178Y-45777810D01*
+X138829175Y-45777811D01*
+X138756727Y-45798928D01*
+X138582935Y-45849584D01*
+X138578688Y-45851542D01*
+X138578685Y-45851543D01*
+X138528230Y-45874803D01*
+X138345765Y-45958920D01*
+X138341856Y-45961483D01*
+X138131275Y-46099545D01*
+X138131270Y-46099549D01*
+X138127362Y-46102111D01*
+X138116091Y-46112171D01*
+X137938856Y-46270360D01*
+X137932523Y-46276012D01*
+X137765528Y-46476801D01*
+X137630047Y-46700069D01*
+X137628238Y-46704383D01*
+X137628237Y-46704385D01*
+X137532969Y-46931574D01*
+X137529054Y-46940909D01*
+X137527903Y-46945441D01*
+X137527902Y-46945444D01*
+X137508357Y-47022405D01*
+X137464769Y-47194032D01*
+X137438604Y-47453876D01*
+X135476354Y-47453876D01*
+X135527562Y-47227573D01*
+X135530520Y-47216759D01*
+X135612284Y-46962852D01*
+X135659175Y-46817240D01*
+X135663082Y-46806737D01*
+X135690733Y-46741439D01*
+X135816904Y-46443478D01*
+X135826730Y-46420274D01*
+X135831556Y-46410155D01*
+X136028925Y-46039737D01*
+X136034633Y-46030086D01*
+X136264143Y-45678691D01*
+X136268556Y-45672548D01*
+X174872000Y-45672548D01*
+X174872000Y-45752912D01*
+X174872301Y-45759060D01*
+X174885691Y-45895628D01*
+X174888073Y-45907657D01*
+X174941656Y-46085129D01*
+X174946329Y-46096464D01*
+X175033358Y-46260144D01*
+X175040145Y-46270360D01*
+X175157311Y-46414019D01*
+X175165955Y-46422723D01*
+X175308789Y-46540886D01*
+X175318960Y-46547746D01*
+X175482032Y-46635918D01*
+X175493331Y-46640668D01*
+X175608693Y-46676380D01*
+X175619134Y-46676532D01*
+X175626000Y-46658528D01*
+X175626000Y-45677115D01*
+X175624659Y-45672548D01*
+X176134000Y-45672548D01*
+X176134000Y-46663052D01*
+X176137040Y-46673405D01*
+X176155829Y-46674742D01*
+X176253557Y-46645979D01*
+X176264941Y-46641380D01*
+X176429222Y-46555496D01*
+X176439483Y-46548782D01*
+X176583959Y-46432620D01*
+X176592719Y-46424042D01*
+X176711881Y-46282030D01*
+X176718807Y-46271916D01*
+X176808118Y-46109458D01*
+X176812946Y-46098194D01*
+X176869002Y-45921483D01*
+X176871550Y-45909496D01*
+X176887607Y-45766338D01*
+X176888000Y-45759314D01*
+X176888000Y-45677115D01*
+X176886659Y-45672548D01*
+X183512000Y-45672548D01*
+X183512000Y-45752912D01*
+X183512301Y-45759060D01*
+X183525691Y-45895628D01*
+X183528073Y-45907657D01*
+X183581656Y-46085129D01*
+X183586329Y-46096464D01*
+X183673358Y-46260144D01*
+X183680145Y-46270360D01*
+X183797311Y-46414019D01*
+X183805955Y-46422723D01*
+X183948789Y-46540886D01*
+X183958960Y-46547746D01*
+X184122032Y-46635918D01*
+X184133331Y-46640668D01*
+X184248693Y-46676380D01*
+X184259134Y-46676532D01*
+X184266000Y-46658528D01*
+X184266000Y-45677115D01*
+X184264659Y-45672548D01*
+X184774000Y-45672548D01*
+X184774000Y-46663052D01*
+X184777040Y-46673405D01*
+X184795829Y-46674742D01*
+X184893557Y-46645979D01*
+X184904941Y-46641380D01*
+X185069222Y-46555496D01*
+X185079483Y-46548782D01*
+X185223959Y-46432620D01*
+X185232719Y-46424042D01*
+X185351881Y-46282030D01*
+X185358807Y-46271916D01*
+X185448118Y-46109458D01*
+X185452946Y-46098194D01*
+X185509002Y-45921483D01*
+X185511550Y-45909496D01*
+X185527607Y-45766338D01*
+X185528000Y-45759314D01*
+X185528000Y-45677115D01*
+X185523525Y-45661876D01*
+X185522135Y-45660671D01*
+X185514452Y-45659000D01*
+X184792115Y-45659000D01*
+X184776876Y-45663475D01*
+X184775671Y-45664865D01*
+X184774000Y-45672548D01*
+X184264659Y-45672548D01*
+X184261525Y-45661876D01*
+X184260135Y-45660671D01*
+X184252452Y-45659000D01*
+X183530115Y-45659000D01*
+X183514876Y-45663475D01*
+X183513671Y-45664865D01*
+X183512000Y-45672548D01*
+X176886659Y-45672548D01*
+X176883525Y-45661876D01*
+X176882135Y-45660671D01*
+X176874452Y-45659000D01*
+X176152115Y-45659000D01*
+X176136876Y-45663475D01*
+X176135671Y-45664865D01*
+X176134000Y-45672548D01*
+X175624659Y-45672548D01*
+X175621525Y-45661876D01*
+X175620135Y-45660671D01*
+X175612452Y-45659000D01*
+X174890115Y-45659000D01*
+X174874876Y-45663475D01*
+X174873671Y-45664865D01*
+X174872000Y-45672548D01*
+X136268556Y-45672548D01*
+X136270682Y-45669590D01*
+X136275503Y-45663475D01*
+X136530523Y-45339985D01*
+X136537845Y-45331503D01*
+X136597199Y-45268628D01*
+X136802935Y-45050686D01*
+X174872000Y-45050686D01*
+X174872000Y-45132885D01*
+X174876475Y-45148124D01*
+X174877865Y-45149329D01*
+X174885548Y-45151000D01*
+X175607885Y-45151000D01*
+X175623124Y-45146525D01*
+X175624329Y-45145135D01*
+X175626000Y-45137452D01*
+X175626000Y-44151472D01*
+X176134000Y-44151472D01*
+X176134000Y-45132885D01*
+X176138475Y-45148124D01*
+X176139865Y-45149329D01*
+X176147548Y-45151000D01*
+X176869885Y-45151000D01*
+X176885124Y-45146525D01*
+X176886329Y-45145135D01*
+X176888000Y-45137452D01*
+X176888000Y-45057088D01*
+X176887699Y-45050940D01*
+X176887675Y-45050686D01*
+X183512000Y-45050686D01*
+X183512000Y-45132885D01*
+X183516475Y-45148124D01*
+X183517865Y-45149329D01*
+X183525548Y-45151000D01*
+X184247885Y-45151000D01*
+X184263124Y-45146525D01*
+X184264329Y-45145135D01*
+X184266000Y-45137452D01*
+X184266000Y-44151472D01*
+X184774000Y-44151472D01*
+X184774000Y-45132885D01*
+X184778475Y-45148124D01*
+X184779865Y-45149329D01*
+X184787548Y-45151000D01*
+X185509885Y-45151000D01*
+X185525124Y-45146525D01*
+X185526329Y-45145135D01*
+X185528000Y-45137452D01*
+X185528000Y-45057088D01*
+X185527699Y-45050940D01*
+X185514309Y-44914374D01*
+X185511926Y-44902339D01*
+X185493458Y-44841169D01*
+X188212000Y-44841169D01*
+X188212000Y-44852885D01*
+X188216475Y-44868124D01*
+X188217865Y-44869329D01*
+X188225548Y-44871000D01*
+X188927885Y-44871001D01*
+X188943124Y-44866526D01*
+X188944329Y-44865136D01*
+X188946000Y-44857453D01*
+X188946000Y-44852885D01*
+X189453999Y-44852885D01*
+X189458474Y-44868124D01*
+X189459864Y-44869329D01*
+X189467547Y-44871000D01*
+X190169885Y-44871001D01*
+X190185124Y-44866526D01*
+X190186329Y-44865136D01*
+X190188000Y-44857453D01*
+X190188000Y-44840240D01*
+X190187762Y-44834776D01*
+X190177157Y-44713565D01*
+X190174758Y-44701033D01*
+X190128727Y-44547276D01*
+X190122949Y-44533882D01*
+X190043165Y-44395692D01*
+X190034454Y-44383991D01*
+X189924950Y-44267923D01*
+X189913776Y-44258548D01*
+X189780458Y-44170863D01*
+X189767427Y-44164319D01*
+X189617470Y-44109739D01*
+X189603293Y-44106379D01*
+X189471996Y-44091032D01*
+X189457546Y-44093502D01*
+X189454000Y-44106196D01*
+X189453999Y-44852885D01*
+X188946000Y-44852885D01*
+X188946001Y-44106559D01*
+X188941706Y-44091931D01*
+X188929729Y-44089868D01*
+X188838565Y-44097843D01*
+X188826034Y-44100241D01*
+X188672276Y-44146273D01*
+X188658882Y-44152051D01*
+X188520692Y-44231835D01*
+X188508991Y-44240546D01*
+X188392923Y-44350050D01*
+X188383548Y-44361224D01*
+X188295863Y-44494542D01*
+X188289319Y-44507573D01*
+X188234739Y-44657530D01*
+X188231379Y-44671708D01*
+X188212426Y-44833856D01*
+X188212000Y-44841169D01*
+X185493458Y-44841169D01*
+X185458346Y-44724876D01*
+X185453671Y-44713534D01*
+X185366643Y-44549856D01*
+X185359856Y-44539640D01*
+X185242690Y-44395981D01*
+X185234046Y-44387277D01*
+X185091211Y-44269113D01*
+X185081040Y-44262253D01*
+X184917970Y-44174082D01*
+X184906669Y-44169332D01*
+X184791307Y-44133620D01*
+X184780866Y-44133468D01*
+X184774000Y-44151472D01*
+X184266000Y-44151472D01*
+X184266000Y-44146948D01*
+X184262960Y-44136595D01*
+X184244171Y-44135258D01*
+X184146443Y-44164021D01*
+X184135059Y-44168620D01*
+X183970778Y-44254504D01*
+X183960517Y-44261218D01*
+X183816041Y-44377380D01*
+X183807281Y-44385958D01*
+X183688123Y-44527965D01*
+X183681189Y-44538092D01*
+X183591887Y-44700533D01*
+X183587051Y-44711816D01*
+X183530998Y-44888517D01*
+X183528450Y-44900504D01*
+X183512393Y-45043662D01*
+X183512000Y-45050686D01*
+X176887675Y-45050686D01*
+X176874309Y-44914374D01*
+X176871926Y-44902339D01*
+X176818346Y-44724876D01*
+X176813671Y-44713534D01*
+X176726643Y-44549856D01*
+X176719856Y-44539640D01*
+X176602690Y-44395981D01*
+X176594046Y-44387277D01*
+X176451211Y-44269113D01*
+X176441040Y-44262253D01*
+X176277970Y-44174082D01*
+X176266669Y-44169332D01*
+X176151307Y-44133620D01*
+X176140866Y-44133468D01*
+X176134000Y-44151472D01*
+X175626000Y-44151472D01*
+X175626000Y-44146948D01*
+X175622960Y-44136595D01*
+X175604171Y-44135258D01*
+X175506443Y-44164021D01*
+X175495059Y-44168620D01*
+X175330778Y-44254504D01*
+X175320517Y-44261218D01*
+X175176041Y-44377380D01*
+X175167281Y-44385958D01*
+X175048123Y-44527965D01*
+X175041189Y-44538092D01*
+X174951887Y-44700533D01*
+X174947051Y-44711816D01*
+X174890998Y-44888517D01*
+X174888450Y-44900504D01*
+X174872393Y-45043662D01*
+X174872000Y-45050686D01*
+X136802935Y-45050686D01*
+X136825958Y-45026298D01*
+X136833998Y-45018507D01*
+X137148107Y-44740118D01*
+X137156820Y-44733063D01*
+X137494409Y-44483717D01*
+X137503715Y-44477463D01*
+X137862158Y-44259099D01*
+X137871983Y-44253698D01*
+X138248404Y-44068068D01*
+X138258670Y-44063562D01*
+X138528497Y-43959173D01*
+X138650099Y-43912129D01*
+X138660711Y-43908557D01*
+X139064077Y-43792512D01*
+X139074963Y-43789899D01*
+X139487034Y-43710173D01*
+X139498123Y-43708535D01*
+X139917854Y-43665531D01*
+X139927068Y-43664927D01*
+X140200435Y-43657052D01*
+X140204063Y-43657000D01*
+X220193715Y-43657000D01*
+X220199320Y-43657125D01*
G37*
-X24413444Y-25524821D02*
-X24392999Y-25627603D01*
-X24392999Y-25732397D01*
-X24413444Y-25835179D01*
-X24453547Y-25931996D01*
-X24511768Y-26019130D01*
-X24585869Y-26093231D01*
-X24673003Y-26151452D01*
-X24769820Y-26191555D01*
-X24872602Y-26212000D01*
-X24977396Y-26212000D01*
-X25080178Y-26191555D01*
-X25176995Y-26151452D01*
-X25264129Y-26093231D01*
-X25338230Y-26019130D01*
-X25396451Y-25931996D01*
-X25436554Y-25835179D01*
-X25456999Y-25732397D01*
-X25456999Y-25627603D01*
-X25436554Y-25524821D01*
-X25405562Y-25450000D01*
-X27443568Y-25450000D01*
-X27400470Y-25493098D01*
-X27344985Y-25576138D01*
-X27306766Y-25668406D01*
-X27287282Y-25766357D01*
-X27287282Y-25866227D01*
-X27306766Y-25964178D01*
-X27344985Y-26056446D01*
-X27400470Y-26139486D01*
-X27471088Y-26210104D01*
-X27554128Y-26265589D01*
-X27646396Y-26303808D01*
-X27744347Y-26323292D01*
-X27844217Y-26323292D01*
-X27888680Y-26314448D01*
-X27902317Y-26328085D01*
-X27902322Y-26328089D01*
-X28050000Y-26475767D01*
-X28050000Y-31750000D01*
-X25371058Y-31750000D01*
-X25396451Y-31711996D01*
-X25436554Y-31615179D01*
-X25456999Y-31512397D01*
-X25456999Y-31407603D01*
-X25436554Y-31304821D01*
-X25396451Y-31208004D01*
-X25338230Y-31120870D01*
-X25264129Y-31046769D01*
-X25176995Y-30988548D01*
-X25080178Y-30948445D01*
-X24977396Y-30928000D01*
-X24872602Y-30928000D01*
-X24769820Y-30948445D01*
-X24673003Y-30988548D01*
-X24585869Y-31046769D01*
-X24511768Y-31120870D01*
-X24453547Y-31208004D01*
-X24413444Y-31304821D01*
-X24392999Y-31407603D01*
-X24392999Y-31512397D01*
-X24413444Y-31615179D01*
-X24453547Y-31711996D01*
-X24478940Y-31750000D01*
-X23950000Y-31750000D01*
-X23950000Y-25450000D01*
-X24444436Y-25450000D01*
-X24413444Y-25524821D01*
-D17*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,Conductor*
+G36*
+X180761683Y-73392005D02*
+G01*
+X181446629Y-74076951D01*
+X181451771Y-74080387D01*
+X181451775Y-74080390D01*
+X181513200Y-74121433D01*
+X181513202Y-74121434D01*
+X181523517Y-74128326D01*
+X181535684Y-74130746D01*
+X181535686Y-74130747D01*
+X181602042Y-74143946D01*
+X181614213Y-74146367D01*
+X181704909Y-74128326D01*
+X181714686Y-74121793D01*
+X181799086Y-74121792D01*
+X181799974Y-74118479D01*
+X181823770Y-74124855D01*
+X181959579Y-74142735D01*
+X181975955Y-74142735D01*
+X182111764Y-74124855D01*
+X182127584Y-74120616D01*
+X182168283Y-74103758D01*
+X182238873Y-74096169D01*
+X182302360Y-74127948D01*
+X182342501Y-74220167D01*
+X182342501Y-74362447D01*
+X182340080Y-74387029D01*
+X182337500Y-74400000D01*
+X182339921Y-74412170D01*
+X182339921Y-74412174D01*
+X182348974Y-74457682D01*
+X182346142Y-74509150D01*
+X182347508Y-74509366D01*
+X182346035Y-74518666D01*
+X182343194Y-74527649D01*
+X182342114Y-74665167D01*
+X182344813Y-74674191D01*
+X182344813Y-74674193D01*
+X182376575Y-74780398D01*
+X182376930Y-74851394D01*
+X182338845Y-74911311D01*
+X182255858Y-74942500D01*
+X180643952Y-74942500D01*
+X180575831Y-74922498D01*
+X180529338Y-74868842D01*
+X180519234Y-74798568D01*
+X180531239Y-74760182D01*
+X180535652Y-74751349D01*
+X180539864Y-74742920D01*
+X180562443Y-74607265D01*
+X180562500Y-74600000D01*
+X180544881Y-74482810D01*
+X180545904Y-74439487D01*
+X180546588Y-74436048D01*
+X180546588Y-74436047D01*
+X180551588Y-74410912D01*
+X180549009Y-74397946D01*
+X180546588Y-74373364D01*
+X180546588Y-73481100D01*
+X180566590Y-73412979D01*
+X180620246Y-73366486D01*
+X180690520Y-73356382D01*
+X180761683Y-73392005D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,Conductor*
+G36*
+X185873988Y-71491715D02*
+G01*
+X185908091Y-71514501D01*
+X185950022Y-71542518D01*
+X185962193Y-71544939D01*
+X186025340Y-71557500D01*
+X186025341Y-71557500D01*
+X186050476Y-71562500D01*
+X186062646Y-71560079D01*
+X186062648Y-71560079D01*
+X186063442Y-71559921D01*
+X186088024Y-71557500D01*
+X188997784Y-71557500D01*
+X189022366Y-71559921D01*
+X189035331Y-71562500D01*
+X189034493Y-71566713D01*
+X189080022Y-71580081D01*
+X189126515Y-71633737D01*
+X189136619Y-71704011D01*
+X189100523Y-71775645D01*
+X187756706Y-73105301D01*
+X187694215Y-73138996D01*
+X187623427Y-73133556D01*
+X187578989Y-73104830D01*
+X187318634Y-72844475D01*
+X187302963Y-72825380D01*
+X187302511Y-72824704D01*
+X187295616Y-72814384D01*
+X187210454Y-72757482D01*
+X187135136Y-72742500D01*
+X187135135Y-72742500D01*
+X187110000Y-72737500D01*
+X187097830Y-72739921D01*
+X187097828Y-72739921D01*
+X187097034Y-72740079D01*
+X187072452Y-72742500D01*
+X186337547Y-72742500D01*
+X186312965Y-72740079D01*
+X186312171Y-72739921D01*
+X186312169Y-72739921D01*
+X186299999Y-72737500D01*
+X186274864Y-72742500D01*
+X186211716Y-72755061D01*
+X186211715Y-72755061D01*
+X186199546Y-72757482D01*
+X186114384Y-72814384D01*
+X186107492Y-72824699D01*
+X186107490Y-72824701D01*
+X186107037Y-72825379D01*
+X186091368Y-72844472D01*
+X185844471Y-73091370D01*
+X185825375Y-73107041D01*
+X185824705Y-73107488D01*
+X185824703Y-73107490D01*
+X185814385Y-73114384D01*
+X185764357Y-73189258D01*
+X185757483Y-73199546D01*
+X185748671Y-73243843D01*
+X185742532Y-73274706D01*
+X185737500Y-73300000D01*
+X185739922Y-73312174D01*
+X185740079Y-73312964D01*
+X185742501Y-73337549D01*
+X185742500Y-73541151D01*
+X185705595Y-73630245D01*
+X185661725Y-73674115D01*
+X185599413Y-73708141D01*
+X185528598Y-73703076D01*
+X185471762Y-73660529D01*
+X185448030Y-73603754D01*
+X185445546Y-73587233D01*
+X185442054Y-73564006D01*
+X185402452Y-73481534D01*
+X185386600Y-73448522D01*
+X185386600Y-73448521D01*
+X185382525Y-73440036D01*
+X185290974Y-73340998D01*
+X185257500Y-73255470D01*
+X185257500Y-72983993D01*
+X185259921Y-72959411D01*
+X185260079Y-72958617D01*
+X185262500Y-72946446D01*
+X185256166Y-72914603D01*
+X185244939Y-72858160D01*
+X185244938Y-72858158D01*
+X185242518Y-72845991D01*
+X185235626Y-72835676D01*
+X185235625Y-72835674D01*
+X185206741Y-72792447D01*
+X185206740Y-72792446D01*
+X185185616Y-72760830D01*
+X185174623Y-72753485D01*
+X185155528Y-72737814D01*
+X185144564Y-72726850D01*
+X185110538Y-72664538D01*
+X185115603Y-72593723D01*
+X185172094Y-72527820D01*
+X185175057Y-72526161D01*
+X185244852Y-72487074D01*
+X185275251Y-72470050D01*
+X185275252Y-72470049D01*
+X185283470Y-72465447D01*
+X185378394Y-72365940D01*
+X185415614Y-72291451D01*
+X185435653Y-72251348D01*
+X185435653Y-72251347D01*
+X185439864Y-72242920D01*
+X185462443Y-72107265D01*
+X185462500Y-72100000D01*
+X185447997Y-72003535D01*
+X185443454Y-71973316D01*
+X185443453Y-71973314D01*
+X185442054Y-71964006D01*
+X185433990Y-71947212D01*
+X185410171Y-71897608D01*
+X185398715Y-71827542D01*
+X185426958Y-71762405D01*
+X185464984Y-71735326D01*
+X185463482Y-71732643D01*
+X185508591Y-71707381D01*
+X185583470Y-71665447D01*
+X185678394Y-71565940D01*
+X185691275Y-71540161D01*
+X185739616Y-71488165D01*
+X185808394Y-71470558D01*
+X185873988Y-71491715D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,Conductor*
+G36*
+X182560717Y-66254056D02*
+G01*
+X182621447Y-66302107D01*
+X182624163Y-66306171D01*
+X182631034Y-66316454D01*
+X182737100Y-66422520D01*
+X182742242Y-66425956D01*
+X182742246Y-66425959D01*
+X182803671Y-66467002D01*
+X182803673Y-66467003D01*
+X182813988Y-66473895D01*
+X182826155Y-66476315D01*
+X182826157Y-66476316D01*
+X182830859Y-66477251D01*
+X182893769Y-66510159D01*
+X182929856Y-66576248D01*
+X182930106Y-66577502D01*
+X182933212Y-66593119D01*
+X182940104Y-66603434D01*
+X182940105Y-66603436D01*
+X182981148Y-66664861D01*
+X182981151Y-66664865D01*
+X182984587Y-66670007D01*
+X183090653Y-66776073D01*
+X183095795Y-66779509D01*
+X183095799Y-66779512D01*
+X183157224Y-66820555D01*
+X183157226Y-66820556D01*
+X183167541Y-66827448D01*
+X183179708Y-66829868D01*
+X183179710Y-66829869D01*
+X183184412Y-66830804D01*
+X183247322Y-66863712D01*
+X183283409Y-66929801D01*
+X183284283Y-66934192D01*
+X183286765Y-66946672D01*
+X183293657Y-66956987D01*
+X183293658Y-66956989D01*
+X183334701Y-67018414D01*
+X183334704Y-67018418D01*
+X183338140Y-67023560D01*
+X183444206Y-67129626D01*
+X183449348Y-67133062D01*
+X183449352Y-67133065D01*
+X183510777Y-67174108D01*
+X183510779Y-67174109D01*
+X183521094Y-67181001D01*
+X183533261Y-67183421D01*
+X183533263Y-67183422D01*
+X183537965Y-67184357D01*
+X183600875Y-67217264D01*
+X183636963Y-67283355D01*
+X183640319Y-67300226D01*
+X183646852Y-67310003D01*
+X183646852Y-67394402D01*
+X183650165Y-67395290D01*
+X183643789Y-67419086D01*
+X183625909Y-67554895D01*
+X183625909Y-67571271D01*
+X183643789Y-67707080D01*
+X183648028Y-67722900D01*
+X183700448Y-67849453D01*
+X183708635Y-67863635D01*
+X183745268Y-67911375D01*
+X183770869Y-67977596D01*
+X183756605Y-68047144D01*
+X183734401Y-68077175D01*
+X180539095Y-71272482D01*
+X180476783Y-71306508D01*
+X180405968Y-71301443D01*
+X180360908Y-71272484D01*
+X178262531Y-69174107D01*
+X178228505Y-69111795D01*
+X178233570Y-69040979D01*
+X178276117Y-68984144D01*
+X178329655Y-68964440D01*
+X178329041Y-68961587D01*
+X178454278Y-68934625D01*
+X178454281Y-68934624D01*
+X178463482Y-68932643D01*
+X178471694Y-68928044D01*
+X178471697Y-68928043D01*
+X178555694Y-68881002D01*
+X178583470Y-68865447D01*
+X178636637Y-68809713D01*
+X178649214Y-68796529D01*
+X178740384Y-68757500D01*
+X179808898Y-68757500D01*
+X179833480Y-68759921D01*
+X179834274Y-68760079D01*
+X179834276Y-68760079D01*
+X179846446Y-68762500D01*
+X179858616Y-68760079D01*
+X179859411Y-68759921D01*
+X179871581Y-68757500D01*
+X179871582Y-68757500D01*
+X179946900Y-68742518D01*
+X180032062Y-68685616D01*
+X180038954Y-68675301D01*
+X180038956Y-68675299D01*
+X180039413Y-68674615D01*
+X180055083Y-68655522D01*
+X182427589Y-66283017D01*
+X182489901Y-66248991D01*
+X182560717Y-66254056D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,Conductor*
+G36*
+X185707141Y-68895564D02*
+G01*
+X185954261Y-69142685D01*
+X186199111Y-69387535D01*
+X186202764Y-69391344D01*
+X186241449Y-69433414D01*
+X186248746Y-69437938D01*
+X186248748Y-69437940D01*
+X186278943Y-69456661D01*
+X186288727Y-69463385D01*
+X186323866Y-69490057D01*
+X186331851Y-69493218D01*
+X186331852Y-69493219D01*
+X186338142Y-69495709D01*
+X186358156Y-69505775D01*
+X186371211Y-69513869D01*
+X186379455Y-69516264D01*
+X186379457Y-69516265D01*
+X186413578Y-69526178D01*
+X186424807Y-69530023D01*
+X186457835Y-69543100D01*
+X186457842Y-69543102D01*
+X186465824Y-69546262D01*
+X186474364Y-69547160D01*
+X186474366Y-69547160D01*
+X186481095Y-69547867D01*
+X186503075Y-69552180D01*
+X186517828Y-69556466D01*
+X186524410Y-69556949D01*
+X186524414Y-69556950D01*
+X186529601Y-69557331D01*
+X186529614Y-69557331D01*
+X186531909Y-69557500D01*
+X186566137Y-69557500D01*
+X186579307Y-69558190D01*
+X186589526Y-69559264D01*
+X186603207Y-69560702D01*
+X186617668Y-69562222D01*
+X186635162Y-69559263D01*
+X186656164Y-69557500D01*
+X186666145Y-69557500D01*
+X186723348Y-69571233D01*
+X186814048Y-69617447D01*
+X186823838Y-69618998D01*
+X186823839Y-69618998D01*
+X186848388Y-69622886D01*
+X186937500Y-69637000D01*
+X187512500Y-69637000D01*
+X187601612Y-69622886D01*
+X187626161Y-69618998D01*
+X187626162Y-69618998D01*
+X187635952Y-69617447D01*
+X187747320Y-69560702D01*
+X187799922Y-69508100D01*
+X187862234Y-69474074D01*
+X187933049Y-69479139D01*
+X187994129Y-69537212D01*
+X187998379Y-69534758D01*
+X188081835Y-69679308D01*
+X188090546Y-69691009D01*
+X188200050Y-69807077D01*
+X188211224Y-69816452D01*
+X188344542Y-69904137D01*
+X188357573Y-69910681D01*
+X188377354Y-69917881D01*
+X188434525Y-69959975D01*
+X188459863Y-70026297D01*
+X188445322Y-70095788D01*
+X188386857Y-70147485D01*
+X188387814Y-70149163D01*
+X188379772Y-70153750D01*
+X188379723Y-70153793D01*
+X188370850Y-70157226D01*
+X188262852Y-70242365D01*
+X188184662Y-70355496D01*
+X188181823Y-70364473D01*
+X188181822Y-70364475D01*
+X188147670Y-70472463D01*
+X188143194Y-70486617D01*
+X188143125Y-70495454D01*
+X188112843Y-70559332D01*
+X188018807Y-70601467D01*
+X186819037Y-70601467D01*
+X186729942Y-70564562D01*
+X185508645Y-69343266D01*
+X185492975Y-69324173D01*
+X185492518Y-69323489D01*
+X185492516Y-69323487D01*
+X185485624Y-69313172D01*
+X185400462Y-69256270D01*
+X185325144Y-69241288D01*
+X185325143Y-69241288D01*
+X185300008Y-69236288D01*
+X185301178Y-69230407D01*
+X185232126Y-69201804D01*
+X185104076Y-69073754D01*
+X185070050Y-69011442D01*
+X185075115Y-68940627D01*
+X185117662Y-68883791D01*
+X185193171Y-68858659D01*
+X185618045Y-68858659D01*
+X185707141Y-68895564D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,Conductor*
+G36*
+X188985583Y-65149742D02*
+G01*
+X189663089Y-65827249D01*
+X189697113Y-65889559D01*
+X189692048Y-65960375D01*
+X189649501Y-66017210D01*
+X189582981Y-66042021D01*
+X189530897Y-66034743D01*
+X189462660Y-66009907D01*
+X189448483Y-66006546D01*
+X189309495Y-65990301D01*
+X189295046Y-65992771D01*
+X189291500Y-66005465D01*
+X189291500Y-68340645D01*
+X189271498Y-68408766D01*
+X189253489Y-68422248D01*
+X189256104Y-68424514D01*
+X189230671Y-68453865D01*
+X189229000Y-68461548D01*
+X189229000Y-69978165D01*
+X189195794Y-70062032D01*
+X189193391Y-70063926D01*
+X189115201Y-70177057D01*
+X189112361Y-70186037D01*
+X189112096Y-70186578D01*
+X189064164Y-70238953D01*
+X188995526Y-70257100D01*
+X188906421Y-70216675D01*
+X188889175Y-70198019D01*
+X188841548Y-70170355D01*
+X188776026Y-70132296D01*
+X188727168Y-70080785D01*
+X188716191Y-69996559D01*
+X188721000Y-69974452D01*
+X188721000Y-68084355D01*
+X188741002Y-68016234D01*
+X188759011Y-68002752D01*
+X188756396Y-68000486D01*
+X188781829Y-67971135D01*
+X188783500Y-67963452D01*
+X188783500Y-66006012D01*
+X188775593Y-65979083D01*
+X188770489Y-65943585D01*
+X188770489Y-65238838D01*
+X188790491Y-65170717D01*
+X188844147Y-65124224D01*
+X188914421Y-65114120D01*
+X188985583Y-65149742D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,Conductor*
+G36*
+X177860533Y-66329053D02*
+G01*
+X177917369Y-66371600D01*
+X177942501Y-66447108D01*
+X177942500Y-66580478D01*
+X177942500Y-66709493D01*
+X177922498Y-66777614D01*
+X177894507Y-66808442D01*
+X177862852Y-66833397D01*
+X177784662Y-66946528D01*
+X177781823Y-66955505D01*
+X177781822Y-66955507D01*
+X177761433Y-67019978D01*
+X177743194Y-67077649D01*
+X177743120Y-67087070D01*
+X177743021Y-67099632D01*
+X177742114Y-67215167D01*
+X177744813Y-67224191D01*
+X177744813Y-67224193D01*
+X177765367Y-67292920D01*
+X177781517Y-67346923D01*
+X177808630Y-67387500D01*
+X177850392Y-67450000D01*
+X177857921Y-67461268D01*
+X177865222Y-67467212D01*
+X177865223Y-67467213D01*
+X177881492Y-67480458D01*
+X177921691Y-67538978D01*
+X177923870Y-67609941D01*
+X177871942Y-67682936D01*
+X177836408Y-67706678D01*
+X177814383Y-67721395D01*
+X177807491Y-67731710D01*
+X177807489Y-67731712D01*
+X177807036Y-67732390D01*
+X177791367Y-67751483D01*
+X177661636Y-67881215D01*
+X177535696Y-68007155D01*
+X177535693Y-68007157D01*
+X177532261Y-68010589D01*
+X177469950Y-68044613D01*
+X177439502Y-68046681D01*
+X177436287Y-68045936D01*
+X177426892Y-68046601D01*
+X177426891Y-68046601D01*
+X177308511Y-68054982D01*
+X177308509Y-68054983D01*
+X177299109Y-68055648D01*
+X177170850Y-68105268D01*
+X177062852Y-68190407D01*
+X176984662Y-68303538D01*
+X176981823Y-68312515D01*
+X176981822Y-68312517D01*
+X176971716Y-68344472D01*
+X176943194Y-68434659D01*
+X176943120Y-68444080D01*
+X176942842Y-68479462D01*
+X176942114Y-68572177D01*
+X176944813Y-68581201D01*
+X176944813Y-68581203D01*
+X176957670Y-68624193D01*
+X176981517Y-68703933D01*
+X177016252Y-68755917D01*
+X177050536Y-68807225D01*
+X177057921Y-68818278D01*
+X177065222Y-68824222D01*
+X177065223Y-68824223D01*
+X177152597Y-68895357D01*
+X177164568Y-68905103D01*
+X177173301Y-68908640D01*
+X177173304Y-68908642D01*
+X177175281Y-68909443D01*
+X177177108Y-68910892D01*
+X177181405Y-68913433D01*
+X177181056Y-68914023D01*
+X177230909Y-68953557D01*
+X177253856Y-69020743D01*
+X177232923Y-69095953D01*
+X177204291Y-69139048D01*
+X177193571Y-69155182D01*
+X177190917Y-69163349D01*
+X177190917Y-69163350D01*
+X177182713Y-69188599D01*
+X177146391Y-69300390D01*
+X177146031Y-69308976D01*
+X177146031Y-69308977D01*
+X177143142Y-69377908D01*
+X177106348Y-69461727D01*
+X176911751Y-69656324D01*
+X176849439Y-69690350D01*
+X176778624Y-69685285D01*
+X176717891Y-69637231D01*
+X176711745Y-69628032D01*
+X176711742Y-69628028D01*
+X176708306Y-69622886D01*
+X176602240Y-69516820D01*
+X176597098Y-69513384D01*
+X176597094Y-69513381D01*
+X176535669Y-69472338D01*
+X176535667Y-69472337D01*
+X176525352Y-69465445D01*
+X176513185Y-69463025D01*
+X176513183Y-69463024D01*
+X176508481Y-69462089D01*
+X176445571Y-69429181D01*
+X176409484Y-69363092D01*
+X176408549Y-69358390D01*
+X176408548Y-69358388D01*
+X176406128Y-69346221D01*
+X176399236Y-69335906D01*
+X176399235Y-69335904D01*
+X176358192Y-69274479D01*
+X176358189Y-69274475D01*
+X176354753Y-69269333D01*
+X176248687Y-69163267D01*
+X176243545Y-69159831D01*
+X176243541Y-69159828D01*
+X176182116Y-69118785D01*
+X176182114Y-69118784D01*
+X176171799Y-69111892D01*
+X176159632Y-69109472D01*
+X176159630Y-69109471D01*
+X176154928Y-69108536D01*
+X176092018Y-69075629D01*
+X176055930Y-69009538D01*
+X176054995Y-69004836D01*
+X176054994Y-69004834D01*
+X176052574Y-68992667D01*
+X176045682Y-68982352D01*
+X176045681Y-68982350D01*
+X176004638Y-68920925D01*
+X176004635Y-68920921D01*
+X176001199Y-68915779D01*
+X175895133Y-68809713D01*
+X175889991Y-68806277D01*
+X175889987Y-68806274D01*
+X175828562Y-68765231D01*
+X175828560Y-68765230D01*
+X175818245Y-68758338D01*
+X175806078Y-68755918D01*
+X175806076Y-68755917D01*
+X175739720Y-68742718D01*
+X175727549Y-68740297D01*
+X175715378Y-68742718D01*
+X175649022Y-68755917D01*
+X175649020Y-68755918D01*
+X175636853Y-68758338D01*
+X175626538Y-68765230D01*
+X175626536Y-68765231D01*
+X175565111Y-68806274D01*
+X175565107Y-68806277D01*
+X175559965Y-68809713D01*
+X174822595Y-69547083D01*
+X174760283Y-69581109D01*
+X174689468Y-69576044D01*
+X174632632Y-69533497D01*
+X174607500Y-69457988D01*
+X174607500Y-69407899D01*
+X174607597Y-69402952D01*
+X174608581Y-69377908D01*
+X174609707Y-69349249D01*
+X174607906Y-69342455D01*
+X174607500Y-69335083D01*
+X174607500Y-67542012D01*
+X174627502Y-67473891D01*
+X174681158Y-67427398D01*
+X174751432Y-67417294D01*
+X174822595Y-67452917D01*
+X175559965Y-68190287D01*
+X175565107Y-68193723D01*
+X175565111Y-68193726D01*
+X175626536Y-68234769D01*
+X175626538Y-68234770D01*
+X175636853Y-68241662D01*
+X175649020Y-68244082D01*
+X175649022Y-68244083D01*
+X175715378Y-68257282D01*
+X175727549Y-68259703D01*
+X175739720Y-68257282D01*
+X175806076Y-68244083D01*
+X175806078Y-68244082D01*
+X175818245Y-68241662D01*
+X175828560Y-68234770D01*
+X175828562Y-68234769D01*
+X175889987Y-68193726D01*
+X175889991Y-68193723D01*
+X175895133Y-68190287D01*
+X176001199Y-68084221D01*
+X176004635Y-68079079D01*
+X176004638Y-68079075D01*
+X176045681Y-68017650D01*
+X176045682Y-68017648D01*
+X176052574Y-68007333D01*
+X176055930Y-67990462D01*
+X176088837Y-67927552D01*
+X176154928Y-67891464D01*
+X176159630Y-67890529D01*
+X176159632Y-67890528D01*
+X176171799Y-67888108D01*
+X176182114Y-67881216D01*
+X176182116Y-67881215D01*
+X176243541Y-67840172D01*
+X176243545Y-67840169D01*
+X176248687Y-67836733D01*
+X176354753Y-67730667D01*
+X176358189Y-67725525D01*
+X176358192Y-67725521D01*
+X176399235Y-67664096D01*
+X176399236Y-67664094D01*
+X176406128Y-67653779D01*
+X176408695Y-67640878D01*
+X176409484Y-67636908D01*
+X176442392Y-67573998D01*
+X176508481Y-67537911D01*
+X176513183Y-67536976D01*
+X176513185Y-67536975D01*
+X176525352Y-67534555D01*
+X176535667Y-67527663D01*
+X176535669Y-67527662D01*
+X176597094Y-67486619D01*
+X176597098Y-67486616D01*
+X176602240Y-67483180D01*
+X176708306Y-67377114D01*
+X176711742Y-67371972D01*
+X176711745Y-67371968D01*
+X176752788Y-67310543D01*
+X176752789Y-67310541D01*
+X176759681Y-67300226D01*
+X176763037Y-67283355D01*
+X176795944Y-67220445D01*
+X176862035Y-67184357D01*
+X176866737Y-67183422D01*
+X176866739Y-67183421D01*
+X176878906Y-67181001D01*
+X176889221Y-67174109D01*
+X176889223Y-67174108D01*
+X176950648Y-67133065D01*
+X176950652Y-67133062D01*
+X176955794Y-67129626D01*
+X177061860Y-67023560D01*
+X177065296Y-67018418D01*
+X177065299Y-67018414D01*
+X177106342Y-66956989D01*
+X177106343Y-66956987D01*
+X177113235Y-66946672D01*
+X177115718Y-66934192D01*
+X177116591Y-66929801D01*
+X177149499Y-66866891D01*
+X177215588Y-66830804D01*
+X177220290Y-66829869D01*
+X177220292Y-66829868D01*
+X177232459Y-66827448D01*
+X177242774Y-66820556D01*
+X177242776Y-66820555D01*
+X177304201Y-66779512D01*
+X177304205Y-66779509D01*
+X177309347Y-66776073D01*
+X177415413Y-66670007D01*
+X177418849Y-66664865D01*
+X177418852Y-66664861D01*
+X177459895Y-66603436D01*
+X177459896Y-66603434D01*
+X177466788Y-66593119D01*
+X177469895Y-66577502D01*
+X177470144Y-66576248D01*
+X177503052Y-66513338D01*
+X177569141Y-66477251D01*
+X177573843Y-66476316D01*
+X177573845Y-66476315D01*
+X177586012Y-66473895D01*
+X177596327Y-66467003D01*
+X177596329Y-66467002D01*
+X177657754Y-66425959D01*
+X177657758Y-66425956D01*
+X177662900Y-66422520D01*
+X177727406Y-66358014D01*
+X177789718Y-66323988D01*
+X177860533Y-66329053D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,Conductor*
+G36*
+X166591606Y-56020966D02*
+G01*
+X166622500Y-56103615D01*
+X166622501Y-60141140D01*
+X166621628Y-60155949D01*
+X166617729Y-60188893D01*
+X166619421Y-60198157D01*
+X166619421Y-60198158D01*
+X166628437Y-60247526D01*
+X166629087Y-60251430D01*
+X166635881Y-60296620D01*
+X166637947Y-60310360D01*
+X166641119Y-60316966D01*
+X166642436Y-60324177D01*
+X166669926Y-60377098D01*
+X166671675Y-60380600D01*
+X166689985Y-60418731D01*
+X166697476Y-60434330D01*
+X166702378Y-60439633D01*
+X166702519Y-60439843D01*
+X166705830Y-60446216D01*
+X166711575Y-60452942D01*
+X166750889Y-60492256D01*
+X166754319Y-60495822D01*
+X166784435Y-60528402D01*
+X166784438Y-60528404D01*
+X166790826Y-60535315D01*
+X166796903Y-60538845D01*
+X166802407Y-60543774D01*
+X167165596Y-60906965D01*
+X167202500Y-60996060D01*
+X167202500Y-61054811D01*
+X167182498Y-61122932D01*
+X167134290Y-61166777D01*
+X167011799Y-61230000D01*
+X166983787Y-61244458D01*
+X166805783Y-61381045D01*
+X166802010Y-61385191D01*
+X166802005Y-61385196D01*
+X166689764Y-61508548D01*
+X166654779Y-61546996D01*
+X166627207Y-61590950D01*
+X166539365Y-61730983D01*
+X166535550Y-61737064D01*
+X166451863Y-61945242D01*
+X166406364Y-62164949D01*
+X166400490Y-62389242D01*
+X166410009Y-62451449D01*
+X166432475Y-62598258D01*
+X166434429Y-62611029D01*
+X166456542Y-62675616D01*
+X166504786Y-62816525D01*
+X166507106Y-62823302D01*
+X166616224Y-63019349D01*
+X166619776Y-63023689D01*
+X166619779Y-63023693D01*
+X166703113Y-63125507D01*
+X166758335Y-63192975D01*
+X166792169Y-63221872D01*
+X166924682Y-63335049D01*
+X166924686Y-63335052D01*
+X166928947Y-63338691D01*
+X167122667Y-63451892D01*
+X167127933Y-63453819D01*
+X167127937Y-63453821D01*
+X167328106Y-63527072D01*
+X167328109Y-63527073D01*
+X167333370Y-63528998D01*
+X167338886Y-63529961D01*
+X167338891Y-63529962D01*
+X167509541Y-63559745D01*
+X167554399Y-63567574D01*
+X167560005Y-63567545D01*
+X167560009Y-63567545D01*
+X167663025Y-63567005D01*
+X167778765Y-63566399D01*
+X167781619Y-63565870D01*
+X169188099Y-63565870D01*
+X169188615Y-63572014D01*
+X169203192Y-63745603D01*
+X169204626Y-63762685D01*
+X169213909Y-63795059D01*
+X169254630Y-63937070D01*
+X169259066Y-63952542D01*
+X169261885Y-63958027D01*
+X169346529Y-64122727D01*
+X169346532Y-64122732D01*
+X169349347Y-64128209D01*
+X169472028Y-64282994D01*
+X169476722Y-64286988D01*
+X169476722Y-64286989D01*
+X169603018Y-64394475D01*
+X169622438Y-64411003D01*
+X169627816Y-64414009D01*
+X169627818Y-64414010D01*
+X169662507Y-64433397D01*
+X169794847Y-64507359D01*
+X169982688Y-64568392D01*
+X170178806Y-64591778D01*
+X170184941Y-64591306D01*
+X170184943Y-64591306D01*
+X170369589Y-64577098D01*
+X170369592Y-64577097D01*
+X170375732Y-64576625D01*
+X170383759Y-64574384D01*
+X170412039Y-64566488D01*
+X170565964Y-64523512D01*
+X170742257Y-64434460D01*
+X170757169Y-64422810D01*
+X170893039Y-64316656D01*
+X170897895Y-64312862D01*
+X170921179Y-64285888D01*
+X170995709Y-64199544D01*
+X171026951Y-64163350D01*
+X171030070Y-64157861D01*
+X171121465Y-63996974D01*
+X171121466Y-63996973D01*
+X171124508Y-63991617D01*
+X171126941Y-63984305D01*
+X171160474Y-63883500D01*
+X171186851Y-63804207D01*
+X171194958Y-63740036D01*
+X171211163Y-63611758D01*
+X171211163Y-63611753D01*
+X171211605Y-63608257D01*
+X171212000Y-63580000D01*
+X171192727Y-63383435D01*
+X171135641Y-63194357D01*
+X171127531Y-63179105D01*
+X171045810Y-63025410D01*
+X171045808Y-63025407D01*
+X171042916Y-63019968D01*
+X170918086Y-62866910D01*
+X170765903Y-62741014D01*
+X170592166Y-62647074D01*
+X170403491Y-62588670D01*
+X170397366Y-62588026D01*
+X170397365Y-62588026D01*
+X170213193Y-62568669D01*
+X170213192Y-62568669D01*
+X170207065Y-62568025D01*
+X170086743Y-62578975D01*
+X170016511Y-62585366D01*
+X170016510Y-62585366D01*
+X170010370Y-62585925D01*
+X170000425Y-62588852D01*
+X169826809Y-62639950D01*
+X169826806Y-62639951D01*
+X169820898Y-62641690D01*
+X169645866Y-62733195D01*
+X169641068Y-62737053D01*
+X169641066Y-62737054D01*
+X169570724Y-62793611D01*
+X169491941Y-62856954D01*
+X169487982Y-62861672D01*
+X169487981Y-62861673D01*
+X169369014Y-63003451D01*
+X169364985Y-63008253D01*
+X169362022Y-63013642D01*
+X169362019Y-63013647D01*
+X169303369Y-63120332D01*
+X169269835Y-63181331D01*
+X169267972Y-63187204D01*
+X169215558Y-63352436D01*
+X169210115Y-63369593D01*
+X169209429Y-63375710D01*
+X169209428Y-63375714D01*
+X169192626Y-63525511D01*
+X169188099Y-63565870D01*
+X167781619Y-63565870D01*
+X167795249Y-63563344D01*
+X167907621Y-63542517D01*
+X167999377Y-63525511D01*
+X168004626Y-63523528D01*
+X168004628Y-63523527D01*
+X168103609Y-63486125D01*
+X168209262Y-63446202D01*
+X168231918Y-63432643D01*
+X168309513Y-63386203D01*
+X168401785Y-63330979D01*
+X168406005Y-63327297D01*
+X168406010Y-63327294D01*
+X168566631Y-63187175D01*
+X168570861Y-63183485D01*
+X168621456Y-63120332D01*
+X168707641Y-63012757D01*
+X168707644Y-63012752D01*
+X168711147Y-63008380D01*
+X168786368Y-62869839D01*
+X168815531Y-62816128D01*
+X168815532Y-62816127D01*
+X168818206Y-62811201D01*
+X168870217Y-62653936D01*
+X168910597Y-62595541D01*
+X168989844Y-62567500D01*
+X169178086Y-62567500D01*
+X169202668Y-62569921D01*
+X169203462Y-62570079D01*
+X169203464Y-62570079D01*
+X169215634Y-62572500D01*
+X169227804Y-62570079D01*
+X169228599Y-62569921D01*
+X169240769Y-62567500D01*
+X169240770Y-62567500D01*
+X169316088Y-62552518D01*
+X169330537Y-62542864D01*
+X169390931Y-62502511D01*
+X169390932Y-62502510D01*
+X169401250Y-62495616D01*
+X169408595Y-62484623D01*
+X169424266Y-62465527D01*
+X169785390Y-62104404D01*
+X169874485Y-62067500D01*
+X171181150Y-62067500D01*
+X171270246Y-62104405D01*
+X171665597Y-62499757D01*
+X171702501Y-62588852D01*
+X171702500Y-63221872D01*
+X171702500Y-63852452D01*
+X171700079Y-63877034D01*
+X171697500Y-63890000D01*
+X171699921Y-63902170D01*
+X171699921Y-63902174D01*
+X171702499Y-63915133D01*
+X171702500Y-63915135D01*
+X171717482Y-63990453D01*
+X171724378Y-64000774D01*
+X171767488Y-64065296D01*
+X171767490Y-64065298D01*
+X171774384Y-64075616D01*
+X171785386Y-64082968D01*
+X171804474Y-64098634D01*
+X172378745Y-64672905D01*
+X172412771Y-64735217D01*
+X172407706Y-64806032D01*
+X172365159Y-64862868D01*
+X172289650Y-64888000D01*
+X171850000Y-64888000D01*
+X171830432Y-64891892D01*
+X171800174Y-64897911D01*
+X171800172Y-64897912D01*
+X171788005Y-64900332D01*
+X171756676Y-64921266D01*
+X171686675Y-64942500D01*
+X168093924Y-64942500D01*
+X168018712Y-64917590D01*
+X167921992Y-64845629D01*
+X167921993Y-64845629D01*
+X167917714Y-64842446D01*
+X167712417Y-64738068D01*
+X167492470Y-64669772D01*
+X167475124Y-64667473D01*
+X167269444Y-64640212D01*
+X167269439Y-64640212D01*
+X167264159Y-64639512D01*
+X167258830Y-64639712D01*
+X167258828Y-64639712D01*
+X167157051Y-64643533D01*
+X167034014Y-64648152D01*
+X166808615Y-64695446D01*
+X166803659Y-64697403D01*
+X166803653Y-64697405D01*
+X166682693Y-64745175D01*
+X166594407Y-64780041D01*
+X166397515Y-64899518D01*
+X166393485Y-64903015D01*
+X166230206Y-65044701D01*
+X166223568Y-65050461D01*
+X166194316Y-65086136D01*
+X166080924Y-65224427D01*
+X166080920Y-65224433D01*
+X166077540Y-65228555D01*
+X166074901Y-65233191D01*
+X166074899Y-65233194D01*
+X165988884Y-65384301D01*
+X165963607Y-65428706D01*
+X165885026Y-65645193D01*
+X165884077Y-65650442D01*
+X165884076Y-65650445D01*
+X165844995Y-65866570D01*
+X165844045Y-65871824D01*
+X165843174Y-65962500D01*
+X165841924Y-66092776D01*
+X165841834Y-66102121D01*
+X165842683Y-66107391D01*
+X165842683Y-66107393D01*
+X165877570Y-66323988D01*
+X165878457Y-66329498D01*
+X165880182Y-66334550D01*
+X165880182Y-66334551D01*
+X165889677Y-66362361D01*
+X165952868Y-66547453D01*
+X166062937Y-66749755D01*
+X166066235Y-66753939D01*
+X166066238Y-66753943D01*
+X166202216Y-66926430D01*
+X166202220Y-66926434D01*
+X166205519Y-66930619D01*
+X166209479Y-66934191D01*
+X166209480Y-66934192D01*
+X166244053Y-66965376D01*
+X166376536Y-67084874D01*
+X166485188Y-67153694D01*
+X166553618Y-67197037D01*
+X166571098Y-67208109D01*
+X166576025Y-67210165D01*
+X166576027Y-67210166D01*
+X166778713Y-67294743D01*
+X166783643Y-67296800D01*
+X166788847Y-67297997D01*
+X166788848Y-67297997D01*
+X167002890Y-67347216D01*
+X167002895Y-67347217D01*
+X167008093Y-67348412D01*
+X167013421Y-67348715D01*
+X167013424Y-67348715D01*
+X167171689Y-67357702D01*
+X167238029Y-67361469D01*
+X167243336Y-67360869D01*
+X167243338Y-67360869D01*
+X167366695Y-67346923D01*
+X167466879Y-67335597D01*
+X167471994Y-67334116D01*
+X167471998Y-67334115D01*
+X167573503Y-67304721D01*
+X167688097Y-67271537D01*
+X167895359Y-67171119D01*
+X168000518Y-67095971D01*
+X168078393Y-67040321D01*
+X168078395Y-67040319D01*
+X168082739Y-67037215D01*
+X168148998Y-66970375D01*
+X168241126Y-66877440D01*
+X168241127Y-66877439D01*
+X168244879Y-66873654D01*
+X168267685Y-66841144D01*
+X168374078Y-66689481D01*
+X168374079Y-66689479D01*
+X168377142Y-66685113D01*
+X168475748Y-66476982D01*
+X168479557Y-66463385D01*
+X168536437Y-66260348D01*
+X168536438Y-66260342D01*
+X168537875Y-66255213D01*
+X168538524Y-66248991D01*
+X168542473Y-66211096D01*
+X168546710Y-66170438D01*
+X168573665Y-66104759D01*
+X168631851Y-66064078D01*
+X168672031Y-66057500D01*
+X171686675Y-66057500D01*
+X171756676Y-66078734D01*
+X171788005Y-66099668D01*
+X171800172Y-66102088D01*
+X171800174Y-66102089D01*
+X171826840Y-66107393D01*
+X171850000Y-66112000D01*
+X172650000Y-66112000D01*
+X172673160Y-66107393D01*
+X172699826Y-66102089D01*
+X172699828Y-66102088D01*
+X172711995Y-66099668D01*
+X172722309Y-66092776D01*
+X172722312Y-66092775D01*
+X172754235Y-66071444D01*
+X172764551Y-66064551D01*
+X172771444Y-66054235D01*
+X172792775Y-66022312D01*
+X172792776Y-66022309D01*
+X172799668Y-66011995D01*
+X172802647Y-65997023D01*
+X172809317Y-65963488D01*
+X172812000Y-65950000D01*
+X172812000Y-65050000D01*
+X172804541Y-65012500D01*
+X172802089Y-65000174D01*
+X172802088Y-65000172D01*
+X172799668Y-64988005D01*
+X172792775Y-64977689D01*
+X172788025Y-64966222D01*
+X172790879Y-64965040D01*
+X172775444Y-64915753D01*
+X172794225Y-64847285D01*
+X172847041Y-64799840D01*
+X172901424Y-64787500D01*
+X173498576Y-64787500D01*
+X173566697Y-64807502D01*
+X173613190Y-64861158D01*
+X173623294Y-64931432D01*
+X173610238Y-64965502D01*
+X173611975Y-64966222D01*
+X173607225Y-64977689D01*
+X173600332Y-64988005D01*
+X173597912Y-65000172D01*
+X173597911Y-65000174D01*
+X173595459Y-65012500D01*
+X173588000Y-65050000D01*
+X173588000Y-65950000D01*
+X173590683Y-65963488D01*
+X173597354Y-65997023D01*
+X173600332Y-66011995D01*
+X173607224Y-66022309D01*
+X173607225Y-66022312D01*
+X173628556Y-66054235D01*
+X173635449Y-66064551D01*
+X173645765Y-66071444D01*
+X173654542Y-66080221D01*
+X173651530Y-66083233D01*
+X173682043Y-66119763D01*
+X173692500Y-66170020D01*
+X173692501Y-69153983D01*
+X173661444Y-69232424D01*
+X173662852Y-69233397D01*
+X173657497Y-69241144D01*
+X173657496Y-69241146D01*
+X173628961Y-69282434D01*
+X173626666Y-69285646D01*
+X173608323Y-69310480D01*
+X173591861Y-69332768D01*
+X173535299Y-69375679D01*
+X173464518Y-69381198D01*
+X173401988Y-69347573D01*
+X173364510Y-69257908D01*
+X173364510Y-68531955D01*
+X173366931Y-68507373D01*
+X173367089Y-68506579D01*
+X173367089Y-68506577D01*
+X173369510Y-68494407D01*
+X173364510Y-68469272D01*
+X173354282Y-68417852D01*
+X173351949Y-68406124D01*
+X173351949Y-68406123D01*
+X173349528Y-68393954D01*
+X173334106Y-68370872D01*
+X173299518Y-68319107D01*
+X173292626Y-68308792D01*
+X173282311Y-68301900D01*
+X173282309Y-68301898D01*
+X173281628Y-68301443D01*
+X173262535Y-68285773D01*
+X172471236Y-67494474D01*
+X172455570Y-67475386D01*
+X172448218Y-67464384D01*
+X172363056Y-67407482D01*
+X172287738Y-67392500D01*
+X172287737Y-67392500D01*
+X172284469Y-67391850D01*
+X172262602Y-67387500D01*
+X172250432Y-67389921D01*
+X172250430Y-67389921D01*
+X172249636Y-67390079D01*
+X172225054Y-67392500D01*
+X171174946Y-67392500D01*
+X171150364Y-67390079D01*
+X171149570Y-67389921D01*
+X171149568Y-67389921D01*
+X171137398Y-67387500D01*
+X171112263Y-67392500D01*
+X171112262Y-67392500D01*
+X171036944Y-67407482D01*
+X170951782Y-67464384D01*
+X170944890Y-67474699D01*
+X170944888Y-67474701D01*
+X170944435Y-67475379D01*
+X170928766Y-67494472D01*
+X170644473Y-67778766D01*
+X170625377Y-67794437D01*
+X170614384Y-67801782D01*
+X170607490Y-67812100D01*
+X170568948Y-67869785D01*
+X170557482Y-67886945D01*
+X170555061Y-67899118D01*
+X170554090Y-67901461D01*
+X170532007Y-67928864D01*
+X170536581Y-67962816D01*
+X170539921Y-67962816D01*
+X170539921Y-67975227D01*
+X170537500Y-67987398D01*
+X170539921Y-67999568D01*
+X170539921Y-67999570D01*
+X170540079Y-68000364D01*
+X170542500Y-68024946D01*
+X170542501Y-68731162D01*
+X170542501Y-68847136D01*
+X170522499Y-68915257D01*
+X170468843Y-68961750D01*
+X170398569Y-68971854D01*
+X170333989Y-68942361D01*
+X170301526Y-68897344D01*
+X170299668Y-68888005D01*
+X170264551Y-68835449D01*
+X170235354Y-68815940D01*
+X170222312Y-68807225D01*
+X170222309Y-68807224D01*
+X170211995Y-68800332D01*
+X170199828Y-68797912D01*
+X170199826Y-68797911D01*
+X170169568Y-68791892D01*
+X170150000Y-68788000D01*
+X169250000Y-68788000D01*
+X169230432Y-68791892D01*
+X169200174Y-68797911D01*
+X169200172Y-68797912D01*
+X169188005Y-68800332D01*
+X169177691Y-68807224D01*
+X169177688Y-68807225D01*
+X169164646Y-68815940D01*
+X169135449Y-68835449D01*
+X169128556Y-68845765D01*
+X169119779Y-68854542D01*
+X169116767Y-68851530D01*
+X169080237Y-68882043D01*
+X169029980Y-68892500D01*
+X168891692Y-68892500D01*
+X168802597Y-68855595D01*
+X168504009Y-68557007D01*
+X168494154Y-68545918D01*
+X168479443Y-68527257D01*
+X168479442Y-68527256D01*
+X168473613Y-68519862D01*
+X168474484Y-68519176D01*
+X168443007Y-68465602D01*
+X168445846Y-68394663D01*
+X168476131Y-68345870D01*
+X168877598Y-67944404D01*
+X168966693Y-67907500D01*
+X169029980Y-67907500D01*
+X169098101Y-67927502D01*
+X169118097Y-67947140D01*
+X169119779Y-67945458D01*
+X169128556Y-67954235D01*
+X169135449Y-67964551D01*
+X169145765Y-67971444D01*
+X169177688Y-67992775D01*
+X169177691Y-67992776D01*
+X169188005Y-67999668D01*
+X169200172Y-68002088D01*
+X169200174Y-68002089D01*
+X169225643Y-68007155D01*
+X169250000Y-68012000D01*
+X170150000Y-68012000D01*
+X170174357Y-68007155D01*
+X170199826Y-68002089D01*
+X170199828Y-68002088D01*
+X170211995Y-67999668D01*
+X170222309Y-67992776D01*
+X170222312Y-67992775D01*
+X170254235Y-67971444D01*
+X170264551Y-67964551D01*
+X170278408Y-67943813D01*
+X170292772Y-67922316D01*
+X170292773Y-67922315D01*
+X170299668Y-67911995D01*
+X170301113Y-67904732D01*
+X170319806Y-67881536D01*
+X170313969Y-67850000D01*
+X170312000Y-67850000D01*
+X170312000Y-67050000D01*
+X170306692Y-67023316D01*
+X170302089Y-67000174D01*
+X170302088Y-67000172D01*
+X170299668Y-66988005D01*
+X170292776Y-66977691D01*
+X170292775Y-66977688D01*
+X170271444Y-66945765D01*
+X170264551Y-66935449D01*
+X170237599Y-66917440D01*
+X170222312Y-66907225D01*
+X170222309Y-66907224D01*
+X170211995Y-66900332D01*
+X170199828Y-66897912D01*
+X170199826Y-66897911D01*
+X170160235Y-66890036D01*
+X170150000Y-66888000D01*
+X169250000Y-66888000D01*
+X169239765Y-66890036D01*
+X169200174Y-66897911D01*
+X169200172Y-66897912D01*
+X169188005Y-66900332D01*
+X169177691Y-66907224D01*
+X169177688Y-66907225D01*
+X169162401Y-66917440D01*
+X169135449Y-66935449D01*
+X169128556Y-66945765D01*
+X169119779Y-66954542D01*
+X169116767Y-66951530D01*
+X169080237Y-66982043D01*
+X169029980Y-66992500D01*
+X168758217Y-66992500D01*
+X168743408Y-66991627D01*
+X168733977Y-66990511D01*
+X168710472Y-66987729D01*
+X168701208Y-66989421D01*
+X168701207Y-66989421D01*
+X168651866Y-66998432D01*
+X168647962Y-66999082D01*
+X168598317Y-67006546D01*
+X168598316Y-67006546D01*
+X168589006Y-67007946D01*
+X168582398Y-67011119D01*
+X168575188Y-67012436D01*
+X168566831Y-67016777D01*
+X168566825Y-67016779D01*
+X168522292Y-67039912D01*
+X168518765Y-67041675D01*
+X168514062Y-67043933D01*
+X168473527Y-67063397D01*
+X168473524Y-67063399D01*
+X168465036Y-67067475D01*
+X168459735Y-67072376D01*
+X168459518Y-67072522D01*
+X168453149Y-67075830D01*
+X168446423Y-67081574D01*
+X168407086Y-67120911D01*
+X168403520Y-67124340D01*
+X168382325Y-67143933D01*
+X168364051Y-67160825D01*
+X168360520Y-67166904D01*
+X168355597Y-67172400D01*
+X168122937Y-67405061D01*
+X167922403Y-67605595D01*
+X167833307Y-67642500D01*
+X166341692Y-67642500D01*
+X166252597Y-67605595D01*
+X165614405Y-66967403D01*
+X165577500Y-66878308D01*
+X165577500Y-57217960D01*
+X165597502Y-57149839D01*
+X165658963Y-57100094D01*
+X165664012Y-57098186D01*
+X165664014Y-57098185D01*
+X165669262Y-57096202D01*
+X165861785Y-56980979D01*
+X165866005Y-56977297D01*
+X165866010Y-56977294D01*
+X166026631Y-56837175D01*
+X166030861Y-56833485D01*
+X166071721Y-56782484D01*
+X166167641Y-56662757D01*
+X166167644Y-56662752D01*
+X166171147Y-56658380D01*
+X166264198Y-56487000D01*
+X166275531Y-56466128D01*
+X166275532Y-56466127D01*
+X166278206Y-56461201D01*
+X166348657Y-56248179D01*
+X166371758Y-56085861D01*
+X166401157Y-56021239D01*
+X166460828Y-55982770D01*
+X166531825Y-55982668D01*
+X166591606Y-56020966D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,Conductor*
+G36*
+X187958077Y-67654033D02*
+G01*
+X188017249Y-67693265D01*
+X188046376Y-67763428D01*
+X188047936Y-67781260D01*
+X188050337Y-67793802D01*
+X188096784Y-67948949D01*
+X188102562Y-67962343D01*
+X188175043Y-68087884D01*
+X188191781Y-68156879D01*
+X188152390Y-68242533D01*
+X188117925Y-68275049D01*
+X188108549Y-68286224D01*
+X188016829Y-68425676D01*
+X188015657Y-68424905D01*
+X187972651Y-68470974D01*
+X187903836Y-68488441D01*
+X187836503Y-68465931D01*
+X187792028Y-68410591D01*
+X187782500Y-68362527D01*
+X187782500Y-67826243D01*
+X187788404Y-67788969D01*
+X187792141Y-67781634D01*
+X187796407Y-67754700D01*
+X187826819Y-67690546D01*
+X187887087Y-67653019D01*
+X187958077Y-67654033D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,Conductor*
+G36*
+X186998379Y-64848700D02*
+G01*
+X187002686Y-64853433D01*
+X187007921Y-64861268D01*
+X187015228Y-64867217D01*
+X187100277Y-64936458D01*
+X187114568Y-64948093D01*
+X187123299Y-64951629D01*
+X187123300Y-64951630D01*
+X187165020Y-64968528D01*
+X187242032Y-64999721D01*
+X187251417Y-65000534D01*
+X187251418Y-65000534D01*
+X187369661Y-65010775D01*
+X187369664Y-65010775D01*
+X187379041Y-65011587D01*
+X187388242Y-65009606D01*
+X187388244Y-65009606D01*
+X187472969Y-64991365D01*
+X187502970Y-64984906D01*
+X187573774Y-64990123D01*
+X187630519Y-65032791D01*
+X187655489Y-65108084D01*
+X187655489Y-65866396D01*
+X187618585Y-65955491D01*
+X187272982Y-66301095D01*
+X187183886Y-66338000D01*
+X186918750Y-66338000D01*
+X186793366Y-66357859D01*
+X186680256Y-66415491D01*
+X186590491Y-66505256D01*
+X186532859Y-66618366D01*
+X186513000Y-66743750D01*
+X186513000Y-67656250D01*
+X186532859Y-67781634D01*
+X186548590Y-67812509D01*
+X186561694Y-67882284D01*
+X186534994Y-67948069D01*
+X186476966Y-67988975D01*
+X186406035Y-67992015D01*
+X186347228Y-67958805D01*
+X186302071Y-67913648D01*
+X186298417Y-67909839D01*
+X186285861Y-67896184D01*
+X186259710Y-67867745D01*
+X186252411Y-67863220D01*
+X186252407Y-67863216D01*
+X186222211Y-67844494D01*
+X186212428Y-67837771D01*
+X186184134Y-67816295D01*
+X186184133Y-67816294D01*
+X186177292Y-67811102D01*
+X186163007Y-67805446D01*
+X186143007Y-67795386D01*
+X186140322Y-67793722D01*
+X186137247Y-67791815D01*
+X186137245Y-67791814D01*
+X186129948Y-67787290D01*
+X186087568Y-67774977D01*
+X186076343Y-67771133D01*
+X186043324Y-67758060D01*
+X186043318Y-67758059D01*
+X186035333Y-67754897D01*
+X186020071Y-67753293D01*
+X185998086Y-67748980D01*
+X185983331Y-67744693D01*
+X185976754Y-67744210D01*
+X185971558Y-67743828D01*
+X185971545Y-67743828D01*
+X185969250Y-67743659D01*
+X185935022Y-67743659D01*
+X185921851Y-67742969D01*
+X185919359Y-67742707D01*
+X185883491Y-67738937D01*
+X185865997Y-67741896D01*
+X185844995Y-67743659D01*
+X185590853Y-67743659D01*
+X185522732Y-67723657D01*
+X185476239Y-67670001D01*
+X185466135Y-67599727D01*
+X185501758Y-67528564D01*
+X185776951Y-67253371D01*
+X185780387Y-67248229D01*
+X185780390Y-67248225D01*
+X185821433Y-67186800D01*
+X185821434Y-67186798D01*
+X185828326Y-67176483D01*
+X185831178Y-67162149D01*
+X185843946Y-67097958D01*
+X185846367Y-67085787D01*
+X185828326Y-66995091D01*
+X185821793Y-66985314D01*
+X185821792Y-66900914D01*
+X185818479Y-66900026D01*
+X185824855Y-66876230D01*
+X185842735Y-66740421D01*
+X185842735Y-66724045D01*
+X185824855Y-66588236D01*
+X185820616Y-66572416D01*
+X185768196Y-66445863D01*
+X185760009Y-66431682D01*
+X185728671Y-66390841D01*
+X185717079Y-66382377D01*
+X185705102Y-66389010D01*
+X184823417Y-67270695D01*
+X184761105Y-67304721D01*
+X184690290Y-67299656D01*
+X184645227Y-67270695D01*
+X184611285Y-67236753D01*
+X184577259Y-67174441D01*
+X184582324Y-67103626D01*
+X184611285Y-67058563D01*
+X185492699Y-66177149D01*
+X185500313Y-66163205D01*
+X185499221Y-66147934D01*
+X185486510Y-66113222D01*
+X185523145Y-66015889D01*
+X186694629Y-64844405D01*
+X186783724Y-64807500D01*
+X186905185Y-64807500D01*
+X186998379Y-64848700D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,Conductor*
+G36*
+X176190906Y-63794405D02*
+G01*
+X176883873Y-64487373D01*
+X176917898Y-64549685D01*
+X176912833Y-64620501D01*
+X176864775Y-64681235D01*
+X176855585Y-64687375D01*
+X176855583Y-64687377D01*
+X176850436Y-64690816D01*
+X176744370Y-64796882D01*
+X176740934Y-64802024D01*
+X176740931Y-64802028D01*
+X176699888Y-64863453D01*
+X176699887Y-64863455D01*
+X176692995Y-64873770D01*
+X176690575Y-64885937D01*
+X176690574Y-64885939D01*
+X176689639Y-64890641D01*
+X176656732Y-64953551D01*
+X176590641Y-64989639D01*
+X176585939Y-64990574D01*
+X176585937Y-64990575D01*
+X176573770Y-64992995D01*
+X176563455Y-64999887D01*
+X176563453Y-64999888D01*
+X176502028Y-65040931D01*
+X176502024Y-65040934D01*
+X176496882Y-65044370D01*
+X176390816Y-65150436D01*
+X176387380Y-65155578D01*
+X176387377Y-65155582D01*
+X176346334Y-65217007D01*
+X176346333Y-65217009D01*
+X176339441Y-65227324D01*
+X176337021Y-65239491D01*
+X176337020Y-65239493D01*
+X176336085Y-65244195D01*
+X176303177Y-65307105D01*
+X176237088Y-65343192D01*
+X176232386Y-65344127D01*
+X176232384Y-65344128D01*
+X176220217Y-65346548D01*
+X176209902Y-65353440D01*
+X176209900Y-65353441D01*
+X176148475Y-65394484D01*
+X176148471Y-65394487D01*
+X176143329Y-65397923D01*
+X176037263Y-65503989D01*
+X176033827Y-65509131D01*
+X176033824Y-65509135D01*
+X175992781Y-65570560D01*
+X175992780Y-65570562D01*
+X175985888Y-65580877D01*
+X175983468Y-65593044D01*
+X175983467Y-65593046D01*
+X175982532Y-65597748D01*
+X175949624Y-65660658D01*
+X175883535Y-65696745D01*
+X175878833Y-65697680D01*
+X175878831Y-65697681D01*
+X175866664Y-65700101D01*
+X175856349Y-65706993D01*
+X175856347Y-65706994D01*
+X175794922Y-65748037D01*
+X175794918Y-65748040D01*
+X175789776Y-65751476D01*
+X175683710Y-65857542D01*
+X175680274Y-65862684D01*
+X175680271Y-65862688D01*
+X175639228Y-65924113D01*
+X175639227Y-65924115D01*
+X175632335Y-65934430D01*
+X175629915Y-65946597D01*
+X175629914Y-65946599D01*
+X175628979Y-65951301D01*
+X175596072Y-66014211D01*
+X175529981Y-66050299D01*
+X175525279Y-66051234D01*
+X175525277Y-66051235D01*
+X175513110Y-66053655D01*
+X175502795Y-66060547D01*
+X175502793Y-66060548D01*
+X175441368Y-66101591D01*
+X175441364Y-66101594D01*
+X175436222Y-66105030D01*
+X175366560Y-66174692D01*
+X175304252Y-66208715D01*
+X175233436Y-66203651D01*
+X175188373Y-66174690D01*
+X175101406Y-66087723D01*
+X175064501Y-65998628D01*
+X175064501Y-64881946D01*
+X175066922Y-64857364D01*
+X175067080Y-64856570D01*
+X175067080Y-64856568D01*
+X175069501Y-64844398D01*
+X175060049Y-64796882D01*
+X175049519Y-64743945D01*
+X174992617Y-64658783D01*
+X174982302Y-64651891D01*
+X174982300Y-64651889D01*
+X174981622Y-64651436D01*
+X174962529Y-64635767D01*
+X174701234Y-64374473D01*
+X174685563Y-64355377D01*
+X174685113Y-64354704D01*
+X174678218Y-64344384D01*
+X174667561Y-64337263D01*
+X174603374Y-64294376D01*
+X174593056Y-64287482D01*
+X174517738Y-64272500D01*
+X174517737Y-64272500D01*
+X174492602Y-64267500D01*
+X174480432Y-64269921D01*
+X174480430Y-64269921D01*
+X174479636Y-64270079D01*
+X174455054Y-64272500D01*
+X173807369Y-64272500D01*
+X173739248Y-64252498D01*
+X173692755Y-64198842D01*
+X173682651Y-64128568D01*
+X173714660Y-64064624D01*
+X173714551Y-64064551D01*
+X173715132Y-64063681D01*
+X173730903Y-64040079D01*
+X173742775Y-64022312D01*
+X173742776Y-64022309D01*
+X173749668Y-64011995D01*
+X173753037Y-63995061D01*
+X173760793Y-63956067D01*
+X173762000Y-63950000D01*
+X173762000Y-63883500D01*
+X173782002Y-63815379D01*
+X173835658Y-63768886D01*
+X173888000Y-63757500D01*
+X176101810Y-63757500D01*
+X176190906Y-63794405D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,Conductor*
G36*
-X22682671Y-20082671D02*
+X187028000Y-62121001D02*
G01*
-X22653242Y-20118530D01*
-X22631374Y-20159442D01*
-X22617908Y-20203834D01*
-X22613361Y-20250000D01*
-X22613361Y-21950000D01*
-X22617908Y-21996166D01*
-X22631374Y-22040558D01*
-X22653242Y-22081470D01*
-X22682671Y-22117329D01*
-X22718530Y-22146758D01*
-X22759442Y-22168626D01*
-X22803834Y-22182092D01*
-X22850000Y-22186639D01*
-X24550000Y-22186639D01*
-X24596166Y-22182092D01*
-X24640558Y-22168626D01*
-X24681470Y-22146758D01*
-X24717329Y-22117329D01*
-X24746758Y-22081470D01*
-X24768626Y-22040558D01*
-X24782092Y-21996166D01*
-X24786639Y-21950000D01*
-X24786639Y-20250000D01*
-X24782092Y-20203834D01*
-X24768626Y-20159442D01*
-X24746758Y-20118530D01*
-X24717329Y-20082671D01*
-X24690314Y-20060500D01*
-X25927340Y-20060500D01*
-X25822587Y-20092277D01*
-X25634011Y-20193073D01*
-X25468722Y-20328722D01*
-X25333073Y-20494011D01*
-X25232277Y-20682587D01*
-X25170206Y-20887205D01*
-X25149248Y-21100000D01*
-X25170206Y-21312795D01*
-X25232277Y-21517413D01*
-X25333073Y-21705989D01*
-X25468722Y-21871278D01*
-X25634011Y-22006927D01*
-X25822587Y-22107723D01*
-X26027205Y-22169794D01*
-X26186674Y-22185500D01*
-X26293326Y-22185500D01*
-X26452795Y-22169794D01*
-X26657413Y-22107723D01*
-X26845989Y-22006927D01*
-X27011278Y-21871278D01*
-X27146927Y-21705989D01*
-X27247723Y-21517413D01*
-X27309794Y-21312795D01*
-X27330752Y-21100000D01*
-X27309794Y-20887205D01*
-X27247723Y-20682587D01*
-X27146927Y-20494011D01*
-X27011278Y-20328722D01*
-X26845989Y-20193073D01*
-X26657413Y-20092277D01*
-X26552660Y-20060500D01*
-X28467340Y-20060500D01*
-X28362587Y-20092277D01*
-X28174011Y-20193073D01*
-X28008722Y-20328722D01*
-X27873073Y-20494011D01*
-X27772277Y-20682587D01*
-X27710206Y-20887205D01*
-X27689248Y-21100000D01*
-X27710206Y-21312795D01*
-X27772277Y-21517413D01*
-X27873073Y-21705989D01*
-X28008722Y-21871278D01*
-X28174011Y-22006927D01*
-X28362587Y-22107723D01*
-X28567205Y-22169794D01*
-X28726674Y-22185500D01*
-X28833326Y-22185500D01*
-X28992795Y-22169794D01*
-X29197413Y-22107723D01*
-X29292718Y-22056781D01*
-X29697060Y-22461123D01*
-X29706894Y-22473106D01*
-X29754707Y-22512344D01*
-X29809256Y-22541502D01*
-X29868445Y-22559456D01*
-X29930000Y-22565519D01*
-X29945421Y-22564000D01*
-X32184579Y-22564000D01*
-X32200000Y-22565519D01*
-X32215421Y-22564000D01*
-X32215422Y-22564000D01*
-X32261555Y-22559456D01*
-X32320744Y-22541502D01*
-X32375293Y-22512344D01*
-X32423106Y-22473106D01*
-X32432944Y-22461118D01*
-X32534982Y-22359080D01*
-X32567258Y-22365500D01*
-X32672742Y-22365500D01*
-X32776200Y-22344921D01*
-X32873654Y-22304554D01*
-X32961361Y-22245950D01*
-X33035950Y-22171361D01*
-X33094554Y-22083654D01*
-X33134921Y-21986200D01*
-X33148089Y-21919999D01*
-X33254011Y-22006927D01*
-X33442587Y-22107723D01*
-X33647205Y-22169794D01*
-X33806674Y-22185500D01*
-X33913326Y-22185500D01*
-X34072795Y-22169794D01*
-X34277413Y-22107723D01*
-X34465989Y-22006927D01*
-X34631278Y-21871278D01*
-X34766927Y-21705989D01*
-X34867723Y-21517413D01*
-X34929794Y-21312795D01*
-X34950752Y-21100000D01*
-X34929794Y-20887205D01*
-X34867723Y-20682587D01*
-X34766927Y-20494011D01*
-X34631278Y-20328722D01*
-X34465989Y-20193073D01*
-X34277413Y-20092277D01*
-X34172660Y-20060500D01*
-X36087340Y-20060500D01*
-X35982587Y-20092277D01*
-X35794011Y-20193073D01*
-X35628722Y-20328722D01*
-X35493073Y-20494011D01*
-X35392277Y-20682587D01*
-X35330206Y-20887205D01*
-X35309248Y-21100000D01*
-X35330206Y-21312795D01*
-X35392277Y-21517413D01*
-X35493073Y-21705989D01*
-X35628722Y-21871278D01*
-X35794011Y-22006927D01*
-X35982587Y-22107723D01*
-X36187205Y-22169794D01*
-X36346674Y-22185500D01*
-X36453326Y-22185500D01*
-X36612795Y-22169794D01*
-X36817413Y-22107723D01*
-X37005989Y-22006927D01*
-X37171278Y-21871278D01*
-X37306927Y-21705989D01*
-X37407723Y-21517413D01*
-X37469794Y-21312795D01*
-X37490752Y-21100000D01*
-X37469794Y-20887205D01*
-X37407723Y-20682587D01*
-X37306927Y-20494011D01*
-X37171278Y-20328722D01*
-X37005989Y-20193073D01*
-X36817413Y-20092277D01*
-X36712660Y-20060500D01*
-X38627340Y-20060500D01*
-X38522587Y-20092277D01*
-X38334011Y-20193073D01*
-X38168722Y-20328722D01*
-X38033073Y-20494011D01*
-X37932277Y-20682587D01*
-X37870206Y-20887205D01*
-X37849248Y-21100000D01*
-X37870206Y-21312795D01*
-X37932277Y-21517413D01*
-X38033073Y-21705989D01*
-X38168722Y-21871278D01*
-X38334011Y-22006927D01*
-X38522587Y-22107723D01*
-X38727205Y-22169794D01*
-X38886674Y-22185500D01*
-X38993326Y-22185500D01*
-X39152795Y-22169794D01*
-X39357413Y-22107723D01*
-X39545989Y-22006927D01*
-X39711278Y-21871278D01*
-X39846927Y-21705989D01*
-X39947723Y-21517413D01*
-X40009794Y-21312795D01*
-X40030752Y-21100000D01*
-X40009794Y-20887205D01*
-X39947723Y-20682587D01*
-X39846927Y-20494011D01*
-X39711278Y-20328722D01*
-X39545989Y-20193073D01*
-X39357413Y-20092277D01*
-X39252660Y-20060500D01*
-X41167340Y-20060500D01*
-X41062587Y-20092277D01*
-X40874011Y-20193073D01*
-X40708722Y-20328722D01*
-X40573073Y-20494011D01*
-X40472277Y-20682587D01*
-X40410206Y-20887205D01*
-X40389248Y-21100000D01*
-X40410206Y-21312795D01*
-X40472277Y-21517413D01*
-X40573073Y-21705989D01*
-X40708722Y-21871278D01*
-X40874011Y-22006927D01*
-X41062587Y-22107723D01*
-X41267205Y-22169794D01*
-X41426674Y-22185500D01*
-X41533326Y-22185500D01*
-X41692795Y-22169794D01*
-X41897413Y-22107723D01*
-X42085989Y-22006927D01*
-X42251278Y-21871278D01*
-X42386927Y-21705989D01*
-X42487723Y-21517413D01*
-X42549794Y-21312795D01*
-X42570752Y-21100000D01*
-X42549794Y-20887205D01*
-X42487723Y-20682587D01*
-X42386927Y-20494011D01*
-X42251278Y-20328722D01*
-X42085989Y-20193073D01*
-X41897413Y-20092277D01*
-X41792660Y-20060500D01*
-X43707340Y-20060500D01*
-X43602587Y-20092277D01*
-X43414011Y-20193073D01*
-X43248722Y-20328722D01*
-X43113073Y-20494011D01*
-X43012277Y-20682587D01*
-X42950206Y-20887205D01*
-X42929248Y-21100000D01*
-X42950206Y-21312795D01*
-X43012277Y-21517413D01*
-X43113073Y-21705989D01*
-X43248722Y-21871278D01*
-X43414011Y-22006927D01*
-X43602587Y-22107723D01*
-X43807205Y-22169794D01*
-X43966674Y-22185500D01*
-X44073326Y-22185500D01*
-X44232795Y-22169794D01*
-X44437413Y-22107723D01*
-X44625989Y-22006927D01*
-X44791278Y-21871278D01*
-X44926927Y-21705989D01*
-X45027723Y-21517413D01*
-X45089794Y-21312795D01*
-X45110752Y-21100000D01*
-X45089794Y-20887205D01*
-X45027723Y-20682587D01*
-X44926927Y-20494011D01*
-X44791278Y-20328722D01*
-X44625989Y-20193073D01*
-X44437413Y-20092277D01*
-X44332660Y-20060500D01*
-X46247340Y-20060500D01*
-X46142587Y-20092277D01*
-X45954011Y-20193073D01*
-X45788722Y-20328722D01*
-X45653073Y-20494011D01*
-X45552277Y-20682587D01*
-X45490206Y-20887205D01*
-X45469248Y-21100000D01*
-X45490206Y-21312795D01*
-X45552277Y-21517413D01*
-X45653073Y-21705989D01*
-X45788722Y-21871278D01*
-X45954011Y-22006927D01*
-X46142587Y-22107723D01*
-X46347205Y-22169794D01*
-X46506674Y-22185500D01*
-X46613326Y-22185500D01*
-X46772795Y-22169794D01*
-X46977413Y-22107723D01*
-X47165989Y-22006927D01*
-X47331278Y-21871278D01*
-X47466927Y-21705989D01*
-X47567723Y-21517413D01*
-X47629794Y-21312795D01*
-X47650752Y-21100000D01*
-X47629794Y-20887205D01*
-X47567723Y-20682587D01*
-X47466927Y-20494011D01*
-X47331278Y-20328722D01*
-X47165989Y-20193073D01*
-X46977413Y-20092277D01*
-X46872660Y-20060500D01*
-X48787340Y-20060500D01*
-X48682587Y-20092277D01*
-X48494011Y-20193073D01*
-X48328722Y-20328722D01*
-X48193073Y-20494011D01*
-X48092277Y-20682587D01*
-X48030206Y-20887205D01*
-X48009248Y-21100000D01*
-X48030206Y-21312795D01*
-X48092277Y-21517413D01*
-X48193073Y-21705989D01*
-X48328722Y-21871278D01*
-X48494011Y-22006927D01*
-X48682587Y-22107723D01*
-X48887205Y-22169794D01*
-X49046674Y-22185500D01*
-X49153326Y-22185500D01*
-X49312795Y-22169794D01*
-X49517413Y-22107723D01*
-X49705989Y-22006927D01*
-X49871278Y-21871278D01*
-X50006927Y-21705989D01*
-X50107723Y-21517413D01*
-X50115469Y-21491877D01*
-X50581714Y-21491877D01*
-X50678500Y-21690809D01*
-X50812236Y-21867036D01*
-X50977783Y-22013786D01*
-X51168778Y-22125420D01*
-X51248125Y-22158275D01*
-X51418500Y-22135449D01*
-X51418500Y-21321500D01*
-X51861500Y-21321500D01*
-X51861500Y-22135449D01*
-X52031875Y-22158275D01*
-X52111222Y-22125420D01*
-X52302217Y-22013786D01*
-X52467764Y-21867036D01*
-X52601500Y-21690809D01*
-X52698286Y-21491877D01*
-X52676935Y-21321500D01*
-X51861500Y-21321500D01*
-X51418500Y-21321500D01*
-X50603065Y-21321500D01*
-X50581714Y-21491877D01*
-X50115469Y-21491877D01*
-X50169794Y-21312795D01*
-X50190752Y-21100000D01*
-X50169794Y-20887205D01*
-X50107723Y-20682587D01*
-X50006927Y-20494011D01*
-X49871278Y-20328722D01*
-X49705989Y-20193073D01*
-X49517413Y-20092277D01*
-X49412660Y-20060500D01*
-X51202782Y-20060500D01*
-X51168778Y-20074580D01*
-X50977783Y-20186214D01*
-X50812236Y-20332964D01*
-X50678500Y-20509191D01*
-X50581714Y-20708123D01*
-X50603065Y-20878500D01*
-X51418500Y-20878500D01*
-X51418500Y-20858500D01*
-X51861500Y-20858500D01*
-X51861500Y-20878500D01*
-X52676935Y-20878500D01*
-X52698286Y-20708123D01*
-X52601500Y-20509191D01*
-X52467764Y-20332964D01*
-X52302217Y-20186214D01*
-X52111222Y-20074580D01*
-X52077218Y-20060500D01*
-X53867340Y-20060500D01*
-X53762587Y-20092277D01*
-X53574011Y-20193073D01*
-X53408722Y-20328722D01*
-X53273073Y-20494011D01*
-X53172277Y-20682587D01*
-X53110206Y-20887205D01*
-X53089248Y-21100000D01*
-X53110206Y-21312795D01*
-X53172277Y-21517413D01*
-X53273073Y-21705989D01*
-X53408722Y-21871278D01*
-X53574011Y-22006927D01*
-X53762587Y-22107723D01*
-X53967205Y-22169794D01*
-X54126674Y-22185500D01*
-X54233326Y-22185500D01*
-X54392795Y-22169794D01*
-X54597413Y-22107723D01*
-X54785989Y-22006927D01*
-X54951278Y-21871278D01*
-X55086927Y-21705989D01*
-X55187723Y-21517413D01*
-X55249794Y-21312795D01*
-X55270752Y-21100000D01*
-X55249794Y-20887205D01*
-X55187723Y-20682587D01*
-X55086927Y-20494011D01*
-X54951278Y-20328722D01*
-X54785989Y-20193073D01*
-X54597413Y-20092277D01*
-X54492660Y-20060500D01*
-X56407340Y-20060500D01*
-X56302587Y-20092277D01*
-X56114011Y-20193073D01*
-X55948722Y-20328722D01*
-X55813073Y-20494011D01*
-X55712277Y-20682587D01*
-X55650206Y-20887205D01*
-X55629248Y-21100000D01*
-X55650206Y-21312795D01*
-X55712277Y-21517413D01*
-X55813073Y-21705989D01*
-X55948722Y-21871278D01*
-X56114011Y-22006927D01*
-X56302587Y-22107723D01*
-X56507205Y-22169794D01*
-X56666674Y-22185500D01*
-X56773326Y-22185500D01*
-X56932795Y-22169794D01*
-X57086000Y-22123319D01*
-X57086001Y-24319936D01*
-X55459938Y-25946000D01*
-X51211286Y-25946000D01*
-X51211361Y-25945950D01*
-X51285950Y-25871361D01*
-X51344554Y-25783654D01*
-X51384921Y-25686200D01*
-X51405500Y-25582742D01*
-X51405500Y-25477258D01*
-X51384921Y-25373800D01*
-X51344554Y-25276346D01*
-X51285950Y-25188639D01*
-X51211361Y-25114050D01*
-X51184000Y-25095768D01*
-X51184000Y-24790062D01*
-X52894983Y-23079080D01*
-X52927258Y-23085500D01*
-X53032742Y-23085500D01*
-X53136200Y-23064921D01*
-X53233654Y-23024554D01*
-X53321361Y-22965950D01*
-X53395950Y-22891361D01*
-X53454554Y-22803654D01*
-X53494921Y-22706200D01*
-X53515500Y-22602742D01*
-X53515500Y-22497258D01*
-X53494921Y-22393800D01*
-X53454554Y-22296346D01*
-X53395950Y-22208639D01*
-X53321361Y-22134050D01*
-X53233654Y-22075446D01*
-X53136200Y-22035079D01*
-X53032742Y-22014500D01*
-X52927258Y-22014500D01*
-X52823800Y-22035079D01*
-X52726346Y-22075446D01*
-X52638639Y-22134050D01*
-X52564050Y-22208639D01*
-X52505446Y-22296346D01*
-X52465079Y-22393800D01*
-X52444500Y-22497258D01*
-X52444500Y-22602742D01*
-X52450920Y-22635017D01*
-X50658882Y-24427056D01*
-X50646894Y-24436894D01*
-X50607656Y-24484708D01*
-X50578498Y-24539257D01*
-X50564464Y-24585524D01*
-X50560544Y-24598446D01*
-X50554481Y-24660000D01*
-X50556000Y-24675422D01*
-X50556001Y-25095768D01*
-X50528639Y-25114050D01*
-X50454050Y-25188639D01*
-X50395446Y-25276346D01*
-X50355079Y-25373800D01*
-X50334500Y-25477258D01*
-X50334500Y-25582742D01*
-X50355079Y-25686200D01*
-X50395446Y-25783654D01*
-X50454050Y-25871361D01*
-X50528639Y-25945950D01*
-X50528714Y-25946000D01*
-X45605418Y-25946000D01*
-X45589999Y-25944481D01*
-X45574580Y-25946000D01*
-X45574578Y-25946000D01*
-X45528445Y-25950544D01*
-X45469256Y-25968498D01*
-X45414707Y-25997656D01*
-X45366894Y-26036894D01*
-X45357063Y-26048874D01*
-X42005439Y-29400499D01*
-X40808380Y-29400499D01*
-X40792959Y-29398980D01*
-X40731404Y-29405043D01*
-X40672214Y-29422997D01*
-X40631749Y-29444627D01*
-X40617666Y-29452155D01*
-X40569853Y-29491393D01*
-X40560022Y-29503373D01*
-X40303381Y-29760015D01*
-X40291393Y-29769853D01*
-X40252155Y-29817667D01*
-X40222997Y-29872216D01*
-X40205769Y-29929011D01*
-X40205043Y-29931405D01*
-X40198980Y-29992959D01*
-X40200499Y-30008381D01*
-X40200500Y-30662126D01*
-X40198980Y-30677557D01*
-X40205043Y-30739111D01*
-X40222998Y-30798301D01*
-X40250396Y-30849557D01*
-X40252156Y-30852850D01*
-X40291394Y-30900663D01*
-X40303377Y-30910497D01*
-X40344160Y-30951280D01*
-X40337740Y-30983556D01*
-X40337740Y-31089040D01*
-X40358319Y-31192498D01*
-X40398686Y-31289952D01*
-X40457290Y-31377659D01*
-X40531879Y-31452248D01*
-X40619586Y-31510852D01*
-X40717040Y-31551219D01*
-X40820498Y-31571798D01*
-X40925982Y-31571798D01*
-X41029440Y-31551219D01*
-X41126894Y-31510852D01*
-X41214601Y-31452248D01*
-X41289190Y-31377659D01*
-X41347794Y-31289952D01*
-X41355565Y-31271191D01*
-X41432175Y-31302924D01*
-X41535633Y-31323503D01*
-X41641117Y-31323503D01*
-X41744575Y-31302924D01*
-X41842029Y-31262557D01*
-X41929736Y-31203953D01*
-X42004325Y-31129364D01*
-X42029186Y-31092157D01*
-X42070897Y-31079505D01*
-X42125446Y-31050347D01*
-X42173259Y-31011109D01*
-X42183097Y-30999121D01*
-X42882790Y-30299428D01*
-X42882791Y-31361206D01*
-X42882790Y-31361216D01*
-X42882790Y-31366669D01*
-X42855429Y-31384951D01*
-X42780840Y-31459540D01*
-X42722236Y-31547247D01*
-X42681869Y-31644701D01*
-X42661290Y-31748159D01*
-X42661290Y-31853643D01*
-X42681869Y-31957101D01*
-X42722236Y-32054555D01*
-X42780840Y-32142262D01*
-X42855429Y-32216851D01*
-X42943136Y-32275455D01*
-X43040590Y-32315822D01*
-X43144048Y-32336401D01*
-X43249532Y-32336401D01*
-X43352990Y-32315822D01*
-X43450444Y-32275455D01*
-X43538151Y-32216851D01*
-X43573843Y-32181159D01*
-X43573843Y-32629420D01*
-X42797540Y-33405724D01*
-X42797535Y-33405728D01*
-X42793680Y-33409583D01*
-X42761405Y-33403163D01*
-X42655921Y-33403163D01*
-X42552463Y-33423742D01*
-X42455009Y-33464109D01*
-X42367302Y-33522713D01*
-X42292713Y-33597302D01*
-X42234109Y-33685009D01*
-X42193742Y-33782463D01*
-X42173163Y-33885921D01*
-X42173163Y-33991405D01*
-X42193742Y-34094863D01*
-X42234109Y-34192317D01*
-X42292713Y-34280024D01*
-X42367302Y-34354613D01*
-X42455009Y-34413217D01*
-X42552463Y-34453584D01*
-X42655921Y-34474163D01*
-X42703043Y-34474163D01*
-X42703043Y-34532048D01*
-X42723622Y-34635506D01*
-X42763989Y-34732960D01*
-X42822593Y-34820667D01*
-X42897182Y-34895256D01*
-X42984889Y-34953860D01*
-X43082343Y-34994227D01*
-X43185801Y-35014806D01*
-X43291285Y-35014806D01*
-X43394743Y-34994227D01*
-X43492197Y-34953860D01*
-X43579904Y-34895256D01*
-X43654493Y-34820667D01*
-X43713097Y-34732960D01*
-X43753464Y-34635506D01*
-X43774043Y-34532048D01*
-X43774043Y-34426564D01*
-X43767623Y-34394289D01*
-X43771478Y-34390434D01*
-X43771482Y-34390429D01*
-X44922251Y-33239661D01*
-X44934234Y-33229827D01*
-X44973472Y-33182014D01*
-X45002630Y-33127465D01*
-X45020584Y-33068276D01*
-X45025128Y-33022143D01*
-X45026647Y-33006722D01*
-X45025128Y-32991300D01*
-X45025128Y-31081217D01*
-X45052489Y-31062935D01*
-X45127078Y-30988346D01*
-X45185682Y-30900639D01*
-X45226049Y-30803185D01*
-X45238840Y-30738879D01*
-X45277258Y-30746521D01*
-X45382742Y-30746521D01*
-X45486200Y-30725942D01*
-X45583654Y-30685575D01*
-X45671361Y-30626971D01*
-X45745950Y-30552382D01*
-X45804554Y-30464675D01*
-X45844921Y-30367221D01*
-X45865500Y-30263763D01*
-X45865500Y-30158279D01*
-X45844921Y-30054821D01*
-X45804554Y-29957367D01*
-X45745950Y-29869660D01*
-X45671361Y-29795071D01*
-X45644000Y-29776789D01*
-X45644000Y-29477258D01*
-X51779500Y-29477258D01*
-X51779500Y-29582742D01*
-X51800079Y-29686200D01*
-X51840446Y-29783654D01*
-X51899050Y-29871361D01*
-X51973639Y-29945950D01*
-X52061346Y-30004554D01*
-X52158800Y-30044921D01*
-X52227746Y-30058635D01*
-X54932539Y-32763429D01*
-X54935079Y-32776200D01*
-X54975446Y-32873654D01*
-X55034050Y-32961361D01*
-X55108639Y-33035950D01*
-X55196346Y-33094554D01*
-X55293800Y-33134921D01*
-X55397258Y-33155500D01*
-X55502742Y-33155500D01*
-X55606200Y-33134921D01*
-X55703654Y-33094554D01*
-X55791361Y-33035950D01*
-X55865950Y-32961361D01*
-X55924554Y-32873654D01*
-X55929433Y-32861875D01*
-X58141725Y-32861875D01*
-X58174580Y-32941222D01*
-X58286214Y-33132217D01*
-X58432964Y-33297764D01*
-X58609191Y-33431500D01*
-X58808123Y-33528286D01*
-X58978500Y-33506935D01*
-X58978500Y-32691500D01*
-X59421500Y-32691500D01*
-X59421500Y-33506935D01*
-X59591877Y-33528286D01*
-X59790809Y-33431500D01*
-X59967036Y-33297764D01*
-X60113786Y-33132217D01*
-X60225420Y-32941222D01*
-X60258275Y-32861875D01*
-X60235449Y-32691500D01*
-X59421500Y-32691500D01*
-X58978500Y-32691500D01*
-X58164551Y-32691500D01*
-X58141725Y-32861875D01*
-X55929433Y-32861875D01*
-X55964921Y-32776200D01*
-X55985500Y-32672742D01*
-X55985500Y-32567258D01*
-X55964921Y-32463800D01*
-X55924554Y-32366346D01*
-X55865950Y-32278639D01*
-X55791361Y-32204050D01*
-X55703654Y-32145446D01*
-X55606200Y-32105079D01*
-X55502742Y-32084500D01*
-X55485390Y-32084500D01*
-X55479015Y-32078125D01*
-X58141725Y-32078125D01*
-X58164551Y-32248500D01*
-X58978500Y-32248500D01*
-X58978500Y-31433065D01*
-X59421500Y-31433065D01*
-X59421500Y-32248500D01*
-X60235449Y-32248500D01*
-X60258275Y-32078125D01*
-X60225420Y-31998778D01*
-X60113786Y-31807783D01*
-X59967036Y-31642236D01*
-X59790809Y-31508500D01*
-X59591877Y-31411714D01*
-X59421500Y-31433065D01*
-X58978500Y-31433065D01*
-X58808123Y-31411714D01*
-X58609191Y-31508500D01*
-X58432964Y-31642236D01*
-X58286214Y-31807783D01*
-X58174580Y-31998778D01*
-X58141725Y-32078125D01*
-X55479015Y-32078125D01*
-X52843635Y-29442746D01*
-X52829921Y-29373800D01*
-X52789554Y-29276346D01*
-X52730950Y-29188639D01*
-X52656361Y-29114050D01*
-X52568654Y-29055446D01*
-X52471200Y-29015079D01*
-X52367742Y-28994500D01*
-X52262258Y-28994500D01*
-X52158800Y-29015079D01*
-X52061346Y-29055446D01*
-X51973639Y-29114050D01*
-X51899050Y-29188639D01*
-X51840446Y-29276346D01*
-X51800079Y-29373800D01*
-X51779500Y-29477258D01*
-X45644000Y-29477258D01*
-X45644000Y-28741430D01*
-X45674769Y-28747550D01*
-X45780253Y-28747550D01*
-X45883711Y-28726971D01*
-X45981165Y-28686604D01*
-X46068872Y-28628000D01*
-X46143461Y-28553411D01*
-X46202065Y-28465704D01*
-X46242432Y-28368250D01*
-X46263011Y-28264792D01*
-X46263011Y-28159308D01*
-X46242432Y-28055850D01*
-X46202065Y-27958396D01*
-X46143461Y-27870689D01*
-X46068872Y-27796100D01*
-X45981165Y-27737496D01*
-X45915854Y-27710443D01*
-X46110264Y-27516033D01*
-X56341971Y-27516033D01*
-X58243219Y-29417282D01*
-X58192277Y-29512587D01*
-X58130206Y-29717205D01*
-X58109248Y-29930000D01*
-X58130206Y-30142795D01*
-X58192277Y-30347413D01*
-X58293073Y-30535989D01*
-X58428722Y-30701278D01*
-X58594011Y-30836927D01*
-X58782587Y-30937723D01*
-X58987205Y-30999794D01*
-X59146674Y-31015500D01*
-X59253326Y-31015500D01*
-X59412795Y-30999794D01*
-X59617413Y-30937723D01*
-X59805989Y-30836927D01*
-X59971278Y-30701278D01*
-X60106927Y-30535989D01*
-X60207723Y-30347413D01*
-X60269794Y-30142795D01*
-X60290752Y-29930000D01*
-X60269794Y-29717205D01*
-X60207723Y-29512587D01*
-X60106927Y-29324011D01*
-X59971278Y-29158722D01*
-X59805989Y-29023073D01*
-X59617413Y-28922277D01*
-X59412795Y-28860206D01*
-X59253326Y-28844500D01*
-X59146674Y-28844500D01*
-X58987205Y-28860206D01*
-X58782587Y-28922277D01*
-X58687282Y-28973219D01*
-X56916084Y-27202022D01*
-X57365879Y-27202022D01*
-X57764979Y-27601123D01*
-X57774813Y-27613106D01*
-X57822626Y-27652344D01*
-X57877175Y-27681502D01*
-X57921707Y-27695010D01*
-X57936364Y-27699456D01*
-X57997918Y-27705519D01*
-X58013340Y-27704000D01*
-X58160907Y-27704000D01*
-X58192277Y-27807413D01*
-X58293073Y-27995989D01*
-X58428722Y-28161278D01*
-X58594011Y-28296927D01*
-X58782587Y-28397723D01*
-X58987205Y-28459794D01*
-X59146674Y-28475500D01*
-X59253326Y-28475500D01*
-X59412795Y-28459794D01*
-X59617413Y-28397723D01*
-X59805989Y-28296927D01*
-X59971278Y-28161278D01*
-X60106927Y-27995989D01*
-X60207723Y-27807413D01*
-X60269794Y-27602795D01*
-X60290752Y-27390000D01*
-X60269794Y-27177205D01*
-X60207723Y-26972587D01*
-X60106927Y-26784011D01*
-X59971278Y-26618722D01*
-X59805989Y-26483073D01*
-X59617413Y-26382277D01*
-X59412795Y-26320206D01*
-X59253326Y-26304500D01*
-X59146674Y-26304500D01*
-X58987205Y-26320206D01*
-X58782587Y-26382277D01*
-X58594011Y-26483073D01*
-X58428722Y-26618722D01*
-X58293073Y-26784011D01*
-X58192277Y-26972587D01*
-X58160907Y-27076000D01*
-X58127982Y-27076000D01*
-X57728885Y-26676904D01*
-X57719047Y-26664916D01*
-X57671234Y-26625678D01*
-X57616685Y-26596520D01*
-X57557496Y-26578566D01*
-X57511363Y-26574022D01*
-X57511362Y-26574022D01*
-X57495941Y-26572503D01*
-X57480520Y-26574022D01*
-X56164118Y-26574022D01*
-X57925138Y-24813003D01*
-X57937115Y-24803174D01*
-X57946946Y-24791195D01*
-X57976354Y-24755361D01*
-X58005511Y-24700813D01*
-X58023465Y-24641623D01*
-X58029529Y-24580069D01*
-X58028009Y-24564640D01*
-X58028009Y-24000000D01*
-X58113361Y-24000000D01*
-X58113361Y-25700000D01*
-X58117908Y-25746166D01*
-X58131374Y-25790558D01*
-X58153242Y-25831470D01*
-X58182671Y-25867329D01*
-X58218530Y-25896758D01*
-X58259442Y-25918626D01*
-X58303834Y-25932092D01*
-X58350000Y-25936639D01*
-X60050000Y-25936639D01*
-X60096166Y-25932092D01*
-X60140558Y-25918626D01*
-X60181470Y-25896758D01*
-X60217329Y-25867329D01*
-X60246758Y-25831470D01*
-X60268626Y-25790558D01*
-X60282092Y-25746166D01*
-X60286639Y-25700000D01*
-X60286639Y-24000000D01*
-X60282092Y-23953834D01*
-X60268626Y-23909442D01*
-X60246758Y-23868530D01*
-X60217329Y-23832671D01*
-X60181470Y-23803242D01*
-X60140558Y-23781374D01*
-X60096166Y-23767908D01*
-X60050000Y-23763361D01*
-X58350000Y-23763361D01*
-X58303834Y-23767908D01*
-X58259442Y-23781374D01*
-X58218530Y-23803242D01*
-X58182671Y-23832671D01*
-X58153242Y-23868530D01*
-X58131374Y-23909442D01*
-X58117908Y-23953834D01*
-X58113361Y-24000000D01*
-X58028009Y-24000000D01*
-X58028011Y-22776051D01*
-X58747281Y-22056781D01*
-X58842587Y-22107723D01*
-X59047205Y-22169794D01*
-X59206674Y-22185500D01*
-X59313326Y-22185500D01*
-X59472795Y-22169794D01*
-X59677413Y-22107723D01*
-X59865989Y-22006927D01*
-X60031278Y-21871278D01*
-X60166927Y-21705989D01*
-X60267723Y-21517413D01*
-X60329794Y-21312795D01*
-X60350752Y-21100000D01*
-X60329794Y-20887205D01*
-X60267723Y-20682587D01*
-X60166927Y-20494011D01*
-X60031278Y-20328722D01*
-X59865989Y-20193073D01*
-X59677413Y-20092277D01*
-X59572660Y-20060500D01*
-X62887260Y-20060500D01*
-X62907432Y-20062478D01*
-X62914573Y-20064634D01*
-X62921165Y-20068139D01*
-X62926955Y-20072861D01*
-X62931710Y-20078608D01*
-X62935262Y-20085179D01*
-X62937470Y-20092311D01*
-X62939500Y-20111624D01*
-X62939501Y-37087250D01*
-X62937522Y-37107432D01*
-X62935366Y-37114575D01*
-X62931862Y-37121163D01*
-X62927140Y-37126954D01*
-X62921389Y-37131711D01*
-X62914821Y-37135263D01*
-X62907690Y-37137470D01*
-X62888376Y-37139500D01*
-X59572660Y-37139500D01*
-X59677413Y-37107723D01*
-X59865989Y-37006927D01*
-X60031278Y-36871278D01*
-X60166927Y-36705989D01*
-X60267723Y-36517413D01*
-X60329794Y-36312795D01*
-X60350752Y-36100000D01*
-X60329794Y-35887205D01*
-X60267723Y-35682587D01*
-X60166927Y-35494011D01*
-X60031278Y-35328722D01*
-X59865989Y-35193073D01*
-X59677413Y-35092277D01*
-X59472795Y-35030206D01*
-X59461318Y-35029076D01*
-X59364975Y-34999850D01*
-X59260000Y-34989511D01*
-X59155024Y-34999850D01*
-X59058680Y-35029076D01*
-X59047205Y-35030206D01*
-X58842587Y-35092277D01*
-X58654011Y-35193073D01*
-X58488722Y-35328722D01*
-X58353073Y-35494011D01*
-X58252277Y-35682587D01*
-X58190206Y-35887205D01*
-X58169248Y-36100000D01*
-X58190206Y-36312795D01*
-X58252277Y-36517413D01*
-X58353073Y-36705989D01*
-X58488722Y-36871278D01*
-X58654011Y-37006927D01*
-X58842587Y-37107723D01*
-X58947340Y-37139500D01*
-X57157218Y-37139500D01*
-X57191222Y-37125420D01*
-X57382217Y-37013786D01*
-X57547764Y-36867036D01*
-X57681500Y-36690809D01*
-X57778286Y-36491877D01*
-X57756935Y-36321500D01*
-X56941500Y-36321500D01*
-X56941500Y-36341500D01*
-X56498500Y-36341500D01*
-X56498500Y-36321500D01*
-X55683065Y-36321500D01*
-X55661714Y-36491877D01*
-X55758500Y-36690809D01*
-X55892236Y-36867036D01*
-X56057783Y-37013786D01*
-X56248778Y-37125420D01*
-X56282782Y-37139500D01*
-X54492660Y-37139500D01*
-X54597413Y-37107723D01*
-X54785989Y-37006927D01*
-X54951278Y-36871278D01*
-X55086927Y-36705989D01*
-X55187723Y-36517413D01*
-X55249794Y-36312795D01*
-X55270752Y-36100000D01*
-X55249794Y-35887205D01*
-X55195470Y-35708123D01*
-X55661714Y-35708123D01*
-X55683065Y-35878500D01*
-X56498500Y-35878500D01*
-X56498500Y-35064551D01*
-X56941500Y-35064551D01*
-X56941500Y-35878500D01*
-X57756935Y-35878500D01*
-X57778286Y-35708123D01*
-X57681500Y-35509191D01*
-X57547764Y-35332964D01*
-X57382217Y-35186214D01*
-X57191222Y-35074580D01*
-X57111875Y-35041725D01*
-X56941500Y-35064551D01*
-X56498500Y-35064551D01*
-X56328125Y-35041725D01*
-X56248778Y-35074580D01*
-X56057783Y-35186214D01*
-X55892236Y-35332964D01*
-X55758500Y-35509191D01*
-X55661714Y-35708123D01*
-X55195470Y-35708123D01*
-X55187723Y-35682587D01*
-X55086927Y-35494011D01*
-X54951278Y-35328722D01*
-X54785989Y-35193073D01*
-X54597413Y-35092277D01*
-X54392795Y-35030206D01*
-X54233326Y-35014500D01*
-X54126674Y-35014500D01*
-X53967205Y-35030206D01*
-X53762587Y-35092277D01*
-X53574011Y-35193073D01*
-X53408722Y-35328722D01*
-X53273073Y-35494011D01*
-X53172277Y-35682587D01*
-X53110206Y-35887205D01*
-X53089248Y-36100000D01*
-X53110206Y-36312795D01*
-X53172277Y-36517413D01*
-X53273073Y-36705989D01*
-X53408722Y-36871278D01*
-X53574011Y-37006927D01*
-X53762587Y-37107723D01*
-X53867340Y-37139500D01*
-X51952660Y-37139500D01*
-X52057413Y-37107723D01*
-X52245989Y-37006927D01*
-X52411278Y-36871278D01*
-X52546927Y-36705989D01*
-X52647723Y-36517413D01*
-X52709794Y-36312795D01*
-X52730752Y-36100000D01*
-X52709794Y-35887205D01*
-X52647723Y-35682587D01*
-X52546927Y-35494011D01*
-X52411278Y-35328722D01*
-X52245989Y-35193073D01*
-X52075500Y-35101945D01*
-X52075500Y-32587258D01*
-X52334500Y-32587258D01*
-X52334500Y-32692742D01*
-X52355079Y-32796200D01*
-X52395446Y-32893654D01*
-X52454050Y-32981361D01*
-X52528639Y-33055950D01*
-X52616346Y-33114554D01*
-X52713800Y-33154921D01*
-X52817258Y-33175500D01*
-X52922742Y-33175500D01*
-X53026200Y-33154921D01*
-X53123654Y-33114554D01*
-X53163361Y-33088023D01*
-X53163361Y-33800000D01*
-X53167908Y-33846166D01*
-X53181374Y-33890558D01*
-X53203242Y-33931470D01*
-X53232671Y-33967329D01*
-X53268530Y-33996758D01*
-X53309442Y-34018626D01*
-X53353834Y-34032092D01*
-X53400000Y-34036639D01*
-X54400000Y-34036639D01*
-X54446166Y-34032092D01*
-X54490558Y-34018626D01*
-X54531470Y-33996758D01*
-X54567329Y-33967329D01*
-X54596758Y-33931470D01*
-X54618626Y-33890558D01*
-X54632092Y-33846166D01*
-X54636639Y-33800000D01*
-X54636639Y-32800000D01*
-X54632092Y-32753834D01*
-X54618626Y-32709442D01*
-X54596758Y-32668530D01*
-X54567329Y-32632671D01*
-X54531470Y-32603242D01*
-X54490558Y-32581374D01*
-X54446166Y-32567908D01*
-X54400000Y-32563361D01*
-X53607423Y-32563361D01*
-X53472944Y-32428882D01*
-X53463106Y-32416894D01*
-X53415293Y-32377656D01*
-X53360744Y-32348498D01*
-X53308721Y-32332718D01*
-X53285950Y-32298639D01*
-X53211361Y-32224050D01*
-X53123654Y-32165446D01*
-X53026200Y-32125079D01*
-X52922742Y-32104500D01*
-X52817258Y-32104500D01*
-X52713800Y-32125079D01*
-X52616346Y-32165446D01*
-X52528639Y-32224050D01*
-X52454050Y-32298639D01*
-X52395446Y-32386346D01*
-X52355079Y-32483800D01*
-X52334500Y-32587258D01*
-X52075500Y-32587258D01*
-X52075500Y-31598350D01*
-X52077606Y-31576969D01*
-X52075500Y-31555588D01*
-X52075500Y-31555576D01*
-X52069199Y-31491597D01*
-X52044296Y-31409505D01*
-X52035530Y-31393105D01*
-X52035530Y-31384258D01*
-X52014951Y-31280800D01*
-X51974584Y-31183346D01*
-X51915980Y-31095639D01*
-X51841391Y-31021050D01*
-X51753684Y-30962446D01*
-X51656230Y-30922079D01*
-X51552772Y-30901500D01*
-X51447288Y-30901500D01*
-X51343830Y-30922079D01*
-X51246376Y-30962446D01*
-X51158669Y-31021050D01*
-X51084080Y-31095639D01*
-X51025476Y-31183346D01*
-X50985109Y-31280800D01*
-X50964530Y-31384258D01*
-X50964530Y-31489742D01*
-X50985109Y-31593200D01*
-X51025476Y-31690654D01*
-X51084080Y-31778361D01*
-X51158669Y-31852950D01*
-X51204501Y-31883574D01*
-X51204500Y-35101945D01*
-X51034011Y-35193073D01*
-X50868722Y-35328722D01*
-X50733073Y-35494011D01*
-X50632277Y-35682587D01*
-X50570206Y-35887205D01*
-X50549248Y-36100000D01*
-X50570206Y-36312795D01*
-X50632277Y-36517413D01*
-X50733073Y-36705989D01*
-X50868722Y-36871278D01*
-X51034011Y-37006927D01*
-X51222587Y-37107723D01*
-X51327340Y-37139500D01*
-X49412660Y-37139500D01*
-X49517413Y-37107723D01*
-X49705989Y-37006927D01*
-X49871278Y-36871278D01*
-X50006927Y-36705989D01*
-X50107723Y-36517413D01*
-X50169794Y-36312795D01*
-X50190752Y-36100000D01*
-X50169794Y-35887205D01*
-X50107723Y-35682587D01*
-X50006927Y-35494011D01*
-X49871278Y-35328722D01*
-X49705989Y-35193073D01*
-X49517413Y-35092277D01*
-X49312795Y-35030206D01*
-X49153326Y-35014500D01*
-X49046674Y-35014500D01*
-X48887205Y-35030206D01*
-X48682587Y-35092277D01*
-X48494011Y-35193073D01*
-X48328722Y-35328722D01*
-X48193073Y-35494011D01*
-X48092277Y-35682587D01*
-X48030206Y-35887205D01*
-X48009248Y-36100000D01*
-X48030206Y-36312795D01*
-X48092277Y-36517413D01*
-X48193073Y-36705989D01*
-X48328722Y-36871278D01*
-X48494011Y-37006927D01*
-X48682587Y-37107723D01*
-X48787340Y-37139500D01*
-X46872660Y-37139500D01*
-X46977413Y-37107723D01*
-X47165989Y-37006927D01*
-X47331278Y-36871278D01*
-X47466927Y-36705989D01*
-X47567723Y-36517413D01*
-X47629794Y-36312795D01*
-X47650752Y-36100000D01*
-X47629794Y-35887205D01*
-X47567723Y-35682587D01*
-X47466927Y-35494011D01*
-X47331278Y-35328722D01*
-X47165989Y-35193073D01*
-X46977413Y-35092277D01*
-X46772795Y-35030206D01*
-X46613326Y-35014500D01*
-X46506674Y-35014500D01*
-X46347205Y-35030206D01*
-X46142587Y-35092277D01*
-X45954011Y-35193073D01*
-X45788722Y-35328722D01*
-X45653073Y-35494011D01*
-X45552277Y-35682587D01*
-X45490206Y-35887205D01*
-X45469248Y-36100000D01*
-X45490206Y-36312795D01*
-X45552277Y-36517413D01*
-X45653073Y-36705989D01*
-X45788722Y-36871278D01*
-X45954011Y-37006927D01*
-X46142587Y-37107723D01*
-X46247340Y-37139500D01*
-X44332660Y-37139500D01*
-X44437413Y-37107723D01*
-X44625989Y-37006927D01*
-X44791278Y-36871278D01*
-X44926927Y-36705989D01*
-X45027723Y-36517413D01*
-X45089794Y-36312795D01*
-X45110752Y-36100000D01*
-X45089794Y-35887205D01*
-X45027723Y-35682587D01*
-X44926927Y-35494011D01*
-X44791278Y-35328722D01*
-X44625989Y-35193073D01*
-X44437413Y-35092277D01*
-X44232795Y-35030206D01*
-X44073326Y-35014500D01*
-X43966674Y-35014500D01*
-X43807205Y-35030206D01*
-X43602587Y-35092277D01*
-X43414011Y-35193073D01*
-X43248722Y-35328722D01*
-X43113073Y-35494011D01*
-X43012277Y-35682587D01*
-X42950206Y-35887205D01*
-X42929248Y-36100000D01*
-X42950206Y-36312795D01*
-X43012277Y-36517413D01*
-X43113073Y-36705989D01*
-X43248722Y-36871278D01*
-X43414011Y-37006927D01*
-X43602587Y-37107723D01*
-X43707340Y-37139500D01*
-X41792660Y-37139500D01*
-X41897413Y-37107723D01*
-X42085989Y-37006927D01*
-X42251278Y-36871278D01*
-X42386927Y-36705989D01*
-X42487723Y-36517413D01*
-X42549794Y-36312795D01*
-X42570752Y-36100000D01*
-X42549794Y-35887205D01*
-X42487723Y-35682587D01*
-X42386927Y-35494011D01*
-X42251278Y-35328722D01*
-X42085989Y-35193073D01*
-X41897413Y-35092277D01*
-X41692795Y-35030206D01*
-X41533326Y-35014500D01*
-X41426674Y-35014500D01*
-X41267205Y-35030206D01*
-X41062587Y-35092277D01*
-X40874011Y-35193073D01*
-X40708722Y-35328722D01*
-X40573073Y-35494011D01*
-X40472277Y-35682587D01*
-X40410206Y-35887205D01*
-X40389248Y-36100000D01*
-X40410206Y-36312795D01*
-X40472277Y-36517413D01*
-X40573073Y-36705989D01*
-X40708722Y-36871278D01*
-X40874011Y-37006927D01*
-X41062587Y-37107723D01*
-X41167340Y-37139500D01*
-X39252660Y-37139500D01*
-X39357413Y-37107723D01*
-X39545989Y-37006927D01*
-X39711278Y-36871278D01*
-X39846927Y-36705989D01*
-X39947723Y-36517413D01*
-X40009794Y-36312795D01*
-X40030752Y-36100000D01*
-X40009794Y-35887205D01*
-X39947723Y-35682587D01*
-X39846927Y-35494011D01*
-X39711278Y-35328722D01*
-X39545989Y-35193073D01*
-X39357413Y-35092277D01*
-X39254000Y-35060907D01*
-X39254000Y-34534140D01*
-X39775135Y-34013006D01*
-X39787117Y-34003173D01*
-X39826355Y-33955360D01*
-X39832531Y-33943806D01*
-X39855513Y-33900812D01*
-X39873467Y-33841622D01*
-X39877567Y-33800000D01*
-X39878011Y-33795489D01*
-X39878011Y-33795488D01*
-X39879530Y-33780067D01*
-X39878011Y-33764646D01*
-X39878011Y-29373377D01*
-X40773645Y-28477744D01*
-X40805920Y-28484164D01*
-X40911404Y-28484164D01*
-X41014862Y-28463585D01*
-X41112316Y-28423218D01*
-X41200023Y-28364614D01*
-X41274612Y-28290025D01*
-X41333216Y-28202318D01*
-X41373583Y-28104864D01*
-X41394162Y-28001406D01*
-X41394162Y-27895922D01*
-X41373583Y-27792464D01*
-X41333216Y-27695010D01*
-X41274612Y-27607303D01*
-X41200023Y-27532714D01*
-X41143090Y-27494673D01*
-X41173231Y-27474533D01*
-X41247820Y-27399944D01*
-X41306424Y-27312237D01*
-X41346791Y-27214783D01*
-X41367370Y-27111325D01*
-X41367370Y-27005841D01*
-X41346791Y-26902383D01*
-X41306424Y-26804929D01*
-X41247820Y-26717222D01*
-X41173231Y-26642633D01*
-X41085524Y-26584029D01*
-X40988070Y-26543662D01*
-X40884612Y-26523083D01*
-X40779128Y-26523083D01*
-X40675670Y-26543662D01*
-X40578216Y-26584029D01*
-X40490509Y-26642633D01*
-X40415920Y-26717222D01*
-X40357316Y-26804929D01*
-X40316949Y-26902383D01*
-X40296370Y-27005841D01*
-X40296370Y-27111325D01*
-X40316949Y-27214783D01*
-X40338683Y-27267254D01*
-X39038877Y-28567061D01*
-X39026895Y-28576894D01*
-X39012743Y-28594139D01*
-X38987656Y-28624708D01*
-X38958499Y-28679256D01*
-X38940544Y-28738446D01*
-X38934481Y-28800000D01*
-X38936001Y-28815432D01*
-X38936000Y-33519937D01*
-X37829938Y-34626000D01*
-X32495421Y-34626000D01*
-X32480000Y-34624481D01*
-X32464578Y-34626000D01*
-X32418445Y-34630544D01*
-X32359256Y-34648498D01*
-X32304707Y-34677656D01*
-X32256894Y-34716894D01*
-X32247063Y-34728874D01*
-X31832719Y-35143219D01*
-X31737413Y-35092277D01*
-X31532795Y-35030206D01*
-X31373326Y-35014500D01*
-X31266674Y-35014500D01*
-X31107205Y-35030206D01*
-X30902587Y-35092277D01*
-X30714011Y-35193073D01*
-X30548722Y-35328722D01*
-X30413073Y-35494011D01*
-X30312277Y-35682587D01*
-X30250206Y-35887205D01*
-X30229248Y-36100000D01*
-X30250206Y-36312795D01*
-X30312277Y-36517413D01*
-X30413073Y-36705989D01*
-X30548722Y-36871278D01*
-X30714011Y-37006927D01*
-X30902587Y-37107723D01*
-X31007340Y-37139500D01*
-X29092660Y-37139500D01*
-X29197413Y-37107723D01*
-X29385989Y-37006927D01*
-X29551278Y-36871278D01*
-X29686927Y-36705989D01*
-X29787723Y-36517413D01*
-X29849794Y-36312795D01*
-X29870752Y-36100000D01*
-X29849794Y-35887205D01*
-X29787723Y-35682587D01*
-X29686927Y-35494011D01*
-X29551278Y-35328722D01*
-X29385989Y-35193073D01*
-X29197413Y-35092277D01*
-X28992795Y-35030206D01*
-X28833326Y-35014500D01*
-X28726674Y-35014500D01*
-X28567205Y-35030206D01*
-X28362587Y-35092277D01*
-X28174011Y-35193073D01*
-X28008722Y-35328722D01*
-X27873073Y-35494011D01*
-X27772277Y-35682587D01*
-X27710206Y-35887205D01*
-X27689248Y-36100000D01*
-X27710206Y-36312795D01*
-X27772277Y-36517413D01*
-X27873073Y-36705989D01*
-X28008722Y-36871278D01*
-X28174011Y-37006927D01*
-X28362587Y-37107723D01*
-X28467340Y-37139500D01*
-X26552660Y-37139500D01*
-X26657413Y-37107723D01*
-X26845989Y-37006927D01*
-X27011278Y-36871278D01*
-X27146927Y-36705989D01*
-X27247723Y-36517413D01*
-X27309794Y-36312795D01*
-X27330752Y-36100000D01*
-X27309794Y-35887205D01*
-X27247723Y-35682587D01*
-X27146927Y-35494011D01*
-X27011278Y-35328722D01*
-X26845989Y-35193073D01*
-X26657413Y-35092277D01*
-X26452795Y-35030206D01*
-X26293326Y-35014500D01*
-X26186674Y-35014500D01*
-X26027205Y-35030206D01*
-X25822587Y-35092277D01*
-X25634011Y-35193073D01*
-X25468722Y-35328722D01*
-X25333073Y-35494011D01*
-X25232277Y-35682587D01*
-X25170206Y-35887205D01*
-X25149248Y-36100000D01*
-X25170206Y-36312795D01*
-X25232277Y-36517413D01*
-X25333073Y-36705989D01*
-X25468722Y-36871278D01*
-X25634011Y-37006927D01*
-X25822587Y-37107723D01*
-X25927340Y-37139500D01*
-X24690314Y-37139500D01*
-X24717329Y-37117329D01*
-X24746758Y-37081470D01*
-X24768626Y-37040558D01*
-X24782092Y-36996166D01*
-X24786639Y-36950000D01*
-X24786639Y-35250000D01*
-X24782092Y-35203834D01*
-X24768626Y-35159442D01*
-X24746758Y-35118530D01*
-X24717329Y-35082671D01*
-X24681470Y-35053242D01*
-X24640558Y-35031374D01*
-X24596166Y-35017908D01*
-X24550000Y-35013361D01*
-X24223702Y-35013361D01*
-X24233063Y-35004000D01*
-X30884579Y-35004000D01*
-X30900000Y-35005519D01*
-X30915421Y-35004000D01*
-X30915422Y-35004000D01*
-X30961555Y-34999456D01*
-X31020744Y-34981502D01*
-X31075293Y-34952344D01*
-X31123106Y-34913106D01*
-X31132944Y-34901118D01*
-X31443322Y-34590741D01*
-X31467258Y-34595502D01*
-X31572742Y-34595502D01*
-X31676200Y-34574923D01*
-X31773654Y-34534556D01*
-X31861361Y-34475952D01*
-X31935950Y-34401363D01*
-X31994554Y-34313656D01*
-X32034921Y-34216202D01*
-X32055500Y-34112744D01*
-X32055500Y-34007260D01*
-X32034921Y-33903802D01*
-X31994554Y-33806348D01*
-X31935950Y-33718641D01*
-X31861361Y-33644052D01*
-X31773654Y-33585448D01*
-X31676200Y-33545081D01*
-X31572742Y-33524502D01*
-X31467258Y-33524502D01*
-X31363800Y-33545081D01*
-X31266346Y-33585448D01*
-X31178639Y-33644052D01*
-X31104050Y-33718641D01*
-X31045446Y-33806348D01*
-X31005079Y-33903802D01*
-X30984500Y-34007260D01*
-X30984500Y-34112744D01*
-X30992579Y-34153359D01*
-X30769938Y-34376000D01*
-X24118421Y-34376000D01*
-X24102999Y-34374481D01*
-X24087578Y-34376000D01*
-X24041445Y-34380544D01*
-X23982256Y-34398498D01*
-X23927707Y-34427656D01*
-X23879894Y-34466894D01*
-X23870063Y-34478874D01*
-X23488877Y-34860061D01*
-X23476895Y-34869894D01*
-X23446003Y-34907537D01*
-X23437656Y-34917708D01*
-X23408499Y-34972256D01*
-X23396030Y-35013361D01*
-X22850000Y-35013361D01*
-X22803834Y-35017908D01*
-X22759442Y-35031374D01*
-X22718530Y-35053242D01*
-X22682671Y-35082671D01*
-X22653242Y-35118530D01*
-X22631374Y-35159442D01*
-X22617908Y-35203834D01*
-X22613361Y-35250000D01*
-X22613361Y-36950000D01*
-X22617908Y-36996166D01*
-X22631374Y-37040558D01*
-X22653242Y-37081470D01*
-X22682671Y-37117329D01*
-X22709686Y-37139500D01*
-X20312740Y-37139500D01*
-X20292568Y-37137522D01*
-X20285425Y-37135366D01*
-X20278837Y-37131862D01*
-X20273046Y-37127140D01*
-X20268289Y-37121389D01*
-X20264737Y-37114821D01*
-X20262530Y-37107690D01*
-X20260500Y-37088376D01*
-X20260500Y-36190000D01*
-X20290152Y-36190000D01*
-X20295529Y-36244596D01*
-X20311454Y-36297093D01*
-X20337315Y-36345475D01*
-X20372118Y-36387882D01*
-X20414525Y-36422685D01*
-X20462907Y-36448546D01*
-X20515404Y-36464471D01*
-X20570000Y-36469848D01*
-X21128875Y-36468500D01*
-X21198500Y-36398875D01*
-X21198500Y-35561500D01*
-X21641500Y-35561500D01*
-X21641500Y-36398875D01*
-X21711125Y-36468500D01*
-X22270000Y-36469848D01*
-X22324596Y-36464471D01*
-X22377093Y-36448546D01*
-X22425475Y-36422685D01*
-X22467882Y-36387882D01*
-X22502685Y-36345475D01*
-X22528546Y-36297093D01*
-X22544471Y-36244596D01*
-X22549848Y-36190000D01*
-X22548500Y-35631125D01*
-X22478875Y-35561500D01*
-X21641500Y-35561500D01*
-X21198500Y-35561500D01*
-X20361125Y-35561500D01*
-X20291500Y-35631125D01*
-X20290152Y-36190000D01*
-X20260500Y-36190000D01*
-X20260500Y-34490000D01*
-X20290152Y-34490000D01*
-X20291500Y-35048875D01*
-X20361125Y-35118500D01*
-X21198500Y-35118500D01*
-X21198500Y-34281125D01*
-X21641500Y-34281125D01*
-X21641500Y-35118500D01*
-X22478875Y-35118500D01*
-X22548500Y-35048875D01*
-X22549848Y-34490000D01*
-X22544471Y-34435404D01*
-X22528546Y-34382907D01*
-X22502685Y-34334525D01*
-X22467882Y-34292118D01*
-X22425475Y-34257315D01*
-X22377093Y-34231454D01*
-X22324596Y-34215529D01*
-X22270000Y-34210152D01*
-X21711125Y-34211500D01*
-X21641500Y-34281125D01*
-X21198500Y-34281125D01*
-X21128875Y-34211500D01*
-X20570000Y-34210152D01*
-X20515404Y-34215529D01*
-X20462907Y-34231454D01*
-X20414525Y-34257315D01*
-X20372118Y-34292118D01*
-X20337315Y-34334525D01*
-X20311454Y-34382907D01*
-X20295529Y-34435404D01*
-X20290152Y-34490000D01*
-X20260500Y-34490000D01*
-X20260500Y-33111502D01*
-X20301617Y-33111502D01*
-X20272514Y-33225524D01*
-X20290809Y-33291856D01*
-X20382354Y-33417613D01*
-X20496674Y-33523094D01*
-X20629375Y-33604246D01*
-X20775359Y-33657950D01*
-X20929015Y-33682142D01*
-X21053499Y-33609958D01*
-X21053499Y-33111500D01*
-X21496499Y-33111500D01*
-X21496499Y-33609958D01*
-X21620983Y-33682142D01*
-X21774639Y-33657950D01*
-X21920623Y-33604246D01*
-X22053324Y-33523094D01*
-X22167644Y-33417613D01*
-X22259189Y-33291856D01*
-X22277484Y-33225524D01*
-X24202514Y-33225524D01*
-X24288316Y-33414240D01*
-X24402440Y-33524476D01*
-X24535877Y-33610329D01*
-X24683499Y-33668500D01*
-X25233499Y-33668500D01*
-X25233499Y-33111500D01*
-X25676499Y-33111500D01*
-X25676499Y-33668500D01*
-X26226499Y-33668500D01*
-X26374121Y-33610329D01*
-X26507558Y-33524476D01*
-X26621682Y-33414240D01*
-X26707484Y-33225524D01*
-X26678380Y-33111500D01*
-X25676499Y-33111500D01*
-X25233499Y-33111500D01*
-X24231618Y-33111500D01*
-X24202514Y-33225524D01*
-X22277484Y-33225524D01*
-X22248380Y-33111500D01*
-X21496499Y-33111500D01*
-X21053499Y-33111500D01*
-X21033499Y-33111500D01*
-X21033499Y-32668500D01*
-X21053499Y-32668500D01*
-X21053499Y-32170042D01*
-X21496499Y-32170042D01*
-X21496499Y-32668500D01*
-X22248380Y-32668500D01*
-X22277484Y-32554476D01*
-X24202514Y-32554476D01*
-X24231618Y-32668500D01*
-X25233499Y-32668500D01*
-X25233499Y-32111500D01*
-X25676499Y-32111500D01*
-X25676499Y-32668500D01*
-X26678380Y-32668500D01*
-X26707484Y-32554476D01*
-X26621682Y-32365760D01*
-X26507558Y-32255524D01*
-X26374121Y-32169671D01*
-X26226499Y-32111500D01*
-X25676499Y-32111500D01*
-X25233499Y-32111500D01*
-X24683499Y-32111500D01*
-X24535877Y-32169671D01*
-X24402440Y-32255524D01*
-X24288316Y-32365760D01*
-X24202514Y-32554476D01*
-X22277484Y-32554476D01*
-X22259189Y-32488144D01*
-X22167644Y-32362387D01*
-X22053324Y-32256906D01*
-X21920623Y-32175754D01*
-X21774639Y-32122050D01*
-X21620983Y-32097858D01*
-X21496499Y-32170042D01*
-X21053499Y-32170042D01*
-X20929015Y-32097858D01*
-X20775359Y-32122050D01*
-X20629375Y-32175754D01*
-X20496674Y-32256906D01*
-X20382354Y-32362387D01*
-X20290809Y-32488144D01*
-X20272514Y-32554476D01*
-X20301617Y-32668498D01*
-X20260500Y-32668498D01*
-X20260500Y-24471502D01*
-X20301617Y-24471502D01*
-X20272514Y-24585524D01*
-X20290809Y-24651856D01*
-X20382354Y-24777613D01*
-X20496674Y-24883094D01*
-X20629375Y-24964246D01*
-X20775359Y-25017950D01*
-X20929015Y-25042142D01*
-X21053499Y-24969958D01*
-X21053499Y-24471500D01*
-X21496499Y-24471500D01*
-X21496499Y-24969958D01*
-X21620983Y-25042142D01*
-X21774639Y-25017950D01*
-X21920623Y-24964246D01*
-X22053324Y-24883094D01*
-X22167644Y-24777613D01*
-X22259189Y-24651856D01*
-X22277484Y-24585524D01*
-X22248380Y-24471500D01*
-X21496499Y-24471500D01*
-X21053499Y-24471500D01*
-X21033499Y-24471500D01*
-X21033499Y-24028500D01*
-X21053499Y-24028500D01*
-X21053499Y-23530042D01*
-X21496499Y-23530042D01*
-X21496499Y-24028500D01*
-X22248380Y-24028500D01*
-X22277484Y-23914476D01*
-X22259189Y-23848144D01*
-X22167644Y-23722387D01*
-X22053324Y-23616906D01*
-X21920623Y-23535754D01*
-X21774639Y-23482050D01*
-X21620983Y-23457858D01*
-X21496499Y-23530042D01*
-X21053499Y-23530042D01*
-X20929015Y-23457858D01*
-X20775359Y-23482050D01*
-X20629375Y-23535754D01*
-X20496674Y-23616906D01*
-X20382354Y-23722387D01*
-X20290809Y-23848144D01*
-X20272514Y-23914476D01*
-X20301617Y-24028498D01*
-X20260500Y-24028498D01*
-X20260500Y-20930000D01*
-X20333361Y-20930000D01*
-X20333361Y-22630000D01*
-X20337908Y-22676166D01*
-X20351374Y-22720558D01*
-X20373242Y-22761470D01*
-X20402671Y-22797329D01*
-X20438530Y-22826758D01*
-X20479442Y-22848626D01*
-X20523834Y-22862092D01*
-X20570000Y-22866639D01*
-X21607907Y-22866639D01*
-X23014500Y-24273233D01*
-X23014501Y-25968787D01*
-X23011427Y-26000000D01*
-X23023696Y-26124579D01*
-X23060035Y-26244371D01*
-X23117914Y-26352656D01*
-X23119046Y-26354773D01*
-X23198461Y-26451540D01*
-X23222708Y-26471439D01*
-X23664500Y-26913232D01*
-X23664500Y-31800000D01*
-X23669025Y-31845944D01*
-X23682426Y-31890122D01*
-X23704189Y-31930837D01*
-X23733476Y-31966524D01*
-X23769163Y-31995811D01*
-X23809878Y-32017574D01*
-X23854056Y-32030975D01*
-X23900000Y-32035500D01*
-X28100000Y-32035500D01*
-X28145944Y-32030975D01*
-X28190122Y-32017574D01*
-X28230837Y-31995811D01*
-X28266524Y-31966524D01*
-X28295811Y-31930837D01*
-X28317574Y-31890122D01*
-X28330975Y-31845944D01*
-X28335500Y-31800000D01*
-X28335500Y-29338461D01*
-X28369885Y-29380360D01*
-X28381867Y-29390193D01*
-X29672796Y-30681123D01*
-X29682630Y-30693106D01*
-X29730443Y-30732344D01*
-X29784992Y-30761502D01*
-X29844181Y-30779456D01*
-X29890314Y-30784000D01*
-X29890316Y-30784000D01*
-X29896152Y-30784575D01*
-X29914050Y-30811361D01*
-X29988639Y-30885950D01*
-X30076346Y-30944554D01*
-X30173800Y-30984921D01*
-X30277258Y-31005500D01*
-X30382742Y-31005500D01*
-X30486200Y-30984921D01*
-X30583654Y-30944554D01*
-X30671361Y-30885950D01*
-X30745950Y-30811361D01*
-X30804554Y-30723654D01*
-X30844921Y-30626200D01*
-X30865500Y-30522742D01*
-X30865500Y-30417258D01*
-X30844921Y-30313800D01*
-X30804554Y-30216346D01*
-X30745950Y-30128639D01*
-X30671361Y-30054050D01*
-X30583654Y-29995446D01*
-X30486200Y-29955079D01*
-X30382742Y-29934500D01*
-X30277258Y-29934500D01*
-X30173800Y-29955079D01*
-X30076346Y-29995446D01*
-X29988639Y-30054050D01*
-X29961244Y-30081445D01*
-X29815299Y-29935500D01*
-X29827742Y-29935500D01*
-X29931200Y-29914921D01*
-X30028654Y-29874554D01*
-X30116361Y-29815950D01*
-X30190950Y-29741361D01*
-X30194866Y-29735500D01*
-X30511032Y-29735500D01*
-X34551109Y-33775577D01*
-X34561618Y-33788382D01*
-X34612704Y-33830308D01*
-X34670989Y-33861461D01*
-X34709378Y-33873106D01*
-X34734230Y-33880645D01*
-X34740529Y-33881265D01*
-X34783522Y-33885500D01*
-X34783529Y-33885500D01*
-X34799999Y-33887122D01*
-X34816469Y-33885500D01*
-X35581032Y-33885500D01*
-X35611323Y-33915791D01*
-X35638613Y-33981675D01*
-X35697217Y-34069382D01*
-X35771806Y-34143971D01*
-X35859513Y-34202575D01*
-X35956967Y-34242942D01*
-X36060425Y-34263521D01*
-X36165909Y-34263521D01*
-X36269367Y-34242942D01*
-X36366821Y-34202575D01*
-X36454528Y-34143971D01*
-X36529117Y-34069382D01*
-X36587721Y-33981675D01*
-X36628088Y-33884221D01*
-X36648667Y-33780763D01*
-X36648667Y-33703094D01*
-X36665846Y-33706511D01*
-X36771330Y-33706511D01*
-X36874788Y-33685932D01*
-X36972242Y-33645565D01*
-X37059949Y-33586961D01*
-X37134538Y-33512372D01*
-X37193142Y-33424665D01*
-X37233509Y-33327211D01*
-X37254088Y-33223753D01*
-X37254088Y-33118269D01*
-X37233509Y-33014811D01*
-X37193142Y-32917357D01*
-X37134538Y-32829650D01*
-X37059949Y-32755061D01*
-X36972242Y-32696457D01*
-X36874788Y-32656090D01*
-X36771330Y-32635511D01*
-X36665846Y-32635511D01*
-X36562388Y-32656090D01*
-X36464934Y-32696457D01*
-X36377227Y-32755061D01*
-X36302638Y-32829650D01*
-X36298722Y-32835511D01*
-X35064868Y-32835511D01*
-X33023125Y-30793768D01*
-X33093654Y-30764554D01*
-X33181361Y-30705950D01*
-X33255950Y-30631361D01*
-X33314554Y-30543654D01*
-X33354921Y-30446200D01*
-X33375500Y-30342742D01*
-X33375500Y-30237258D01*
-X33354921Y-30133800D01*
-X33314554Y-30036346D01*
-X33255950Y-29948639D01*
-X33181361Y-29874050D01*
-X33093654Y-29815446D01*
-X32996200Y-29775079D01*
-X32892742Y-29754500D01*
-X32787258Y-29754500D01*
-X32780344Y-29755875D01*
-X32685500Y-29661032D01*
-X32685500Y-28223826D01*
-X36702796Y-32241123D01*
-X36712630Y-32253106D01*
-X36760443Y-32292344D01*
-X36814992Y-32321502D01*
-X36874181Y-32339456D01*
-X36920314Y-32344000D01*
-X36920316Y-32344000D01*
-X36926152Y-32344575D01*
-X36944050Y-32371361D01*
-X37018639Y-32445950D01*
-X37106346Y-32504554D01*
-X37203800Y-32544921D01*
-X37307258Y-32565500D01*
-X37412742Y-32565500D01*
-X37516200Y-32544921D01*
-X37613654Y-32504554D01*
-X37701361Y-32445950D01*
-X37706679Y-32440632D01*
-X37773175Y-32507128D01*
-X37860882Y-32565732D01*
-X37958336Y-32606099D01*
-X38061794Y-32626678D01*
-X38167278Y-32626678D01*
-X38270736Y-32606099D01*
-X38368190Y-32565732D01*
-X38455897Y-32507128D01*
-X38530486Y-32432539D01*
-X38589090Y-32344832D01*
-X38629457Y-32247378D01*
-X38650036Y-32143920D01*
-X38650036Y-32038436D01*
-X38629457Y-31934978D01*
-X38589090Y-31837524D01*
-X38530486Y-31749817D01*
-X38455897Y-31675228D01*
-X38429111Y-31657330D01*
-X38423992Y-31605359D01*
-X38406038Y-31546170D01*
-X38376880Y-31491621D01*
-X38364410Y-31476426D01*
-X38337642Y-31443808D01*
-X38325660Y-31433975D01*
-X38055064Y-31163380D01*
-X38045226Y-31151392D01*
-X37997413Y-31112154D01*
-X37942864Y-31082996D01*
-X37883675Y-31065042D01*
-X37837542Y-31060498D01*
-X37837541Y-31060498D01*
-X37822120Y-31058979D01*
-X37806699Y-31060498D01*
-X37060297Y-31060498D01*
-X37013725Y-31013926D01*
-X37083941Y-30984841D01*
-X37171648Y-30926237D01*
-X37246237Y-30851648D01*
-X37304841Y-30763941D01*
-X37345208Y-30666487D01*
-X37365787Y-30563029D01*
-X37365787Y-30457545D01*
-X37345208Y-30354087D01*
-X37304841Y-30256633D01*
-X37246237Y-30168926D01*
-X37171648Y-30094337D01*
-X37083941Y-30035733D01*
-X36986487Y-29995366D01*
-X36883029Y-29974787D01*
-X36777545Y-29974787D01*
-X36745270Y-29981207D01*
-X36328007Y-29563945D01*
-X36328007Y-29336654D01*
-X36355368Y-29318372D01*
-X36429957Y-29243783D01*
-X36488561Y-29156076D01*
-X36528928Y-29058622D01*
-X36549507Y-28955164D01*
-X36549507Y-28849680D01*
-X36528928Y-28746222D01*
-X36488561Y-28648768D01*
-X36429957Y-28561061D01*
-X36355368Y-28486472D01*
-X36267661Y-28427868D01*
-X36170207Y-28387501D01*
-X36066749Y-28366922D01*
-X35961265Y-28366922D01*
-X35857807Y-28387501D01*
-X35760353Y-28427868D01*
-X35672646Y-28486472D01*
-X35598057Y-28561061D01*
-X35568030Y-28606000D01*
-X35210063Y-28606000D01*
-X34014000Y-27409938D01*
-X34014000Y-26820062D01*
-X34098562Y-26735500D01*
-X34122742Y-26735500D01*
-X34226200Y-26714921D01*
-X34323654Y-26674554D01*
-X34411361Y-26615950D01*
-X34485950Y-26541361D01*
-X34544554Y-26453654D01*
-X34584921Y-26356200D01*
-X34605500Y-26252742D01*
-X34605500Y-26147258D01*
-X34584921Y-26043800D01*
-X34544554Y-25946346D01*
-X34485950Y-25858639D01*
-X34411361Y-25784050D01*
-X34323654Y-25725446D01*
-X34226200Y-25685079D01*
-X34122742Y-25664500D01*
-X34017258Y-25664500D01*
-X33913800Y-25685079D01*
-X33816346Y-25725446D01*
-X33728639Y-25784050D01*
-X33654050Y-25858639D01*
-X33626066Y-25900520D01*
-X33610864Y-25885318D01*
-X33523157Y-25826714D01*
-X33425703Y-25786347D01*
-X33322245Y-25765768D01*
-X33216761Y-25765768D01*
-X33113303Y-25786347D01*
-X33015849Y-25826714D01*
-X32928142Y-25885318D01*
-X32853553Y-25959907D01*
-X32794949Y-26047614D01*
-X32754582Y-26145068D01*
-X32734003Y-26248526D01*
-X32734003Y-26264500D01*
-X32647258Y-26264500D01*
-X32543800Y-26285079D01*
-X32446346Y-26325446D01*
-X32358639Y-26384050D01*
-X32284050Y-26458639D01*
-X32225446Y-26546346D01*
-X32185079Y-26643800D01*
-X32164500Y-26747258D01*
-X32164500Y-26830032D01*
-X32125500Y-26791032D01*
-X32125500Y-25535499D01*
-X37025878Y-25535499D01*
-X36975281Y-25611222D01*
-X36934914Y-25708676D01*
-X36914335Y-25812134D01*
-X36914335Y-25917618D01*
-X36920755Y-25949893D01*
-X35881892Y-26988757D01*
-X35553004Y-26988757D01*
-X35534722Y-26961396D01*
-X35460133Y-26886807D01*
-X35372426Y-26828203D01*
-X35274972Y-26787836D01*
-X35171514Y-26767257D01*
-X35066030Y-26767257D01*
-X34962572Y-26787836D01*
-X34865118Y-26828203D01*
-X34777411Y-26886807D01*
-X34702822Y-26961396D01*
-X34644218Y-27049103D01*
-X34603851Y-27146557D01*
-X34583272Y-27250015D01*
-X34583272Y-27355499D01*
-X34603851Y-27458957D01*
-X34644218Y-27556411D01*
-X34702822Y-27644118D01*
-X34777411Y-27718707D01*
-X34865118Y-27777311D01*
-X34962572Y-27817678D01*
-X35066030Y-27838257D01*
-X35171514Y-27838257D01*
-X35274972Y-27817678D01*
-X35372426Y-27777311D01*
-X35460133Y-27718707D01*
-X35534722Y-27644118D01*
-X35553004Y-27616757D01*
-X35996533Y-27616757D01*
-X36011954Y-27618276D01*
-X36027375Y-27616757D01*
-X36027376Y-27616757D01*
-X36073509Y-27612213D01*
-X36132698Y-27594259D01*
-X36187247Y-27565101D01*
-X36235060Y-27525863D01*
-X36244898Y-27513875D01*
-X37364818Y-26393956D01*
-X37397093Y-26400376D01*
-X37502577Y-26400376D01*
-X37606035Y-26379797D01*
-X37703489Y-26339430D01*
-X37791196Y-26280826D01*
-X37865785Y-26206237D01*
-X37924389Y-26118530D01*
-X37964756Y-26021076D01*
-X37985335Y-25917618D01*
-X37985335Y-25812134D01*
-X37964756Y-25708676D01*
-X37924389Y-25611222D01*
-X37873792Y-25535499D01*
-X47723696Y-25535499D01*
-X47750001Y-25538090D01*
-X47776306Y-25535499D01*
-X47776308Y-25535499D01*
-X47854977Y-25527751D01*
-X47955920Y-25497130D01*
-X48048948Y-25447405D01*
-X48130489Y-25380487D01*
-X48147265Y-25360045D01*
-X48341358Y-25165952D01*
-X48341361Y-25165950D01*
-X48415952Y-25091359D01*
-X48947257Y-24560055D01*
-X48947262Y-24560049D01*
-X48965950Y-24541361D01*
-X48980635Y-24519384D01*
-X48997405Y-24498949D01*
-X49009866Y-24475635D01*
-X49024554Y-24453654D01*
-X49034670Y-24429231D01*
-X49047131Y-24405919D01*
-X49054803Y-24380628D01*
-X49064921Y-24356200D01*
-X49070081Y-24330261D01*
-X49077750Y-24304977D01*
-X49080340Y-24278684D01*
-X49085500Y-24252742D01*
-X49085500Y-24226296D01*
-X49088090Y-24200001D01*
-X49085500Y-24173706D01*
-X49085500Y-24147258D01*
-X49080340Y-24121315D01*
-X49077750Y-24095024D01*
-X49070081Y-24069743D01*
-X49064921Y-24043800D01*
-X49054801Y-24019368D01*
-X49047131Y-23994083D01*
-X49034674Y-23970778D01*
-X49024554Y-23946346D01*
-X49009861Y-23924357D01*
-X48997405Y-23901053D01*
-X48980642Y-23880627D01*
-X48965950Y-23858639D01*
-X48947251Y-23839940D01*
-X48930487Y-23819513D01*
-X48910060Y-23802749D01*
-X48891361Y-23784050D01*
-X48869373Y-23769358D01*
-X48848947Y-23752595D01*
-X48825643Y-23740139D01*
-X48803654Y-23725446D01*
-X48779222Y-23715326D01*
-X48755917Y-23702869D01*
-X48730632Y-23695199D01*
-X48706200Y-23685079D01*
-X48680257Y-23679919D01*
-X48654976Y-23672250D01*
-X48628685Y-23669660D01*
-X48602742Y-23664500D01*
-X48576294Y-23664500D01*
-X48549999Y-23661910D01*
-X48523704Y-23664500D01*
-X48497258Y-23664500D01*
-X48471316Y-23669660D01*
-X48445023Y-23672250D01*
-X48419739Y-23679919D01*
-X48393800Y-23685079D01*
-X48369372Y-23695197D01*
-X48344081Y-23702869D01*
-X48320769Y-23715330D01*
-X48296346Y-23725446D01*
-X48274365Y-23740134D01*
-X48251051Y-23752595D01*
-X48230616Y-23769365D01*
-X48208639Y-23784050D01*
-X48189951Y-23802738D01*
-X48189945Y-23802743D01*
-X47658641Y-24334048D01*
-X47584050Y-24408639D01*
-X47584048Y-24408642D01*
-X47528191Y-24464499D01*
-X27566304Y-24464499D01*
-X27539999Y-24461908D01*
-X27513694Y-24464499D01*
-X27513693Y-24464499D01*
-X27435024Y-24472247D01*
-X27355581Y-24496346D01*
-X27334081Y-24502868D01*
-X27241052Y-24552593D01*
-X27190841Y-24593800D01*
-X27159512Y-24619511D01*
-X27142744Y-24639943D01*
-X26618188Y-25164500D01*
-X25145129Y-25164500D01*
-X25088491Y-25141040D01*
-X24980203Y-25119500D01*
-X24869795Y-25119500D01*
-X24761507Y-25141040D01*
-X24704869Y-25164500D01*
-X24285500Y-25164500D01*
-X24285500Y-24768046D01*
-X24288316Y-24774240D01*
-X24402440Y-24884476D01*
-X24535877Y-24970329D01*
-X24683499Y-25028500D01*
-X25233499Y-25028500D01*
-X25233499Y-24471500D01*
-X25676499Y-24471500D01*
-X25676499Y-25028500D01*
-X26226499Y-25028500D01*
-X26374121Y-24970329D01*
-X26507558Y-24884476D01*
-X26621682Y-24774240D01*
-X26707484Y-24585524D01*
-X26678380Y-24471500D01*
-X25676499Y-24471500D01*
-X25233499Y-24471500D01*
-X25213499Y-24471500D01*
-X25213499Y-24028500D01*
-X25233499Y-24028500D01*
-X25233499Y-23471500D01*
-X25676499Y-23471500D01*
-X25676499Y-24028500D01*
-X26678380Y-24028500D01*
-X26707484Y-23914476D01*
-X26621682Y-23725760D01*
-X26507558Y-23615524D01*
-X26374121Y-23529671D01*
-X26226499Y-23471500D01*
-X25676499Y-23471500D01*
-X25233499Y-23471500D01*
-X24683499Y-23471500D01*
-X24535877Y-23529671D01*
-X24402440Y-23615524D01*
-X24288316Y-23725760D01*
-X24252061Y-23805501D01*
-X24239965Y-23765628D01*
-X24180955Y-23655227D01*
-X24101540Y-23558460D01*
-X24077299Y-23538566D01*
-X22506639Y-21967907D01*
-X22506639Y-20930000D01*
-X22502092Y-20883834D01*
-X22488626Y-20839442D01*
-X22466758Y-20798530D01*
-X22437329Y-20762671D01*
-X22401470Y-20733242D01*
-X22360558Y-20711374D01*
-X22316166Y-20697908D01*
-X22270000Y-20693361D01*
-X20570000Y-20693361D01*
-X20523834Y-20697908D01*
-X20479442Y-20711374D01*
-X20438530Y-20733242D01*
-X20402671Y-20762671D01*
-X20373242Y-20798530D01*
-X20351374Y-20839442D01*
-X20337908Y-20883834D01*
-X20333361Y-20930000D01*
-X20260500Y-20930000D01*
-X20260500Y-20112740D01*
-X20262478Y-20092568D01*
-X20264634Y-20085427D01*
-X20268139Y-20078835D01*
-X20272861Y-20073045D01*
-X20278608Y-20068290D01*
-X20285179Y-20064738D01*
-X20292311Y-20062530D01*
-X20311624Y-20060500D01*
-X22709686Y-20060500D01*
-X22682671Y-20082671D01*
-X22682671Y-20082671D01*
+X187096121Y-62141003D01*
+X187142614Y-62194659D01*
+X187154000Y-62247001D01*
+X187153999Y-63393441D01*
+X187158294Y-63408069D01*
+X187170271Y-63410132D01*
+X187261435Y-63402157D01*
+X187273966Y-63399759D01*
+X187427724Y-63353727D01*
+X187441118Y-63347949D01*
+X187466489Y-63333301D01*
+X187535484Y-63316563D01*
+X187602576Y-63339783D01*
+X187646463Y-63395590D01*
+X187655489Y-63442420D01*
+X187655489Y-63992777D01*
+X187635487Y-64060898D01*
+X187581831Y-64107391D01*
+X187501039Y-64115523D01*
+X187395465Y-64091053D01*
+X187395463Y-64091053D01*
+X187386287Y-64088926D01*
+X187376891Y-64089591D01*
+X187376888Y-64089591D01*
+X187258511Y-64097972D01*
+X187258509Y-64097973D01*
+X187249109Y-64098638D01*
+X187120850Y-64148258D01*
+X187012852Y-64233397D01*
+X187007495Y-64241148D01*
+X187001051Y-64248010D01*
+X186999351Y-64246413D01*
+X186954397Y-64282803D01*
+X186905923Y-64292500D01*
+X186662421Y-64292500D01*
+X186637839Y-64290079D01*
+X186637045Y-64289921D01*
+X186624874Y-64287500D01*
+X186524419Y-64307482D01*
+X186490499Y-64330147D01*
+X186490499Y-64330146D01*
+X186490496Y-64330149D01*
+X186449578Y-64357488D01*
+X186449576Y-64357490D01*
+X186439258Y-64364384D01*
+X186432362Y-64374705D01*
+X186431909Y-64375382D01*
+X186416246Y-64394468D01*
+X185629595Y-65181119D01*
+X185567285Y-65215143D01*
+X185496470Y-65210079D01*
+X185439634Y-65167532D01*
+X185414502Y-65092023D01*
+X185414502Y-63770185D01*
+X185416923Y-63745603D01*
+X185417081Y-63744809D01*
+X185419502Y-63732638D01*
+X185399520Y-63632183D01*
+X185392627Y-63621868D01*
+X185392625Y-63621862D01*
+X185381503Y-63605218D01*
+X185360287Y-63537466D01*
+X185379069Y-63468999D01*
+X185431886Y-63421555D01*
+X185475285Y-63409694D01*
+X185561435Y-63402157D01*
+X185573967Y-63399758D01*
+X185727724Y-63353727D01*
+X185741118Y-63347949D01*
+X185879308Y-63268165D01*
+X185891009Y-63259454D01*
+X185963303Y-63191248D01*
+X186026579Y-63159050D01*
+X186097217Y-63166172D01*
+X186141418Y-63196430D01*
+X186175045Y-63232072D01*
+X186186224Y-63241452D01*
+X186319542Y-63329137D01*
+X186332573Y-63335681D01*
+X186482530Y-63390261D01*
+X186496707Y-63393621D01*
+X186628004Y-63408968D01*
+X186642454Y-63406498D01*
+X186646000Y-63393804D01*
+X186646001Y-62647115D01*
+X186641526Y-62631876D01*
+X186640136Y-62630671D01*
+X186632453Y-62629000D01*
+X186223003Y-62628999D01*
+X186222997Y-62629000D01*
+X185072000Y-62628999D01*
+X185003879Y-62608997D01*
+X184957386Y-62555341D01*
+X184946000Y-62502999D01*
+X184946001Y-62247000D01*
+X184966003Y-62178879D01*
+X185019659Y-62132386D01*
+X185072001Y-62121000D01*
+X185876997Y-62121001D01*
+X185877003Y-62121000D01*
+X186645998Y-62121001D01*
+X186646004Y-62121000D01*
+X187028000Y-62121001D01*
G37*
-X22682671Y-20082671D02*
-X22653242Y-20118530D01*
-X22631374Y-20159442D01*
-X22617908Y-20203834D01*
-X22613361Y-20250000D01*
-X22613361Y-21950000D01*
-X22617908Y-21996166D01*
-X22631374Y-22040558D01*
-X22653242Y-22081470D01*
-X22682671Y-22117329D01*
-X22718530Y-22146758D01*
-X22759442Y-22168626D01*
-X22803834Y-22182092D01*
-X22850000Y-22186639D01*
-X24550000Y-22186639D01*
-X24596166Y-22182092D01*
-X24640558Y-22168626D01*
-X24681470Y-22146758D01*
-X24717329Y-22117329D01*
-X24746758Y-22081470D01*
-X24768626Y-22040558D01*
-X24782092Y-21996166D01*
-X24786639Y-21950000D01*
-X24786639Y-20250000D01*
-X24782092Y-20203834D01*
-X24768626Y-20159442D01*
-X24746758Y-20118530D01*
-X24717329Y-20082671D01*
-X24690314Y-20060500D01*
-X25927340Y-20060500D01*
-X25822587Y-20092277D01*
-X25634011Y-20193073D01*
-X25468722Y-20328722D01*
-X25333073Y-20494011D01*
-X25232277Y-20682587D01*
-X25170206Y-20887205D01*
-X25149248Y-21100000D01*
-X25170206Y-21312795D01*
-X25232277Y-21517413D01*
-X25333073Y-21705989D01*
-X25468722Y-21871278D01*
-X25634011Y-22006927D01*
-X25822587Y-22107723D01*
-X26027205Y-22169794D01*
-X26186674Y-22185500D01*
-X26293326Y-22185500D01*
-X26452795Y-22169794D01*
-X26657413Y-22107723D01*
-X26845989Y-22006927D01*
-X27011278Y-21871278D01*
-X27146927Y-21705989D01*
-X27247723Y-21517413D01*
-X27309794Y-21312795D01*
-X27330752Y-21100000D01*
-X27309794Y-20887205D01*
-X27247723Y-20682587D01*
-X27146927Y-20494011D01*
-X27011278Y-20328722D01*
-X26845989Y-20193073D01*
-X26657413Y-20092277D01*
-X26552660Y-20060500D01*
-X28467340Y-20060500D01*
-X28362587Y-20092277D01*
-X28174011Y-20193073D01*
-X28008722Y-20328722D01*
-X27873073Y-20494011D01*
-X27772277Y-20682587D01*
-X27710206Y-20887205D01*
-X27689248Y-21100000D01*
-X27710206Y-21312795D01*
-X27772277Y-21517413D01*
-X27873073Y-21705989D01*
-X28008722Y-21871278D01*
-X28174011Y-22006927D01*
-X28362587Y-22107723D01*
-X28567205Y-22169794D01*
-X28726674Y-22185500D01*
-X28833326Y-22185500D01*
-X28992795Y-22169794D01*
-X29197413Y-22107723D01*
-X29292718Y-22056781D01*
-X29697060Y-22461123D01*
-X29706894Y-22473106D01*
-X29754707Y-22512344D01*
-X29809256Y-22541502D01*
-X29868445Y-22559456D01*
-X29930000Y-22565519D01*
-X29945421Y-22564000D01*
-X32184579Y-22564000D01*
-X32200000Y-22565519D01*
-X32215421Y-22564000D01*
-X32215422Y-22564000D01*
-X32261555Y-22559456D01*
-X32320744Y-22541502D01*
-X32375293Y-22512344D01*
-X32423106Y-22473106D01*
-X32432944Y-22461118D01*
-X32534982Y-22359080D01*
-X32567258Y-22365500D01*
-X32672742Y-22365500D01*
-X32776200Y-22344921D01*
-X32873654Y-22304554D01*
-X32961361Y-22245950D01*
-X33035950Y-22171361D01*
-X33094554Y-22083654D01*
-X33134921Y-21986200D01*
-X33148089Y-21919999D01*
-X33254011Y-22006927D01*
-X33442587Y-22107723D01*
-X33647205Y-22169794D01*
-X33806674Y-22185500D01*
-X33913326Y-22185500D01*
-X34072795Y-22169794D01*
-X34277413Y-22107723D01*
-X34465989Y-22006927D01*
-X34631278Y-21871278D01*
-X34766927Y-21705989D01*
-X34867723Y-21517413D01*
-X34929794Y-21312795D01*
-X34950752Y-21100000D01*
-X34929794Y-20887205D01*
-X34867723Y-20682587D01*
-X34766927Y-20494011D01*
-X34631278Y-20328722D01*
-X34465989Y-20193073D01*
-X34277413Y-20092277D01*
-X34172660Y-20060500D01*
-X36087340Y-20060500D01*
-X35982587Y-20092277D01*
-X35794011Y-20193073D01*
-X35628722Y-20328722D01*
-X35493073Y-20494011D01*
-X35392277Y-20682587D01*
-X35330206Y-20887205D01*
-X35309248Y-21100000D01*
-X35330206Y-21312795D01*
-X35392277Y-21517413D01*
-X35493073Y-21705989D01*
-X35628722Y-21871278D01*
-X35794011Y-22006927D01*
-X35982587Y-22107723D01*
-X36187205Y-22169794D01*
-X36346674Y-22185500D01*
-X36453326Y-22185500D01*
-X36612795Y-22169794D01*
-X36817413Y-22107723D01*
-X37005989Y-22006927D01*
-X37171278Y-21871278D01*
-X37306927Y-21705989D01*
-X37407723Y-21517413D01*
-X37469794Y-21312795D01*
-X37490752Y-21100000D01*
-X37469794Y-20887205D01*
-X37407723Y-20682587D01*
-X37306927Y-20494011D01*
-X37171278Y-20328722D01*
-X37005989Y-20193073D01*
-X36817413Y-20092277D01*
-X36712660Y-20060500D01*
-X38627340Y-20060500D01*
-X38522587Y-20092277D01*
-X38334011Y-20193073D01*
-X38168722Y-20328722D01*
-X38033073Y-20494011D01*
-X37932277Y-20682587D01*
-X37870206Y-20887205D01*
-X37849248Y-21100000D01*
-X37870206Y-21312795D01*
-X37932277Y-21517413D01*
-X38033073Y-21705989D01*
-X38168722Y-21871278D01*
-X38334011Y-22006927D01*
-X38522587Y-22107723D01*
-X38727205Y-22169794D01*
-X38886674Y-22185500D01*
-X38993326Y-22185500D01*
-X39152795Y-22169794D01*
-X39357413Y-22107723D01*
-X39545989Y-22006927D01*
-X39711278Y-21871278D01*
-X39846927Y-21705989D01*
-X39947723Y-21517413D01*
-X40009794Y-21312795D01*
-X40030752Y-21100000D01*
-X40009794Y-20887205D01*
-X39947723Y-20682587D01*
-X39846927Y-20494011D01*
-X39711278Y-20328722D01*
-X39545989Y-20193073D01*
-X39357413Y-20092277D01*
-X39252660Y-20060500D01*
-X41167340Y-20060500D01*
-X41062587Y-20092277D01*
-X40874011Y-20193073D01*
-X40708722Y-20328722D01*
-X40573073Y-20494011D01*
-X40472277Y-20682587D01*
-X40410206Y-20887205D01*
-X40389248Y-21100000D01*
-X40410206Y-21312795D01*
-X40472277Y-21517413D01*
-X40573073Y-21705989D01*
-X40708722Y-21871278D01*
-X40874011Y-22006927D01*
-X41062587Y-22107723D01*
-X41267205Y-22169794D01*
-X41426674Y-22185500D01*
-X41533326Y-22185500D01*
-X41692795Y-22169794D01*
-X41897413Y-22107723D01*
-X42085989Y-22006927D01*
-X42251278Y-21871278D01*
-X42386927Y-21705989D01*
-X42487723Y-21517413D01*
-X42549794Y-21312795D01*
-X42570752Y-21100000D01*
-X42549794Y-20887205D01*
-X42487723Y-20682587D01*
-X42386927Y-20494011D01*
-X42251278Y-20328722D01*
-X42085989Y-20193073D01*
-X41897413Y-20092277D01*
-X41792660Y-20060500D01*
-X43707340Y-20060500D01*
-X43602587Y-20092277D01*
-X43414011Y-20193073D01*
-X43248722Y-20328722D01*
-X43113073Y-20494011D01*
-X43012277Y-20682587D01*
-X42950206Y-20887205D01*
-X42929248Y-21100000D01*
-X42950206Y-21312795D01*
-X43012277Y-21517413D01*
-X43113073Y-21705989D01*
-X43248722Y-21871278D01*
-X43414011Y-22006927D01*
-X43602587Y-22107723D01*
-X43807205Y-22169794D01*
-X43966674Y-22185500D01*
-X44073326Y-22185500D01*
-X44232795Y-22169794D01*
-X44437413Y-22107723D01*
-X44625989Y-22006927D01*
-X44791278Y-21871278D01*
-X44926927Y-21705989D01*
-X45027723Y-21517413D01*
-X45089794Y-21312795D01*
-X45110752Y-21100000D01*
-X45089794Y-20887205D01*
-X45027723Y-20682587D01*
-X44926927Y-20494011D01*
-X44791278Y-20328722D01*
-X44625989Y-20193073D01*
-X44437413Y-20092277D01*
-X44332660Y-20060500D01*
-X46247340Y-20060500D01*
-X46142587Y-20092277D01*
-X45954011Y-20193073D01*
-X45788722Y-20328722D01*
-X45653073Y-20494011D01*
-X45552277Y-20682587D01*
-X45490206Y-20887205D01*
-X45469248Y-21100000D01*
-X45490206Y-21312795D01*
-X45552277Y-21517413D01*
-X45653073Y-21705989D01*
-X45788722Y-21871278D01*
-X45954011Y-22006927D01*
-X46142587Y-22107723D01*
-X46347205Y-22169794D01*
-X46506674Y-22185500D01*
-X46613326Y-22185500D01*
-X46772795Y-22169794D01*
-X46977413Y-22107723D01*
-X47165989Y-22006927D01*
-X47331278Y-21871278D01*
-X47466927Y-21705989D01*
-X47567723Y-21517413D01*
-X47629794Y-21312795D01*
-X47650752Y-21100000D01*
-X47629794Y-20887205D01*
-X47567723Y-20682587D01*
-X47466927Y-20494011D01*
-X47331278Y-20328722D01*
-X47165989Y-20193073D01*
-X46977413Y-20092277D01*
-X46872660Y-20060500D01*
-X48787340Y-20060500D01*
-X48682587Y-20092277D01*
-X48494011Y-20193073D01*
-X48328722Y-20328722D01*
-X48193073Y-20494011D01*
-X48092277Y-20682587D01*
-X48030206Y-20887205D01*
-X48009248Y-21100000D01*
-X48030206Y-21312795D01*
-X48092277Y-21517413D01*
-X48193073Y-21705989D01*
-X48328722Y-21871278D01*
-X48494011Y-22006927D01*
-X48682587Y-22107723D01*
-X48887205Y-22169794D01*
-X49046674Y-22185500D01*
-X49153326Y-22185500D01*
-X49312795Y-22169794D01*
-X49517413Y-22107723D01*
-X49705989Y-22006927D01*
-X49871278Y-21871278D01*
-X50006927Y-21705989D01*
-X50107723Y-21517413D01*
-X50115469Y-21491877D01*
-X50581714Y-21491877D01*
-X50678500Y-21690809D01*
-X50812236Y-21867036D01*
-X50977783Y-22013786D01*
-X51168778Y-22125420D01*
-X51248125Y-22158275D01*
-X51418500Y-22135449D01*
-X51418500Y-21321500D01*
-X51861500Y-21321500D01*
-X51861500Y-22135449D01*
-X52031875Y-22158275D01*
-X52111222Y-22125420D01*
-X52302217Y-22013786D01*
-X52467764Y-21867036D01*
-X52601500Y-21690809D01*
-X52698286Y-21491877D01*
-X52676935Y-21321500D01*
-X51861500Y-21321500D01*
-X51418500Y-21321500D01*
-X50603065Y-21321500D01*
-X50581714Y-21491877D01*
-X50115469Y-21491877D01*
-X50169794Y-21312795D01*
-X50190752Y-21100000D01*
-X50169794Y-20887205D01*
-X50107723Y-20682587D01*
-X50006927Y-20494011D01*
-X49871278Y-20328722D01*
-X49705989Y-20193073D01*
-X49517413Y-20092277D01*
-X49412660Y-20060500D01*
-X51202782Y-20060500D01*
-X51168778Y-20074580D01*
-X50977783Y-20186214D01*
-X50812236Y-20332964D01*
-X50678500Y-20509191D01*
-X50581714Y-20708123D01*
-X50603065Y-20878500D01*
-X51418500Y-20878500D01*
-X51418500Y-20858500D01*
-X51861500Y-20858500D01*
-X51861500Y-20878500D01*
-X52676935Y-20878500D01*
-X52698286Y-20708123D01*
-X52601500Y-20509191D01*
-X52467764Y-20332964D01*
-X52302217Y-20186214D01*
-X52111222Y-20074580D01*
-X52077218Y-20060500D01*
-X53867340Y-20060500D01*
-X53762587Y-20092277D01*
-X53574011Y-20193073D01*
-X53408722Y-20328722D01*
-X53273073Y-20494011D01*
-X53172277Y-20682587D01*
-X53110206Y-20887205D01*
-X53089248Y-21100000D01*
-X53110206Y-21312795D01*
-X53172277Y-21517413D01*
-X53273073Y-21705989D01*
-X53408722Y-21871278D01*
-X53574011Y-22006927D01*
-X53762587Y-22107723D01*
-X53967205Y-22169794D01*
-X54126674Y-22185500D01*
-X54233326Y-22185500D01*
-X54392795Y-22169794D01*
-X54597413Y-22107723D01*
-X54785989Y-22006927D01*
-X54951278Y-21871278D01*
-X55086927Y-21705989D01*
-X55187723Y-21517413D01*
-X55249794Y-21312795D01*
-X55270752Y-21100000D01*
-X55249794Y-20887205D01*
-X55187723Y-20682587D01*
-X55086927Y-20494011D01*
-X54951278Y-20328722D01*
-X54785989Y-20193073D01*
-X54597413Y-20092277D01*
-X54492660Y-20060500D01*
-X56407340Y-20060500D01*
-X56302587Y-20092277D01*
-X56114011Y-20193073D01*
-X55948722Y-20328722D01*
-X55813073Y-20494011D01*
-X55712277Y-20682587D01*
-X55650206Y-20887205D01*
-X55629248Y-21100000D01*
-X55650206Y-21312795D01*
-X55712277Y-21517413D01*
-X55813073Y-21705989D01*
-X55948722Y-21871278D01*
-X56114011Y-22006927D01*
-X56302587Y-22107723D01*
-X56507205Y-22169794D01*
-X56666674Y-22185500D01*
-X56773326Y-22185500D01*
-X56932795Y-22169794D01*
-X57086000Y-22123319D01*
-X57086001Y-24319936D01*
-X55459938Y-25946000D01*
-X51211286Y-25946000D01*
-X51211361Y-25945950D01*
-X51285950Y-25871361D01*
-X51344554Y-25783654D01*
-X51384921Y-25686200D01*
-X51405500Y-25582742D01*
-X51405500Y-25477258D01*
-X51384921Y-25373800D01*
-X51344554Y-25276346D01*
-X51285950Y-25188639D01*
-X51211361Y-25114050D01*
-X51184000Y-25095768D01*
-X51184000Y-24790062D01*
-X52894983Y-23079080D01*
-X52927258Y-23085500D01*
-X53032742Y-23085500D01*
-X53136200Y-23064921D01*
-X53233654Y-23024554D01*
-X53321361Y-22965950D01*
-X53395950Y-22891361D01*
-X53454554Y-22803654D01*
-X53494921Y-22706200D01*
-X53515500Y-22602742D01*
-X53515500Y-22497258D01*
-X53494921Y-22393800D01*
-X53454554Y-22296346D01*
-X53395950Y-22208639D01*
-X53321361Y-22134050D01*
-X53233654Y-22075446D01*
-X53136200Y-22035079D01*
-X53032742Y-22014500D01*
-X52927258Y-22014500D01*
-X52823800Y-22035079D01*
-X52726346Y-22075446D01*
-X52638639Y-22134050D01*
-X52564050Y-22208639D01*
-X52505446Y-22296346D01*
-X52465079Y-22393800D01*
-X52444500Y-22497258D01*
-X52444500Y-22602742D01*
-X52450920Y-22635017D01*
-X50658882Y-24427056D01*
-X50646894Y-24436894D01*
-X50607656Y-24484708D01*
-X50578498Y-24539257D01*
-X50564464Y-24585524D01*
-X50560544Y-24598446D01*
-X50554481Y-24660000D01*
-X50556000Y-24675422D01*
-X50556001Y-25095768D01*
-X50528639Y-25114050D01*
-X50454050Y-25188639D01*
-X50395446Y-25276346D01*
-X50355079Y-25373800D01*
-X50334500Y-25477258D01*
-X50334500Y-25582742D01*
-X50355079Y-25686200D01*
-X50395446Y-25783654D01*
-X50454050Y-25871361D01*
-X50528639Y-25945950D01*
-X50528714Y-25946000D01*
-X45605418Y-25946000D01*
-X45589999Y-25944481D01*
-X45574580Y-25946000D01*
-X45574578Y-25946000D01*
-X45528445Y-25950544D01*
-X45469256Y-25968498D01*
-X45414707Y-25997656D01*
-X45366894Y-26036894D01*
-X45357063Y-26048874D01*
-X42005439Y-29400499D01*
-X40808380Y-29400499D01*
-X40792959Y-29398980D01*
-X40731404Y-29405043D01*
-X40672214Y-29422997D01*
-X40631749Y-29444627D01*
-X40617666Y-29452155D01*
-X40569853Y-29491393D01*
-X40560022Y-29503373D01*
-X40303381Y-29760015D01*
-X40291393Y-29769853D01*
-X40252155Y-29817667D01*
-X40222997Y-29872216D01*
-X40205769Y-29929011D01*
-X40205043Y-29931405D01*
-X40198980Y-29992959D01*
-X40200499Y-30008381D01*
-X40200500Y-30662126D01*
-X40198980Y-30677557D01*
-X40205043Y-30739111D01*
-X40222998Y-30798301D01*
-X40250396Y-30849557D01*
-X40252156Y-30852850D01*
-X40291394Y-30900663D01*
-X40303377Y-30910497D01*
-X40344160Y-30951280D01*
-X40337740Y-30983556D01*
-X40337740Y-31089040D01*
-X40358319Y-31192498D01*
-X40398686Y-31289952D01*
-X40457290Y-31377659D01*
-X40531879Y-31452248D01*
-X40619586Y-31510852D01*
-X40717040Y-31551219D01*
-X40820498Y-31571798D01*
-X40925982Y-31571798D01*
-X41029440Y-31551219D01*
-X41126894Y-31510852D01*
-X41214601Y-31452248D01*
-X41289190Y-31377659D01*
-X41347794Y-31289952D01*
-X41355565Y-31271191D01*
-X41432175Y-31302924D01*
-X41535633Y-31323503D01*
-X41641117Y-31323503D01*
-X41744575Y-31302924D01*
-X41842029Y-31262557D01*
-X41929736Y-31203953D01*
-X42004325Y-31129364D01*
-X42029186Y-31092157D01*
-X42070897Y-31079505D01*
-X42125446Y-31050347D01*
-X42173259Y-31011109D01*
-X42183097Y-30999121D01*
-X42882790Y-30299428D01*
-X42882791Y-31361206D01*
-X42882790Y-31361216D01*
-X42882790Y-31366669D01*
-X42855429Y-31384951D01*
-X42780840Y-31459540D01*
-X42722236Y-31547247D01*
-X42681869Y-31644701D01*
-X42661290Y-31748159D01*
-X42661290Y-31853643D01*
-X42681869Y-31957101D01*
-X42722236Y-32054555D01*
-X42780840Y-32142262D01*
-X42855429Y-32216851D01*
-X42943136Y-32275455D01*
-X43040590Y-32315822D01*
-X43144048Y-32336401D01*
-X43249532Y-32336401D01*
-X43352990Y-32315822D01*
-X43450444Y-32275455D01*
-X43538151Y-32216851D01*
-X43573843Y-32181159D01*
-X43573843Y-32629420D01*
-X42797540Y-33405724D01*
-X42797535Y-33405728D01*
-X42793680Y-33409583D01*
-X42761405Y-33403163D01*
-X42655921Y-33403163D01*
-X42552463Y-33423742D01*
-X42455009Y-33464109D01*
-X42367302Y-33522713D01*
-X42292713Y-33597302D01*
-X42234109Y-33685009D01*
-X42193742Y-33782463D01*
-X42173163Y-33885921D01*
-X42173163Y-33991405D01*
-X42193742Y-34094863D01*
-X42234109Y-34192317D01*
-X42292713Y-34280024D01*
-X42367302Y-34354613D01*
-X42455009Y-34413217D01*
-X42552463Y-34453584D01*
-X42655921Y-34474163D01*
-X42703043Y-34474163D01*
-X42703043Y-34532048D01*
-X42723622Y-34635506D01*
-X42763989Y-34732960D01*
-X42822593Y-34820667D01*
-X42897182Y-34895256D01*
-X42984889Y-34953860D01*
-X43082343Y-34994227D01*
-X43185801Y-35014806D01*
-X43291285Y-35014806D01*
-X43394743Y-34994227D01*
-X43492197Y-34953860D01*
-X43579904Y-34895256D01*
-X43654493Y-34820667D01*
-X43713097Y-34732960D01*
-X43753464Y-34635506D01*
-X43774043Y-34532048D01*
-X43774043Y-34426564D01*
-X43767623Y-34394289D01*
-X43771478Y-34390434D01*
-X43771482Y-34390429D01*
-X44922251Y-33239661D01*
-X44934234Y-33229827D01*
-X44973472Y-33182014D01*
-X45002630Y-33127465D01*
-X45020584Y-33068276D01*
-X45025128Y-33022143D01*
-X45026647Y-33006722D01*
-X45025128Y-32991300D01*
-X45025128Y-31081217D01*
-X45052489Y-31062935D01*
-X45127078Y-30988346D01*
-X45185682Y-30900639D01*
-X45226049Y-30803185D01*
-X45238840Y-30738879D01*
-X45277258Y-30746521D01*
-X45382742Y-30746521D01*
-X45486200Y-30725942D01*
-X45583654Y-30685575D01*
-X45671361Y-30626971D01*
-X45745950Y-30552382D01*
-X45804554Y-30464675D01*
-X45844921Y-30367221D01*
-X45865500Y-30263763D01*
-X45865500Y-30158279D01*
-X45844921Y-30054821D01*
-X45804554Y-29957367D01*
-X45745950Y-29869660D01*
-X45671361Y-29795071D01*
-X45644000Y-29776789D01*
-X45644000Y-29477258D01*
-X51779500Y-29477258D01*
-X51779500Y-29582742D01*
-X51800079Y-29686200D01*
-X51840446Y-29783654D01*
-X51899050Y-29871361D01*
-X51973639Y-29945950D01*
-X52061346Y-30004554D01*
-X52158800Y-30044921D01*
-X52227746Y-30058635D01*
-X54932539Y-32763429D01*
-X54935079Y-32776200D01*
-X54975446Y-32873654D01*
-X55034050Y-32961361D01*
-X55108639Y-33035950D01*
-X55196346Y-33094554D01*
-X55293800Y-33134921D01*
-X55397258Y-33155500D01*
-X55502742Y-33155500D01*
-X55606200Y-33134921D01*
-X55703654Y-33094554D01*
-X55791361Y-33035950D01*
-X55865950Y-32961361D01*
-X55924554Y-32873654D01*
-X55929433Y-32861875D01*
-X58141725Y-32861875D01*
-X58174580Y-32941222D01*
-X58286214Y-33132217D01*
-X58432964Y-33297764D01*
-X58609191Y-33431500D01*
-X58808123Y-33528286D01*
-X58978500Y-33506935D01*
-X58978500Y-32691500D01*
-X59421500Y-32691500D01*
-X59421500Y-33506935D01*
-X59591877Y-33528286D01*
-X59790809Y-33431500D01*
-X59967036Y-33297764D01*
-X60113786Y-33132217D01*
-X60225420Y-32941222D01*
-X60258275Y-32861875D01*
-X60235449Y-32691500D01*
-X59421500Y-32691500D01*
-X58978500Y-32691500D01*
-X58164551Y-32691500D01*
-X58141725Y-32861875D01*
-X55929433Y-32861875D01*
-X55964921Y-32776200D01*
-X55985500Y-32672742D01*
-X55985500Y-32567258D01*
-X55964921Y-32463800D01*
-X55924554Y-32366346D01*
-X55865950Y-32278639D01*
-X55791361Y-32204050D01*
-X55703654Y-32145446D01*
-X55606200Y-32105079D01*
-X55502742Y-32084500D01*
-X55485390Y-32084500D01*
-X55479015Y-32078125D01*
-X58141725Y-32078125D01*
-X58164551Y-32248500D01*
-X58978500Y-32248500D01*
-X58978500Y-31433065D01*
-X59421500Y-31433065D01*
-X59421500Y-32248500D01*
-X60235449Y-32248500D01*
-X60258275Y-32078125D01*
-X60225420Y-31998778D01*
-X60113786Y-31807783D01*
-X59967036Y-31642236D01*
-X59790809Y-31508500D01*
-X59591877Y-31411714D01*
-X59421500Y-31433065D01*
-X58978500Y-31433065D01*
-X58808123Y-31411714D01*
-X58609191Y-31508500D01*
-X58432964Y-31642236D01*
-X58286214Y-31807783D01*
-X58174580Y-31998778D01*
-X58141725Y-32078125D01*
-X55479015Y-32078125D01*
-X52843635Y-29442746D01*
-X52829921Y-29373800D01*
-X52789554Y-29276346D01*
-X52730950Y-29188639D01*
-X52656361Y-29114050D01*
-X52568654Y-29055446D01*
-X52471200Y-29015079D01*
-X52367742Y-28994500D01*
-X52262258Y-28994500D01*
-X52158800Y-29015079D01*
-X52061346Y-29055446D01*
-X51973639Y-29114050D01*
-X51899050Y-29188639D01*
-X51840446Y-29276346D01*
-X51800079Y-29373800D01*
-X51779500Y-29477258D01*
-X45644000Y-29477258D01*
-X45644000Y-28741430D01*
-X45674769Y-28747550D01*
-X45780253Y-28747550D01*
-X45883711Y-28726971D01*
-X45981165Y-28686604D01*
-X46068872Y-28628000D01*
-X46143461Y-28553411D01*
-X46202065Y-28465704D01*
-X46242432Y-28368250D01*
-X46263011Y-28264792D01*
-X46263011Y-28159308D01*
-X46242432Y-28055850D01*
-X46202065Y-27958396D01*
-X46143461Y-27870689D01*
-X46068872Y-27796100D01*
-X45981165Y-27737496D01*
-X45915854Y-27710443D01*
-X46110264Y-27516033D01*
-X56341971Y-27516033D01*
-X58243219Y-29417282D01*
-X58192277Y-29512587D01*
-X58130206Y-29717205D01*
-X58109248Y-29930000D01*
-X58130206Y-30142795D01*
-X58192277Y-30347413D01*
-X58293073Y-30535989D01*
-X58428722Y-30701278D01*
-X58594011Y-30836927D01*
-X58782587Y-30937723D01*
-X58987205Y-30999794D01*
-X59146674Y-31015500D01*
-X59253326Y-31015500D01*
-X59412795Y-30999794D01*
-X59617413Y-30937723D01*
-X59805989Y-30836927D01*
-X59971278Y-30701278D01*
-X60106927Y-30535989D01*
-X60207723Y-30347413D01*
-X60269794Y-30142795D01*
-X60290752Y-29930000D01*
-X60269794Y-29717205D01*
-X60207723Y-29512587D01*
-X60106927Y-29324011D01*
-X59971278Y-29158722D01*
-X59805989Y-29023073D01*
-X59617413Y-28922277D01*
-X59412795Y-28860206D01*
-X59253326Y-28844500D01*
-X59146674Y-28844500D01*
-X58987205Y-28860206D01*
-X58782587Y-28922277D01*
-X58687282Y-28973219D01*
-X56916084Y-27202022D01*
-X57365879Y-27202022D01*
-X57764979Y-27601123D01*
-X57774813Y-27613106D01*
-X57822626Y-27652344D01*
-X57877175Y-27681502D01*
-X57921707Y-27695010D01*
-X57936364Y-27699456D01*
-X57997918Y-27705519D01*
-X58013340Y-27704000D01*
-X58160907Y-27704000D01*
-X58192277Y-27807413D01*
-X58293073Y-27995989D01*
-X58428722Y-28161278D01*
-X58594011Y-28296927D01*
-X58782587Y-28397723D01*
-X58987205Y-28459794D01*
-X59146674Y-28475500D01*
-X59253326Y-28475500D01*
-X59412795Y-28459794D01*
-X59617413Y-28397723D01*
-X59805989Y-28296927D01*
-X59971278Y-28161278D01*
-X60106927Y-27995989D01*
-X60207723Y-27807413D01*
-X60269794Y-27602795D01*
-X60290752Y-27390000D01*
-X60269794Y-27177205D01*
-X60207723Y-26972587D01*
-X60106927Y-26784011D01*
-X59971278Y-26618722D01*
-X59805989Y-26483073D01*
-X59617413Y-26382277D01*
-X59412795Y-26320206D01*
-X59253326Y-26304500D01*
-X59146674Y-26304500D01*
-X58987205Y-26320206D01*
-X58782587Y-26382277D01*
-X58594011Y-26483073D01*
-X58428722Y-26618722D01*
-X58293073Y-26784011D01*
-X58192277Y-26972587D01*
-X58160907Y-27076000D01*
-X58127982Y-27076000D01*
-X57728885Y-26676904D01*
-X57719047Y-26664916D01*
-X57671234Y-26625678D01*
-X57616685Y-26596520D01*
-X57557496Y-26578566D01*
-X57511363Y-26574022D01*
-X57511362Y-26574022D01*
-X57495941Y-26572503D01*
-X57480520Y-26574022D01*
-X56164118Y-26574022D01*
-X57925138Y-24813003D01*
-X57937115Y-24803174D01*
-X57946946Y-24791195D01*
-X57976354Y-24755361D01*
-X58005511Y-24700813D01*
-X58023465Y-24641623D01*
-X58029529Y-24580069D01*
-X58028009Y-24564640D01*
-X58028009Y-24000000D01*
-X58113361Y-24000000D01*
-X58113361Y-25700000D01*
-X58117908Y-25746166D01*
-X58131374Y-25790558D01*
-X58153242Y-25831470D01*
-X58182671Y-25867329D01*
-X58218530Y-25896758D01*
-X58259442Y-25918626D01*
-X58303834Y-25932092D01*
-X58350000Y-25936639D01*
-X60050000Y-25936639D01*
-X60096166Y-25932092D01*
-X60140558Y-25918626D01*
-X60181470Y-25896758D01*
-X60217329Y-25867329D01*
-X60246758Y-25831470D01*
-X60268626Y-25790558D01*
-X60282092Y-25746166D01*
-X60286639Y-25700000D01*
-X60286639Y-24000000D01*
-X60282092Y-23953834D01*
-X60268626Y-23909442D01*
-X60246758Y-23868530D01*
-X60217329Y-23832671D01*
-X60181470Y-23803242D01*
-X60140558Y-23781374D01*
-X60096166Y-23767908D01*
-X60050000Y-23763361D01*
-X58350000Y-23763361D01*
-X58303834Y-23767908D01*
-X58259442Y-23781374D01*
-X58218530Y-23803242D01*
-X58182671Y-23832671D01*
-X58153242Y-23868530D01*
-X58131374Y-23909442D01*
-X58117908Y-23953834D01*
-X58113361Y-24000000D01*
-X58028009Y-24000000D01*
-X58028011Y-22776051D01*
-X58747281Y-22056781D01*
-X58842587Y-22107723D01*
-X59047205Y-22169794D01*
-X59206674Y-22185500D01*
-X59313326Y-22185500D01*
-X59472795Y-22169794D01*
-X59677413Y-22107723D01*
-X59865989Y-22006927D01*
-X60031278Y-21871278D01*
-X60166927Y-21705989D01*
-X60267723Y-21517413D01*
-X60329794Y-21312795D01*
-X60350752Y-21100000D01*
-X60329794Y-20887205D01*
-X60267723Y-20682587D01*
-X60166927Y-20494011D01*
-X60031278Y-20328722D01*
-X59865989Y-20193073D01*
-X59677413Y-20092277D01*
-X59572660Y-20060500D01*
-X62887260Y-20060500D01*
-X62907432Y-20062478D01*
-X62914573Y-20064634D01*
-X62921165Y-20068139D01*
-X62926955Y-20072861D01*
-X62931710Y-20078608D01*
-X62935262Y-20085179D01*
-X62937470Y-20092311D01*
-X62939500Y-20111624D01*
-X62939501Y-37087250D01*
-X62937522Y-37107432D01*
-X62935366Y-37114575D01*
-X62931862Y-37121163D01*
-X62927140Y-37126954D01*
-X62921389Y-37131711D01*
-X62914821Y-37135263D01*
-X62907690Y-37137470D01*
-X62888376Y-37139500D01*
-X59572660Y-37139500D01*
-X59677413Y-37107723D01*
-X59865989Y-37006927D01*
-X60031278Y-36871278D01*
-X60166927Y-36705989D01*
-X60267723Y-36517413D01*
-X60329794Y-36312795D01*
-X60350752Y-36100000D01*
-X60329794Y-35887205D01*
-X60267723Y-35682587D01*
-X60166927Y-35494011D01*
-X60031278Y-35328722D01*
-X59865989Y-35193073D01*
-X59677413Y-35092277D01*
-X59472795Y-35030206D01*
-X59461318Y-35029076D01*
-X59364975Y-34999850D01*
-X59260000Y-34989511D01*
-X59155024Y-34999850D01*
-X59058680Y-35029076D01*
-X59047205Y-35030206D01*
-X58842587Y-35092277D01*
-X58654011Y-35193073D01*
-X58488722Y-35328722D01*
-X58353073Y-35494011D01*
-X58252277Y-35682587D01*
-X58190206Y-35887205D01*
-X58169248Y-36100000D01*
-X58190206Y-36312795D01*
-X58252277Y-36517413D01*
-X58353073Y-36705989D01*
-X58488722Y-36871278D01*
-X58654011Y-37006927D01*
-X58842587Y-37107723D01*
-X58947340Y-37139500D01*
-X57157218Y-37139500D01*
-X57191222Y-37125420D01*
-X57382217Y-37013786D01*
-X57547764Y-36867036D01*
-X57681500Y-36690809D01*
-X57778286Y-36491877D01*
-X57756935Y-36321500D01*
-X56941500Y-36321500D01*
-X56941500Y-36341500D01*
-X56498500Y-36341500D01*
-X56498500Y-36321500D01*
-X55683065Y-36321500D01*
-X55661714Y-36491877D01*
-X55758500Y-36690809D01*
-X55892236Y-36867036D01*
-X56057783Y-37013786D01*
-X56248778Y-37125420D01*
-X56282782Y-37139500D01*
-X54492660Y-37139500D01*
-X54597413Y-37107723D01*
-X54785989Y-37006927D01*
-X54951278Y-36871278D01*
-X55086927Y-36705989D01*
-X55187723Y-36517413D01*
-X55249794Y-36312795D01*
-X55270752Y-36100000D01*
-X55249794Y-35887205D01*
-X55195470Y-35708123D01*
-X55661714Y-35708123D01*
-X55683065Y-35878500D01*
-X56498500Y-35878500D01*
-X56498500Y-35064551D01*
-X56941500Y-35064551D01*
-X56941500Y-35878500D01*
-X57756935Y-35878500D01*
-X57778286Y-35708123D01*
-X57681500Y-35509191D01*
-X57547764Y-35332964D01*
-X57382217Y-35186214D01*
-X57191222Y-35074580D01*
-X57111875Y-35041725D01*
-X56941500Y-35064551D01*
-X56498500Y-35064551D01*
-X56328125Y-35041725D01*
-X56248778Y-35074580D01*
-X56057783Y-35186214D01*
-X55892236Y-35332964D01*
-X55758500Y-35509191D01*
-X55661714Y-35708123D01*
-X55195470Y-35708123D01*
-X55187723Y-35682587D01*
-X55086927Y-35494011D01*
-X54951278Y-35328722D01*
-X54785989Y-35193073D01*
-X54597413Y-35092277D01*
-X54392795Y-35030206D01*
-X54233326Y-35014500D01*
-X54126674Y-35014500D01*
-X53967205Y-35030206D01*
-X53762587Y-35092277D01*
-X53574011Y-35193073D01*
-X53408722Y-35328722D01*
-X53273073Y-35494011D01*
-X53172277Y-35682587D01*
-X53110206Y-35887205D01*
-X53089248Y-36100000D01*
-X53110206Y-36312795D01*
-X53172277Y-36517413D01*
-X53273073Y-36705989D01*
-X53408722Y-36871278D01*
-X53574011Y-37006927D01*
-X53762587Y-37107723D01*
-X53867340Y-37139500D01*
-X51952660Y-37139500D01*
-X52057413Y-37107723D01*
-X52245989Y-37006927D01*
-X52411278Y-36871278D01*
-X52546927Y-36705989D01*
-X52647723Y-36517413D01*
-X52709794Y-36312795D01*
-X52730752Y-36100000D01*
-X52709794Y-35887205D01*
-X52647723Y-35682587D01*
-X52546927Y-35494011D01*
-X52411278Y-35328722D01*
-X52245989Y-35193073D01*
-X52075500Y-35101945D01*
-X52075500Y-32587258D01*
-X52334500Y-32587258D01*
-X52334500Y-32692742D01*
-X52355079Y-32796200D01*
-X52395446Y-32893654D01*
-X52454050Y-32981361D01*
-X52528639Y-33055950D01*
-X52616346Y-33114554D01*
-X52713800Y-33154921D01*
-X52817258Y-33175500D01*
-X52922742Y-33175500D01*
-X53026200Y-33154921D01*
-X53123654Y-33114554D01*
-X53163361Y-33088023D01*
-X53163361Y-33800000D01*
-X53167908Y-33846166D01*
-X53181374Y-33890558D01*
-X53203242Y-33931470D01*
-X53232671Y-33967329D01*
-X53268530Y-33996758D01*
-X53309442Y-34018626D01*
-X53353834Y-34032092D01*
-X53400000Y-34036639D01*
-X54400000Y-34036639D01*
-X54446166Y-34032092D01*
-X54490558Y-34018626D01*
-X54531470Y-33996758D01*
-X54567329Y-33967329D01*
-X54596758Y-33931470D01*
-X54618626Y-33890558D01*
-X54632092Y-33846166D01*
-X54636639Y-33800000D01*
-X54636639Y-32800000D01*
-X54632092Y-32753834D01*
-X54618626Y-32709442D01*
-X54596758Y-32668530D01*
-X54567329Y-32632671D01*
-X54531470Y-32603242D01*
-X54490558Y-32581374D01*
-X54446166Y-32567908D01*
-X54400000Y-32563361D01*
-X53607423Y-32563361D01*
-X53472944Y-32428882D01*
-X53463106Y-32416894D01*
-X53415293Y-32377656D01*
-X53360744Y-32348498D01*
-X53308721Y-32332718D01*
-X53285950Y-32298639D01*
-X53211361Y-32224050D01*
-X53123654Y-32165446D01*
-X53026200Y-32125079D01*
-X52922742Y-32104500D01*
-X52817258Y-32104500D01*
-X52713800Y-32125079D01*
-X52616346Y-32165446D01*
-X52528639Y-32224050D01*
-X52454050Y-32298639D01*
-X52395446Y-32386346D01*
-X52355079Y-32483800D01*
-X52334500Y-32587258D01*
-X52075500Y-32587258D01*
-X52075500Y-31598350D01*
-X52077606Y-31576969D01*
-X52075500Y-31555588D01*
-X52075500Y-31555576D01*
-X52069199Y-31491597D01*
-X52044296Y-31409505D01*
-X52035530Y-31393105D01*
-X52035530Y-31384258D01*
-X52014951Y-31280800D01*
-X51974584Y-31183346D01*
-X51915980Y-31095639D01*
-X51841391Y-31021050D01*
-X51753684Y-30962446D01*
-X51656230Y-30922079D01*
-X51552772Y-30901500D01*
-X51447288Y-30901500D01*
-X51343830Y-30922079D01*
-X51246376Y-30962446D01*
-X51158669Y-31021050D01*
-X51084080Y-31095639D01*
-X51025476Y-31183346D01*
-X50985109Y-31280800D01*
-X50964530Y-31384258D01*
-X50964530Y-31489742D01*
-X50985109Y-31593200D01*
-X51025476Y-31690654D01*
-X51084080Y-31778361D01*
-X51158669Y-31852950D01*
-X51204501Y-31883574D01*
-X51204500Y-35101945D01*
-X51034011Y-35193073D01*
-X50868722Y-35328722D01*
-X50733073Y-35494011D01*
-X50632277Y-35682587D01*
-X50570206Y-35887205D01*
-X50549248Y-36100000D01*
-X50570206Y-36312795D01*
-X50632277Y-36517413D01*
-X50733073Y-36705989D01*
-X50868722Y-36871278D01*
-X51034011Y-37006927D01*
-X51222587Y-37107723D01*
-X51327340Y-37139500D01*
-X49412660Y-37139500D01*
-X49517413Y-37107723D01*
-X49705989Y-37006927D01*
-X49871278Y-36871278D01*
-X50006927Y-36705989D01*
-X50107723Y-36517413D01*
-X50169794Y-36312795D01*
-X50190752Y-36100000D01*
-X50169794Y-35887205D01*
-X50107723Y-35682587D01*
-X50006927Y-35494011D01*
-X49871278Y-35328722D01*
-X49705989Y-35193073D01*
-X49517413Y-35092277D01*
-X49312795Y-35030206D01*
-X49153326Y-35014500D01*
-X49046674Y-35014500D01*
-X48887205Y-35030206D01*
-X48682587Y-35092277D01*
-X48494011Y-35193073D01*
-X48328722Y-35328722D01*
-X48193073Y-35494011D01*
-X48092277Y-35682587D01*
-X48030206Y-35887205D01*
-X48009248Y-36100000D01*
-X48030206Y-36312795D01*
-X48092277Y-36517413D01*
-X48193073Y-36705989D01*
-X48328722Y-36871278D01*
-X48494011Y-37006927D01*
-X48682587Y-37107723D01*
-X48787340Y-37139500D01*
-X46872660Y-37139500D01*
-X46977413Y-37107723D01*
-X47165989Y-37006927D01*
-X47331278Y-36871278D01*
-X47466927Y-36705989D01*
-X47567723Y-36517413D01*
-X47629794Y-36312795D01*
-X47650752Y-36100000D01*
-X47629794Y-35887205D01*
-X47567723Y-35682587D01*
-X47466927Y-35494011D01*
-X47331278Y-35328722D01*
-X47165989Y-35193073D01*
-X46977413Y-35092277D01*
-X46772795Y-35030206D01*
-X46613326Y-35014500D01*
-X46506674Y-35014500D01*
-X46347205Y-35030206D01*
-X46142587Y-35092277D01*
-X45954011Y-35193073D01*
-X45788722Y-35328722D01*
-X45653073Y-35494011D01*
-X45552277Y-35682587D01*
-X45490206Y-35887205D01*
-X45469248Y-36100000D01*
-X45490206Y-36312795D01*
-X45552277Y-36517413D01*
-X45653073Y-36705989D01*
-X45788722Y-36871278D01*
-X45954011Y-37006927D01*
-X46142587Y-37107723D01*
-X46247340Y-37139500D01*
-X44332660Y-37139500D01*
-X44437413Y-37107723D01*
-X44625989Y-37006927D01*
-X44791278Y-36871278D01*
-X44926927Y-36705989D01*
-X45027723Y-36517413D01*
-X45089794Y-36312795D01*
-X45110752Y-36100000D01*
-X45089794Y-35887205D01*
-X45027723Y-35682587D01*
-X44926927Y-35494011D01*
-X44791278Y-35328722D01*
-X44625989Y-35193073D01*
-X44437413Y-35092277D01*
-X44232795Y-35030206D01*
-X44073326Y-35014500D01*
-X43966674Y-35014500D01*
-X43807205Y-35030206D01*
-X43602587Y-35092277D01*
-X43414011Y-35193073D01*
-X43248722Y-35328722D01*
-X43113073Y-35494011D01*
-X43012277Y-35682587D01*
-X42950206Y-35887205D01*
-X42929248Y-36100000D01*
-X42950206Y-36312795D01*
-X43012277Y-36517413D01*
-X43113073Y-36705989D01*
-X43248722Y-36871278D01*
-X43414011Y-37006927D01*
-X43602587Y-37107723D01*
-X43707340Y-37139500D01*
-X41792660Y-37139500D01*
-X41897413Y-37107723D01*
-X42085989Y-37006927D01*
-X42251278Y-36871278D01*
-X42386927Y-36705989D01*
-X42487723Y-36517413D01*
-X42549794Y-36312795D01*
-X42570752Y-36100000D01*
-X42549794Y-35887205D01*
-X42487723Y-35682587D01*
-X42386927Y-35494011D01*
-X42251278Y-35328722D01*
-X42085989Y-35193073D01*
-X41897413Y-35092277D01*
-X41692795Y-35030206D01*
-X41533326Y-35014500D01*
-X41426674Y-35014500D01*
-X41267205Y-35030206D01*
-X41062587Y-35092277D01*
-X40874011Y-35193073D01*
-X40708722Y-35328722D01*
-X40573073Y-35494011D01*
-X40472277Y-35682587D01*
-X40410206Y-35887205D01*
-X40389248Y-36100000D01*
-X40410206Y-36312795D01*
-X40472277Y-36517413D01*
-X40573073Y-36705989D01*
-X40708722Y-36871278D01*
-X40874011Y-37006927D01*
-X41062587Y-37107723D01*
-X41167340Y-37139500D01*
-X39252660Y-37139500D01*
-X39357413Y-37107723D01*
-X39545989Y-37006927D01*
-X39711278Y-36871278D01*
-X39846927Y-36705989D01*
-X39947723Y-36517413D01*
-X40009794Y-36312795D01*
-X40030752Y-36100000D01*
-X40009794Y-35887205D01*
-X39947723Y-35682587D01*
-X39846927Y-35494011D01*
-X39711278Y-35328722D01*
-X39545989Y-35193073D01*
-X39357413Y-35092277D01*
-X39254000Y-35060907D01*
-X39254000Y-34534140D01*
-X39775135Y-34013006D01*
-X39787117Y-34003173D01*
-X39826355Y-33955360D01*
-X39832531Y-33943806D01*
-X39855513Y-33900812D01*
-X39873467Y-33841622D01*
-X39877567Y-33800000D01*
-X39878011Y-33795489D01*
-X39878011Y-33795488D01*
-X39879530Y-33780067D01*
-X39878011Y-33764646D01*
-X39878011Y-29373377D01*
-X40773645Y-28477744D01*
-X40805920Y-28484164D01*
-X40911404Y-28484164D01*
-X41014862Y-28463585D01*
-X41112316Y-28423218D01*
-X41200023Y-28364614D01*
-X41274612Y-28290025D01*
-X41333216Y-28202318D01*
-X41373583Y-28104864D01*
-X41394162Y-28001406D01*
-X41394162Y-27895922D01*
-X41373583Y-27792464D01*
-X41333216Y-27695010D01*
-X41274612Y-27607303D01*
-X41200023Y-27532714D01*
-X41143090Y-27494673D01*
-X41173231Y-27474533D01*
-X41247820Y-27399944D01*
-X41306424Y-27312237D01*
-X41346791Y-27214783D01*
-X41367370Y-27111325D01*
-X41367370Y-27005841D01*
-X41346791Y-26902383D01*
-X41306424Y-26804929D01*
-X41247820Y-26717222D01*
-X41173231Y-26642633D01*
-X41085524Y-26584029D01*
-X40988070Y-26543662D01*
-X40884612Y-26523083D01*
-X40779128Y-26523083D01*
-X40675670Y-26543662D01*
-X40578216Y-26584029D01*
-X40490509Y-26642633D01*
-X40415920Y-26717222D01*
-X40357316Y-26804929D01*
-X40316949Y-26902383D01*
-X40296370Y-27005841D01*
-X40296370Y-27111325D01*
-X40316949Y-27214783D01*
-X40338683Y-27267254D01*
-X39038877Y-28567061D01*
-X39026895Y-28576894D01*
-X39012743Y-28594139D01*
-X38987656Y-28624708D01*
-X38958499Y-28679256D01*
-X38940544Y-28738446D01*
-X38934481Y-28800000D01*
-X38936001Y-28815432D01*
-X38936000Y-33519937D01*
-X37829938Y-34626000D01*
-X32495421Y-34626000D01*
-X32480000Y-34624481D01*
-X32464578Y-34626000D01*
-X32418445Y-34630544D01*
-X32359256Y-34648498D01*
-X32304707Y-34677656D01*
-X32256894Y-34716894D01*
-X32247063Y-34728874D01*
-X31832719Y-35143219D01*
-X31737413Y-35092277D01*
-X31532795Y-35030206D01*
-X31373326Y-35014500D01*
-X31266674Y-35014500D01*
-X31107205Y-35030206D01*
-X30902587Y-35092277D01*
-X30714011Y-35193073D01*
-X30548722Y-35328722D01*
-X30413073Y-35494011D01*
-X30312277Y-35682587D01*
-X30250206Y-35887205D01*
-X30229248Y-36100000D01*
-X30250206Y-36312795D01*
-X30312277Y-36517413D01*
-X30413073Y-36705989D01*
-X30548722Y-36871278D01*
-X30714011Y-37006927D01*
-X30902587Y-37107723D01*
-X31007340Y-37139500D01*
-X29092660Y-37139500D01*
-X29197413Y-37107723D01*
-X29385989Y-37006927D01*
-X29551278Y-36871278D01*
-X29686927Y-36705989D01*
-X29787723Y-36517413D01*
-X29849794Y-36312795D01*
-X29870752Y-36100000D01*
-X29849794Y-35887205D01*
-X29787723Y-35682587D01*
-X29686927Y-35494011D01*
-X29551278Y-35328722D01*
-X29385989Y-35193073D01*
-X29197413Y-35092277D01*
-X28992795Y-35030206D01*
-X28833326Y-35014500D01*
-X28726674Y-35014500D01*
-X28567205Y-35030206D01*
-X28362587Y-35092277D01*
-X28174011Y-35193073D01*
-X28008722Y-35328722D01*
-X27873073Y-35494011D01*
-X27772277Y-35682587D01*
-X27710206Y-35887205D01*
-X27689248Y-36100000D01*
-X27710206Y-36312795D01*
-X27772277Y-36517413D01*
-X27873073Y-36705989D01*
-X28008722Y-36871278D01*
-X28174011Y-37006927D01*
-X28362587Y-37107723D01*
-X28467340Y-37139500D01*
-X26552660Y-37139500D01*
-X26657413Y-37107723D01*
-X26845989Y-37006927D01*
-X27011278Y-36871278D01*
-X27146927Y-36705989D01*
-X27247723Y-36517413D01*
-X27309794Y-36312795D01*
-X27330752Y-36100000D01*
-X27309794Y-35887205D01*
-X27247723Y-35682587D01*
-X27146927Y-35494011D01*
-X27011278Y-35328722D01*
-X26845989Y-35193073D01*
-X26657413Y-35092277D01*
-X26452795Y-35030206D01*
-X26293326Y-35014500D01*
-X26186674Y-35014500D01*
-X26027205Y-35030206D01*
-X25822587Y-35092277D01*
-X25634011Y-35193073D01*
-X25468722Y-35328722D01*
-X25333073Y-35494011D01*
-X25232277Y-35682587D01*
-X25170206Y-35887205D01*
-X25149248Y-36100000D01*
-X25170206Y-36312795D01*
-X25232277Y-36517413D01*
-X25333073Y-36705989D01*
-X25468722Y-36871278D01*
-X25634011Y-37006927D01*
-X25822587Y-37107723D01*
-X25927340Y-37139500D01*
-X24690314Y-37139500D01*
-X24717329Y-37117329D01*
-X24746758Y-37081470D01*
-X24768626Y-37040558D01*
-X24782092Y-36996166D01*
-X24786639Y-36950000D01*
-X24786639Y-35250000D01*
-X24782092Y-35203834D01*
-X24768626Y-35159442D01*
-X24746758Y-35118530D01*
-X24717329Y-35082671D01*
-X24681470Y-35053242D01*
-X24640558Y-35031374D01*
-X24596166Y-35017908D01*
-X24550000Y-35013361D01*
-X24223702Y-35013361D01*
-X24233063Y-35004000D01*
-X30884579Y-35004000D01*
-X30900000Y-35005519D01*
-X30915421Y-35004000D01*
-X30915422Y-35004000D01*
-X30961555Y-34999456D01*
-X31020744Y-34981502D01*
-X31075293Y-34952344D01*
-X31123106Y-34913106D01*
-X31132944Y-34901118D01*
-X31443322Y-34590741D01*
-X31467258Y-34595502D01*
-X31572742Y-34595502D01*
-X31676200Y-34574923D01*
-X31773654Y-34534556D01*
-X31861361Y-34475952D01*
-X31935950Y-34401363D01*
-X31994554Y-34313656D01*
-X32034921Y-34216202D01*
-X32055500Y-34112744D01*
-X32055500Y-34007260D01*
-X32034921Y-33903802D01*
-X31994554Y-33806348D01*
-X31935950Y-33718641D01*
-X31861361Y-33644052D01*
-X31773654Y-33585448D01*
-X31676200Y-33545081D01*
-X31572742Y-33524502D01*
-X31467258Y-33524502D01*
-X31363800Y-33545081D01*
-X31266346Y-33585448D01*
-X31178639Y-33644052D01*
-X31104050Y-33718641D01*
-X31045446Y-33806348D01*
-X31005079Y-33903802D01*
-X30984500Y-34007260D01*
-X30984500Y-34112744D01*
-X30992579Y-34153359D01*
-X30769938Y-34376000D01*
-X24118421Y-34376000D01*
-X24102999Y-34374481D01*
-X24087578Y-34376000D01*
-X24041445Y-34380544D01*
-X23982256Y-34398498D01*
-X23927707Y-34427656D01*
-X23879894Y-34466894D01*
-X23870063Y-34478874D01*
-X23488877Y-34860061D01*
-X23476895Y-34869894D01*
-X23446003Y-34907537D01*
-X23437656Y-34917708D01*
-X23408499Y-34972256D01*
-X23396030Y-35013361D01*
-X22850000Y-35013361D01*
-X22803834Y-35017908D01*
-X22759442Y-35031374D01*
-X22718530Y-35053242D01*
-X22682671Y-35082671D01*
-X22653242Y-35118530D01*
-X22631374Y-35159442D01*
-X22617908Y-35203834D01*
-X22613361Y-35250000D01*
-X22613361Y-36950000D01*
-X22617908Y-36996166D01*
-X22631374Y-37040558D01*
-X22653242Y-37081470D01*
-X22682671Y-37117329D01*
-X22709686Y-37139500D01*
-X20312740Y-37139500D01*
-X20292568Y-37137522D01*
-X20285425Y-37135366D01*
-X20278837Y-37131862D01*
-X20273046Y-37127140D01*
-X20268289Y-37121389D01*
-X20264737Y-37114821D01*
-X20262530Y-37107690D01*
-X20260500Y-37088376D01*
-X20260500Y-36190000D01*
-X20290152Y-36190000D01*
-X20295529Y-36244596D01*
-X20311454Y-36297093D01*
-X20337315Y-36345475D01*
-X20372118Y-36387882D01*
-X20414525Y-36422685D01*
-X20462907Y-36448546D01*
-X20515404Y-36464471D01*
-X20570000Y-36469848D01*
-X21128875Y-36468500D01*
-X21198500Y-36398875D01*
-X21198500Y-35561500D01*
-X21641500Y-35561500D01*
-X21641500Y-36398875D01*
-X21711125Y-36468500D01*
-X22270000Y-36469848D01*
-X22324596Y-36464471D01*
-X22377093Y-36448546D01*
-X22425475Y-36422685D01*
-X22467882Y-36387882D01*
-X22502685Y-36345475D01*
-X22528546Y-36297093D01*
-X22544471Y-36244596D01*
-X22549848Y-36190000D01*
-X22548500Y-35631125D01*
-X22478875Y-35561500D01*
-X21641500Y-35561500D01*
-X21198500Y-35561500D01*
-X20361125Y-35561500D01*
-X20291500Y-35631125D01*
-X20290152Y-36190000D01*
-X20260500Y-36190000D01*
-X20260500Y-34490000D01*
-X20290152Y-34490000D01*
-X20291500Y-35048875D01*
-X20361125Y-35118500D01*
-X21198500Y-35118500D01*
-X21198500Y-34281125D01*
-X21641500Y-34281125D01*
-X21641500Y-35118500D01*
-X22478875Y-35118500D01*
-X22548500Y-35048875D01*
-X22549848Y-34490000D01*
-X22544471Y-34435404D01*
-X22528546Y-34382907D01*
-X22502685Y-34334525D01*
-X22467882Y-34292118D01*
-X22425475Y-34257315D01*
-X22377093Y-34231454D01*
-X22324596Y-34215529D01*
-X22270000Y-34210152D01*
-X21711125Y-34211500D01*
-X21641500Y-34281125D01*
-X21198500Y-34281125D01*
-X21128875Y-34211500D01*
-X20570000Y-34210152D01*
-X20515404Y-34215529D01*
-X20462907Y-34231454D01*
-X20414525Y-34257315D01*
-X20372118Y-34292118D01*
-X20337315Y-34334525D01*
-X20311454Y-34382907D01*
-X20295529Y-34435404D01*
-X20290152Y-34490000D01*
-X20260500Y-34490000D01*
-X20260500Y-33111502D01*
-X20301617Y-33111502D01*
-X20272514Y-33225524D01*
-X20290809Y-33291856D01*
-X20382354Y-33417613D01*
-X20496674Y-33523094D01*
-X20629375Y-33604246D01*
-X20775359Y-33657950D01*
-X20929015Y-33682142D01*
-X21053499Y-33609958D01*
-X21053499Y-33111500D01*
-X21496499Y-33111500D01*
-X21496499Y-33609958D01*
-X21620983Y-33682142D01*
-X21774639Y-33657950D01*
-X21920623Y-33604246D01*
-X22053324Y-33523094D01*
-X22167644Y-33417613D01*
-X22259189Y-33291856D01*
-X22277484Y-33225524D01*
-X24202514Y-33225524D01*
-X24288316Y-33414240D01*
-X24402440Y-33524476D01*
-X24535877Y-33610329D01*
-X24683499Y-33668500D01*
-X25233499Y-33668500D01*
-X25233499Y-33111500D01*
-X25676499Y-33111500D01*
-X25676499Y-33668500D01*
-X26226499Y-33668500D01*
-X26374121Y-33610329D01*
-X26507558Y-33524476D01*
-X26621682Y-33414240D01*
-X26707484Y-33225524D01*
-X26678380Y-33111500D01*
-X25676499Y-33111500D01*
-X25233499Y-33111500D01*
-X24231618Y-33111500D01*
-X24202514Y-33225524D01*
-X22277484Y-33225524D01*
-X22248380Y-33111500D01*
-X21496499Y-33111500D01*
-X21053499Y-33111500D01*
-X21033499Y-33111500D01*
-X21033499Y-32668500D01*
-X21053499Y-32668500D01*
-X21053499Y-32170042D01*
-X21496499Y-32170042D01*
-X21496499Y-32668500D01*
-X22248380Y-32668500D01*
-X22277484Y-32554476D01*
-X24202514Y-32554476D01*
-X24231618Y-32668500D01*
-X25233499Y-32668500D01*
-X25233499Y-32111500D01*
-X25676499Y-32111500D01*
-X25676499Y-32668500D01*
-X26678380Y-32668500D01*
-X26707484Y-32554476D01*
-X26621682Y-32365760D01*
-X26507558Y-32255524D01*
-X26374121Y-32169671D01*
-X26226499Y-32111500D01*
-X25676499Y-32111500D01*
-X25233499Y-32111500D01*
-X24683499Y-32111500D01*
-X24535877Y-32169671D01*
-X24402440Y-32255524D01*
-X24288316Y-32365760D01*
-X24202514Y-32554476D01*
-X22277484Y-32554476D01*
-X22259189Y-32488144D01*
-X22167644Y-32362387D01*
-X22053324Y-32256906D01*
-X21920623Y-32175754D01*
-X21774639Y-32122050D01*
-X21620983Y-32097858D01*
-X21496499Y-32170042D01*
-X21053499Y-32170042D01*
-X20929015Y-32097858D01*
-X20775359Y-32122050D01*
-X20629375Y-32175754D01*
-X20496674Y-32256906D01*
-X20382354Y-32362387D01*
-X20290809Y-32488144D01*
-X20272514Y-32554476D01*
-X20301617Y-32668498D01*
-X20260500Y-32668498D01*
-X20260500Y-24471502D01*
-X20301617Y-24471502D01*
-X20272514Y-24585524D01*
-X20290809Y-24651856D01*
-X20382354Y-24777613D01*
-X20496674Y-24883094D01*
-X20629375Y-24964246D01*
-X20775359Y-25017950D01*
-X20929015Y-25042142D01*
-X21053499Y-24969958D01*
-X21053499Y-24471500D01*
-X21496499Y-24471500D01*
-X21496499Y-24969958D01*
-X21620983Y-25042142D01*
-X21774639Y-25017950D01*
-X21920623Y-24964246D01*
-X22053324Y-24883094D01*
-X22167644Y-24777613D01*
-X22259189Y-24651856D01*
-X22277484Y-24585524D01*
-X22248380Y-24471500D01*
-X21496499Y-24471500D01*
-X21053499Y-24471500D01*
-X21033499Y-24471500D01*
-X21033499Y-24028500D01*
-X21053499Y-24028500D01*
-X21053499Y-23530042D01*
-X21496499Y-23530042D01*
-X21496499Y-24028500D01*
-X22248380Y-24028500D01*
-X22277484Y-23914476D01*
-X22259189Y-23848144D01*
-X22167644Y-23722387D01*
-X22053324Y-23616906D01*
-X21920623Y-23535754D01*
-X21774639Y-23482050D01*
-X21620983Y-23457858D01*
-X21496499Y-23530042D01*
-X21053499Y-23530042D01*
-X20929015Y-23457858D01*
-X20775359Y-23482050D01*
-X20629375Y-23535754D01*
-X20496674Y-23616906D01*
-X20382354Y-23722387D01*
-X20290809Y-23848144D01*
-X20272514Y-23914476D01*
-X20301617Y-24028498D01*
-X20260500Y-24028498D01*
-X20260500Y-20930000D01*
-X20333361Y-20930000D01*
-X20333361Y-22630000D01*
-X20337908Y-22676166D01*
-X20351374Y-22720558D01*
-X20373242Y-22761470D01*
-X20402671Y-22797329D01*
-X20438530Y-22826758D01*
-X20479442Y-22848626D01*
-X20523834Y-22862092D01*
-X20570000Y-22866639D01*
-X21607907Y-22866639D01*
-X23014500Y-24273233D01*
-X23014501Y-25968787D01*
-X23011427Y-26000000D01*
-X23023696Y-26124579D01*
-X23060035Y-26244371D01*
-X23117914Y-26352656D01*
-X23119046Y-26354773D01*
-X23198461Y-26451540D01*
-X23222708Y-26471439D01*
-X23664500Y-26913232D01*
-X23664500Y-31800000D01*
-X23669025Y-31845944D01*
-X23682426Y-31890122D01*
-X23704189Y-31930837D01*
-X23733476Y-31966524D01*
-X23769163Y-31995811D01*
-X23809878Y-32017574D01*
-X23854056Y-32030975D01*
-X23900000Y-32035500D01*
-X28100000Y-32035500D01*
-X28145944Y-32030975D01*
-X28190122Y-32017574D01*
-X28230837Y-31995811D01*
-X28266524Y-31966524D01*
-X28295811Y-31930837D01*
-X28317574Y-31890122D01*
-X28330975Y-31845944D01*
-X28335500Y-31800000D01*
-X28335500Y-29338461D01*
-X28369885Y-29380360D01*
-X28381867Y-29390193D01*
-X29672796Y-30681123D01*
-X29682630Y-30693106D01*
-X29730443Y-30732344D01*
-X29784992Y-30761502D01*
-X29844181Y-30779456D01*
-X29890314Y-30784000D01*
-X29890316Y-30784000D01*
-X29896152Y-30784575D01*
-X29914050Y-30811361D01*
-X29988639Y-30885950D01*
-X30076346Y-30944554D01*
-X30173800Y-30984921D01*
-X30277258Y-31005500D01*
-X30382742Y-31005500D01*
-X30486200Y-30984921D01*
-X30583654Y-30944554D01*
-X30671361Y-30885950D01*
-X30745950Y-30811361D01*
-X30804554Y-30723654D01*
-X30844921Y-30626200D01*
-X30865500Y-30522742D01*
-X30865500Y-30417258D01*
-X30844921Y-30313800D01*
-X30804554Y-30216346D01*
-X30745950Y-30128639D01*
-X30671361Y-30054050D01*
-X30583654Y-29995446D01*
-X30486200Y-29955079D01*
-X30382742Y-29934500D01*
-X30277258Y-29934500D01*
-X30173800Y-29955079D01*
-X30076346Y-29995446D01*
-X29988639Y-30054050D01*
-X29961244Y-30081445D01*
-X29815299Y-29935500D01*
-X29827742Y-29935500D01*
-X29931200Y-29914921D01*
-X30028654Y-29874554D01*
-X30116361Y-29815950D01*
-X30190950Y-29741361D01*
-X30194866Y-29735500D01*
-X30511032Y-29735500D01*
-X34551109Y-33775577D01*
-X34561618Y-33788382D01*
-X34612704Y-33830308D01*
-X34670989Y-33861461D01*
-X34709378Y-33873106D01*
-X34734230Y-33880645D01*
-X34740529Y-33881265D01*
-X34783522Y-33885500D01*
-X34783529Y-33885500D01*
-X34799999Y-33887122D01*
-X34816469Y-33885500D01*
-X35581032Y-33885500D01*
-X35611323Y-33915791D01*
-X35638613Y-33981675D01*
-X35697217Y-34069382D01*
-X35771806Y-34143971D01*
-X35859513Y-34202575D01*
-X35956967Y-34242942D01*
-X36060425Y-34263521D01*
-X36165909Y-34263521D01*
-X36269367Y-34242942D01*
-X36366821Y-34202575D01*
-X36454528Y-34143971D01*
-X36529117Y-34069382D01*
-X36587721Y-33981675D01*
-X36628088Y-33884221D01*
-X36648667Y-33780763D01*
-X36648667Y-33703094D01*
-X36665846Y-33706511D01*
-X36771330Y-33706511D01*
-X36874788Y-33685932D01*
-X36972242Y-33645565D01*
-X37059949Y-33586961D01*
-X37134538Y-33512372D01*
-X37193142Y-33424665D01*
-X37233509Y-33327211D01*
-X37254088Y-33223753D01*
-X37254088Y-33118269D01*
-X37233509Y-33014811D01*
-X37193142Y-32917357D01*
-X37134538Y-32829650D01*
-X37059949Y-32755061D01*
-X36972242Y-32696457D01*
-X36874788Y-32656090D01*
-X36771330Y-32635511D01*
-X36665846Y-32635511D01*
-X36562388Y-32656090D01*
-X36464934Y-32696457D01*
-X36377227Y-32755061D01*
-X36302638Y-32829650D01*
-X36298722Y-32835511D01*
-X35064868Y-32835511D01*
-X33023125Y-30793768D01*
-X33093654Y-30764554D01*
-X33181361Y-30705950D01*
-X33255950Y-30631361D01*
-X33314554Y-30543654D01*
-X33354921Y-30446200D01*
-X33375500Y-30342742D01*
-X33375500Y-30237258D01*
-X33354921Y-30133800D01*
-X33314554Y-30036346D01*
-X33255950Y-29948639D01*
-X33181361Y-29874050D01*
-X33093654Y-29815446D01*
-X32996200Y-29775079D01*
-X32892742Y-29754500D01*
-X32787258Y-29754500D01*
-X32780344Y-29755875D01*
-X32685500Y-29661032D01*
-X32685500Y-28223826D01*
-X36702796Y-32241123D01*
-X36712630Y-32253106D01*
-X36760443Y-32292344D01*
-X36814992Y-32321502D01*
-X36874181Y-32339456D01*
-X36920314Y-32344000D01*
-X36920316Y-32344000D01*
-X36926152Y-32344575D01*
-X36944050Y-32371361D01*
-X37018639Y-32445950D01*
-X37106346Y-32504554D01*
-X37203800Y-32544921D01*
-X37307258Y-32565500D01*
-X37412742Y-32565500D01*
-X37516200Y-32544921D01*
-X37613654Y-32504554D01*
-X37701361Y-32445950D01*
-X37706679Y-32440632D01*
-X37773175Y-32507128D01*
-X37860882Y-32565732D01*
-X37958336Y-32606099D01*
-X38061794Y-32626678D01*
-X38167278Y-32626678D01*
-X38270736Y-32606099D01*
-X38368190Y-32565732D01*
-X38455897Y-32507128D01*
-X38530486Y-32432539D01*
-X38589090Y-32344832D01*
-X38629457Y-32247378D01*
-X38650036Y-32143920D01*
-X38650036Y-32038436D01*
-X38629457Y-31934978D01*
-X38589090Y-31837524D01*
-X38530486Y-31749817D01*
-X38455897Y-31675228D01*
-X38429111Y-31657330D01*
-X38423992Y-31605359D01*
-X38406038Y-31546170D01*
-X38376880Y-31491621D01*
-X38364410Y-31476426D01*
-X38337642Y-31443808D01*
-X38325660Y-31433975D01*
-X38055064Y-31163380D01*
-X38045226Y-31151392D01*
-X37997413Y-31112154D01*
-X37942864Y-31082996D01*
-X37883675Y-31065042D01*
-X37837542Y-31060498D01*
-X37837541Y-31060498D01*
-X37822120Y-31058979D01*
-X37806699Y-31060498D01*
-X37060297Y-31060498D01*
-X37013725Y-31013926D01*
-X37083941Y-30984841D01*
-X37171648Y-30926237D01*
-X37246237Y-30851648D01*
-X37304841Y-30763941D01*
-X37345208Y-30666487D01*
-X37365787Y-30563029D01*
-X37365787Y-30457545D01*
-X37345208Y-30354087D01*
-X37304841Y-30256633D01*
-X37246237Y-30168926D01*
-X37171648Y-30094337D01*
-X37083941Y-30035733D01*
-X36986487Y-29995366D01*
-X36883029Y-29974787D01*
-X36777545Y-29974787D01*
-X36745270Y-29981207D01*
-X36328007Y-29563945D01*
-X36328007Y-29336654D01*
-X36355368Y-29318372D01*
-X36429957Y-29243783D01*
-X36488561Y-29156076D01*
-X36528928Y-29058622D01*
-X36549507Y-28955164D01*
-X36549507Y-28849680D01*
-X36528928Y-28746222D01*
-X36488561Y-28648768D01*
-X36429957Y-28561061D01*
-X36355368Y-28486472D01*
-X36267661Y-28427868D01*
-X36170207Y-28387501D01*
-X36066749Y-28366922D01*
-X35961265Y-28366922D01*
-X35857807Y-28387501D01*
-X35760353Y-28427868D01*
-X35672646Y-28486472D01*
-X35598057Y-28561061D01*
-X35568030Y-28606000D01*
-X35210063Y-28606000D01*
-X34014000Y-27409938D01*
-X34014000Y-26820062D01*
-X34098562Y-26735500D01*
-X34122742Y-26735500D01*
-X34226200Y-26714921D01*
-X34323654Y-26674554D01*
-X34411361Y-26615950D01*
-X34485950Y-26541361D01*
-X34544554Y-26453654D01*
-X34584921Y-26356200D01*
-X34605500Y-26252742D01*
-X34605500Y-26147258D01*
-X34584921Y-26043800D01*
-X34544554Y-25946346D01*
-X34485950Y-25858639D01*
-X34411361Y-25784050D01*
-X34323654Y-25725446D01*
-X34226200Y-25685079D01*
-X34122742Y-25664500D01*
-X34017258Y-25664500D01*
-X33913800Y-25685079D01*
-X33816346Y-25725446D01*
-X33728639Y-25784050D01*
-X33654050Y-25858639D01*
-X33626066Y-25900520D01*
-X33610864Y-25885318D01*
-X33523157Y-25826714D01*
-X33425703Y-25786347D01*
-X33322245Y-25765768D01*
-X33216761Y-25765768D01*
-X33113303Y-25786347D01*
-X33015849Y-25826714D01*
-X32928142Y-25885318D01*
-X32853553Y-25959907D01*
-X32794949Y-26047614D01*
-X32754582Y-26145068D01*
-X32734003Y-26248526D01*
-X32734003Y-26264500D01*
-X32647258Y-26264500D01*
-X32543800Y-26285079D01*
-X32446346Y-26325446D01*
-X32358639Y-26384050D01*
-X32284050Y-26458639D01*
-X32225446Y-26546346D01*
-X32185079Y-26643800D01*
-X32164500Y-26747258D01*
-X32164500Y-26830032D01*
-X32125500Y-26791032D01*
-X32125500Y-25535499D01*
-X37025878Y-25535499D01*
-X36975281Y-25611222D01*
-X36934914Y-25708676D01*
-X36914335Y-25812134D01*
-X36914335Y-25917618D01*
-X36920755Y-25949893D01*
-X35881892Y-26988757D01*
-X35553004Y-26988757D01*
-X35534722Y-26961396D01*
-X35460133Y-26886807D01*
-X35372426Y-26828203D01*
-X35274972Y-26787836D01*
-X35171514Y-26767257D01*
-X35066030Y-26767257D01*
-X34962572Y-26787836D01*
-X34865118Y-26828203D01*
-X34777411Y-26886807D01*
-X34702822Y-26961396D01*
-X34644218Y-27049103D01*
-X34603851Y-27146557D01*
-X34583272Y-27250015D01*
-X34583272Y-27355499D01*
-X34603851Y-27458957D01*
-X34644218Y-27556411D01*
-X34702822Y-27644118D01*
-X34777411Y-27718707D01*
-X34865118Y-27777311D01*
-X34962572Y-27817678D01*
-X35066030Y-27838257D01*
-X35171514Y-27838257D01*
-X35274972Y-27817678D01*
-X35372426Y-27777311D01*
-X35460133Y-27718707D01*
-X35534722Y-27644118D01*
-X35553004Y-27616757D01*
-X35996533Y-27616757D01*
-X36011954Y-27618276D01*
-X36027375Y-27616757D01*
-X36027376Y-27616757D01*
-X36073509Y-27612213D01*
-X36132698Y-27594259D01*
-X36187247Y-27565101D01*
-X36235060Y-27525863D01*
-X36244898Y-27513875D01*
-X37364818Y-26393956D01*
-X37397093Y-26400376D01*
-X37502577Y-26400376D01*
-X37606035Y-26379797D01*
-X37703489Y-26339430D01*
-X37791196Y-26280826D01*
-X37865785Y-26206237D01*
-X37924389Y-26118530D01*
-X37964756Y-26021076D01*
-X37985335Y-25917618D01*
-X37985335Y-25812134D01*
-X37964756Y-25708676D01*
-X37924389Y-25611222D01*
-X37873792Y-25535499D01*
-X47723696Y-25535499D01*
-X47750001Y-25538090D01*
-X47776306Y-25535499D01*
-X47776308Y-25535499D01*
-X47854977Y-25527751D01*
-X47955920Y-25497130D01*
-X48048948Y-25447405D01*
-X48130489Y-25380487D01*
-X48147265Y-25360045D01*
-X48341358Y-25165952D01*
-X48341361Y-25165950D01*
-X48415952Y-25091359D01*
-X48947257Y-24560055D01*
-X48947262Y-24560049D01*
-X48965950Y-24541361D01*
-X48980635Y-24519384D01*
-X48997405Y-24498949D01*
-X49009866Y-24475635D01*
-X49024554Y-24453654D01*
-X49034670Y-24429231D01*
-X49047131Y-24405919D01*
-X49054803Y-24380628D01*
-X49064921Y-24356200D01*
-X49070081Y-24330261D01*
-X49077750Y-24304977D01*
-X49080340Y-24278684D01*
-X49085500Y-24252742D01*
-X49085500Y-24226296D01*
-X49088090Y-24200001D01*
-X49085500Y-24173706D01*
-X49085500Y-24147258D01*
-X49080340Y-24121315D01*
-X49077750Y-24095024D01*
-X49070081Y-24069743D01*
-X49064921Y-24043800D01*
-X49054801Y-24019368D01*
-X49047131Y-23994083D01*
-X49034674Y-23970778D01*
-X49024554Y-23946346D01*
-X49009861Y-23924357D01*
-X48997405Y-23901053D01*
-X48980642Y-23880627D01*
-X48965950Y-23858639D01*
-X48947251Y-23839940D01*
-X48930487Y-23819513D01*
-X48910060Y-23802749D01*
-X48891361Y-23784050D01*
-X48869373Y-23769358D01*
-X48848947Y-23752595D01*
-X48825643Y-23740139D01*
-X48803654Y-23725446D01*
-X48779222Y-23715326D01*
-X48755917Y-23702869D01*
-X48730632Y-23695199D01*
-X48706200Y-23685079D01*
-X48680257Y-23679919D01*
-X48654976Y-23672250D01*
-X48628685Y-23669660D01*
-X48602742Y-23664500D01*
-X48576294Y-23664500D01*
-X48549999Y-23661910D01*
-X48523704Y-23664500D01*
-X48497258Y-23664500D01*
-X48471316Y-23669660D01*
-X48445023Y-23672250D01*
-X48419739Y-23679919D01*
-X48393800Y-23685079D01*
-X48369372Y-23695197D01*
-X48344081Y-23702869D01*
-X48320769Y-23715330D01*
-X48296346Y-23725446D01*
-X48274365Y-23740134D01*
-X48251051Y-23752595D01*
-X48230616Y-23769365D01*
-X48208639Y-23784050D01*
-X48189951Y-23802738D01*
-X48189945Y-23802743D01*
-X47658641Y-24334048D01*
-X47584050Y-24408639D01*
-X47584048Y-24408642D01*
-X47528191Y-24464499D01*
-X27566304Y-24464499D01*
-X27539999Y-24461908D01*
-X27513694Y-24464499D01*
-X27513693Y-24464499D01*
-X27435024Y-24472247D01*
-X27355581Y-24496346D01*
-X27334081Y-24502868D01*
-X27241052Y-24552593D01*
-X27190841Y-24593800D01*
-X27159512Y-24619511D01*
-X27142744Y-24639943D01*
-X26618188Y-25164500D01*
-X25145129Y-25164500D01*
-X25088491Y-25141040D01*
-X24980203Y-25119500D01*
-X24869795Y-25119500D01*
-X24761507Y-25141040D01*
-X24704869Y-25164500D01*
-X24285500Y-25164500D01*
-X24285500Y-24768046D01*
-X24288316Y-24774240D01*
-X24402440Y-24884476D01*
-X24535877Y-24970329D01*
-X24683499Y-25028500D01*
-X25233499Y-25028500D01*
-X25233499Y-24471500D01*
-X25676499Y-24471500D01*
-X25676499Y-25028500D01*
-X26226499Y-25028500D01*
-X26374121Y-24970329D01*
-X26507558Y-24884476D01*
-X26621682Y-24774240D01*
-X26707484Y-24585524D01*
-X26678380Y-24471500D01*
-X25676499Y-24471500D01*
-X25233499Y-24471500D01*
-X25213499Y-24471500D01*
-X25213499Y-24028500D01*
-X25233499Y-24028500D01*
-X25233499Y-23471500D01*
-X25676499Y-23471500D01*
-X25676499Y-24028500D01*
-X26678380Y-24028500D01*
-X26707484Y-23914476D01*
-X26621682Y-23725760D01*
-X26507558Y-23615524D01*
-X26374121Y-23529671D01*
-X26226499Y-23471500D01*
-X25676499Y-23471500D01*
-X25233499Y-23471500D01*
-X24683499Y-23471500D01*
-X24535877Y-23529671D01*
-X24402440Y-23615524D01*
-X24288316Y-23725760D01*
-X24252061Y-23805501D01*
-X24239965Y-23765628D01*
-X24180955Y-23655227D01*
-X24101540Y-23558460D01*
-X24077299Y-23538566D01*
-X22506639Y-21967907D01*
-X22506639Y-20930000D01*
-X22502092Y-20883834D01*
-X22488626Y-20839442D01*
-X22466758Y-20798530D01*
-X22437329Y-20762671D01*
-X22401470Y-20733242D01*
-X22360558Y-20711374D01*
-X22316166Y-20697908D01*
-X22270000Y-20693361D01*
-X20570000Y-20693361D01*
-X20523834Y-20697908D01*
-X20479442Y-20711374D01*
-X20438530Y-20733242D01*
-X20402671Y-20762671D01*
-X20373242Y-20798530D01*
-X20351374Y-20839442D01*
-X20337908Y-20883834D01*
-X20333361Y-20930000D01*
-X20260500Y-20930000D01*
-X20260500Y-20112740D01*
-X20262478Y-20092568D01*
-X20264634Y-20085427D01*
-X20268139Y-20078835D01*
-X20272861Y-20073045D01*
-X20278608Y-20068290D01*
-X20285179Y-20064738D01*
-X20292311Y-20062530D01*
-X20311624Y-20060500D01*
-X22709686Y-20060500D01*
-X22682671Y-20082671D01*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,Conductor*
G36*
-X31454500Y-26913522D02*
+X204448409Y-64827502D02*
G01*
-X31452877Y-26930000D01*
-X31459355Y-26995769D01*
-X31463298Y-27008766D01*
-X31478539Y-27059010D01*
-X31509692Y-27117295D01*
-X31551618Y-27168381D01*
-X31564419Y-27178887D01*
-X32014501Y-27628970D01*
-X32014500Y-29783522D01*
-X32014355Y-29784998D01*
-X30180834Y-27951477D01*
-X30215950Y-27916361D01*
-X30274554Y-27828654D01*
-X30314921Y-27731200D01*
-X30335500Y-27627742D01*
-X30335500Y-27522258D01*
-X30314921Y-27418800D01*
-X30274554Y-27321346D01*
-X30215950Y-27233639D01*
-X30141361Y-27159050D01*
-X30053654Y-27100446D01*
-X29956200Y-27060079D01*
-X29852742Y-27039500D01*
-X29747258Y-27039500D01*
-X29643800Y-27060079D01*
-X29546346Y-27100446D01*
-X29458639Y-27159050D01*
-X29384050Y-27233639D01*
-X29325446Y-27321346D01*
-X29285079Y-27418800D01*
-X29264500Y-27522258D01*
-X29264500Y-27627742D01*
-X29285079Y-27731200D01*
-X29325446Y-27828654D01*
-X29384050Y-27916361D01*
-X29458639Y-27990950D01*
-X29464500Y-27994866D01*
-X29464500Y-28028634D01*
-X29462877Y-28045112D01*
-X29469318Y-28110500D01*
-X29469355Y-28110880D01*
-X29488539Y-28174122D01*
-X29519692Y-28232407D01*
-X29561618Y-28283493D01*
-X29574419Y-28293999D01*
-X30344920Y-29064500D01*
-X30194866Y-29064500D01*
-X30190950Y-29058639D01*
-X30116361Y-28984050D01*
-X30028654Y-28925446D01*
-X29931200Y-28885079D01*
-X29827742Y-28864500D01*
-X29722258Y-28864500D01*
-X29618800Y-28885079D01*
-X29521346Y-28925446D01*
-X29433639Y-28984050D01*
-X29359050Y-29058639D01*
-X29300446Y-29146346D01*
-X29260079Y-29243800D01*
-X29239500Y-29347258D01*
-X29239500Y-29359702D01*
-X28906990Y-29027192D01*
-X28906990Y-28934232D01*
-X28934351Y-28915950D01*
-X29008940Y-28841361D01*
-X29067544Y-28753654D01*
-X29107911Y-28656200D01*
-X29128490Y-28552742D01*
-X29128490Y-28447258D01*
-X29107911Y-28343800D01*
-X29067544Y-28246346D01*
-X29008940Y-28158639D01*
-X28934351Y-28084050D01*
-X28906990Y-28065768D01*
-X28906990Y-26630421D01*
-X28908509Y-26614999D01*
-X28902446Y-26553445D01*
-X28899310Y-26543106D01*
-X28884492Y-26494256D01*
-X28855334Y-26439707D01*
-X28816096Y-26391894D01*
-X28804113Y-26382060D01*
-X28335500Y-25913448D01*
-X28335500Y-25535499D01*
-X31454501Y-25535499D01*
-X31454500Y-26913522D01*
-X31454500Y-26913522D01*
+X204494902Y-64881158D01*
+X204505006Y-64951432D01*
+X204475102Y-65011170D01*
+X204477137Y-65012648D01*
+X204471310Y-65020668D01*
+X204464298Y-65027680D01*
+X204407553Y-65139048D01*
+X204406002Y-65148838D01*
+X204406002Y-65148839D01*
+X204402599Y-65170324D01*
+X204388000Y-65262500D01*
+X204388000Y-65737500D01*
+X204394099Y-65776007D01*
+X204405542Y-65848253D01*
+X204407553Y-65860952D01*
+X204464298Y-65972320D01*
+X204552680Y-66060702D01*
+X204664048Y-66117447D01*
+X204673838Y-66118998D01*
+X204673839Y-66118998D01*
+X204710147Y-66124748D01*
+X204787500Y-66137000D01*
+X204871386Y-66137000D01*
+X204960481Y-66173905D01*
+X205174098Y-66387522D01*
+X205177752Y-66391331D01*
+X205216449Y-66433414D01*
+X205253964Y-66456674D01*
+X205263710Y-66463372D01*
+X205279182Y-66475116D01*
+X205321347Y-66532231D01*
+X205329000Y-66575476D01*
+X205329000Y-67227885D01*
+X205333475Y-67243124D01*
+X205334865Y-67244329D01*
+X205342548Y-67246000D01*
+X206093441Y-67246000D01*
+X206108069Y-67241705D01*
+X206110132Y-67229728D01*
+X206102157Y-67138565D01*
+X206099759Y-67126034D01*
+X206053727Y-66972276D01*
+X206047949Y-66958882D01*
+X205968165Y-66820692D01*
+X205959451Y-66808986D01*
+X205922637Y-66769966D01*
+X205890439Y-66706691D01*
+X205897561Y-66636052D01*
+X205941743Y-66580478D01*
+X206014286Y-66557500D01*
+X206413603Y-66557500D01*
+X206481724Y-66577502D01*
+X206521888Y-66619077D01*
+X206556524Y-66677294D01*
+X206559922Y-66683006D01*
+X206700132Y-66842885D01*
+X206704667Y-66846460D01*
+X206704668Y-66846461D01*
+X206828387Y-66943994D01*
+X206869500Y-67001876D01*
+X206872794Y-67072796D01*
+X206837222Y-67134238D01*
+X206807577Y-67153694D01*
+X206808181Y-67154849D01*
+X206794749Y-67161872D01*
+X206597963Y-67285794D01*
+X206589882Y-67291839D01*
+X206415435Y-67445635D01*
+X206408424Y-67452896D01*
+X206260812Y-67632600D01*
+X206255059Y-67640878D01*
+X206224869Y-67692749D01*
+X206173315Y-67741562D01*
+X206111071Y-67747813D01*
+X206111071Y-67754000D01*
+X205347115Y-67754000D01*
+X205331876Y-67758475D01*
+X205330671Y-67759865D01*
+X205329000Y-67767548D01*
+X205329000Y-68488000D01*
+X205327798Y-68488000D01*
+X205328655Y-68512000D01*
+X205329000Y-68512000D01*
+X205329000Y-68521665D01*
+X205329119Y-68524998D01*
+X205329000Y-68525545D01*
+X205328999Y-69227885D01*
+X205333474Y-69243124D01*
+X205334864Y-69244329D01*
+X205342547Y-69246000D01*
+X206111556Y-69246001D01*
+X206111556Y-69249813D01*
+X206151453Y-69249813D01*
+X206220168Y-69299886D01*
+X206318014Y-69443861D01*
+X206324346Y-69451736D01*
+X206484123Y-69620696D01*
+X206491632Y-69627457D01*
+X206676375Y-69768704D01*
+X206684854Y-69774168D01*
+X206814675Y-69843777D01*
+X206865258Y-69893595D01*
+X206880878Y-69962852D01*
+X206856574Y-70029559D01*
+X206830523Y-70055779D01*
+X206722470Y-70136466D01*
+X206697063Y-70163951D01*
+X206620849Y-70246399D01*
+X206578123Y-70292619D01*
+X206520632Y-70383737D01*
+X206467366Y-70430674D01*
+X206414071Y-70442500D01*
+X206018648Y-70442500D01*
+X205950527Y-70422498D01*
+X205904034Y-70368842D01*
+X205893930Y-70298568D01*
+X205928650Y-70231655D01*
+X205927359Y-70230572D01*
+X205941452Y-70213776D01*
+X206029137Y-70080458D01*
+X206035681Y-70067427D01*
+X206090261Y-69917470D01*
+X206093621Y-69903293D01*
+X206108968Y-69771996D01*
+X206106498Y-69757546D01*
+X206093804Y-69754000D01*
+X205347115Y-69753999D01*
+X205331876Y-69758474D01*
+X205330671Y-69759864D01*
+X205329000Y-69767547D01*
+X205328999Y-70422004D01*
+X205308997Y-70490125D01*
+X205282294Y-70519924D01*
+X205271679Y-70528520D01*
+X205253083Y-70541015D01*
+X205239623Y-70548415D01*
+X205228935Y-70557641D01*
+X205227298Y-70559278D01*
+X205204736Y-70581841D01*
+X205194932Y-70590668D01*
+X205164955Y-70614942D01*
+X205159980Y-70621943D01*
+X205154678Y-70629403D01*
+X205141068Y-70645508D01*
+X205036766Y-70749811D01*
+X204960482Y-70826095D01*
+X204871386Y-70863000D01*
+X204787500Y-70863000D01*
+X204725184Y-70872870D01*
+X204673839Y-70881002D01*
+X204673838Y-70881002D01*
+X204664048Y-70882553D01*
+X204552680Y-70939298D01*
+X204464298Y-71027680D01*
+X204407553Y-71139048D01*
+X204406002Y-71148838D01*
+X204406002Y-71148839D01*
+X204405053Y-71154832D01*
+X204388000Y-71262500D01*
+X204388000Y-71737500D01*
+X204407553Y-71860952D01*
+X204464298Y-71972320D01*
+X204552680Y-72060702D01*
+X204664048Y-72117447D01*
+X204673838Y-72118998D01*
+X204673839Y-72118998D01*
+X204695875Y-72122488D01*
+X204787500Y-72137000D01*
+X205362500Y-72137000D01*
+X205454125Y-72122488D01*
+X205476161Y-72118998D01*
+X205476162Y-72118998D01*
+X205485952Y-72117447D01*
+X205597320Y-72060702D01*
+X205685702Y-71972320D01*
+X205742447Y-71860952D01*
+X205762000Y-71737500D01*
+X205762000Y-71683500D01*
+X205782002Y-71615379D01*
+X205835658Y-71568886D01*
+X205888000Y-71557500D01*
+X206413603Y-71557500D01*
+X206481724Y-71577502D01*
+X206521888Y-71619077D01*
+X206553800Y-71672715D01*
+X206559922Y-71683006D01*
+X206700132Y-71842885D01*
+X206704667Y-71846460D01*
+X206704668Y-71846461D01*
+X206832044Y-71946876D01*
+X206867129Y-71974535D01*
+X206872245Y-71977226D01*
+X206872247Y-71977228D01*
+X207050203Y-72070855D01*
+X207055322Y-72073548D01*
+X207100087Y-72087448D01*
+X207252892Y-72134896D01*
+X207252897Y-72134897D01*
+X207258407Y-72136608D01*
+X207287746Y-72140081D01*
+X207427013Y-72156564D01*
+X207427019Y-72156564D01*
+X207430700Y-72157000D01*
+X207954414Y-72157000D01*
+X208040497Y-72149090D01*
+X208106004Y-72143071D01*
+X208106007Y-72143070D01*
+X208111758Y-72142542D01*
+X208146006Y-72132883D01*
+X208216998Y-72133644D01*
+X208276309Y-72172666D01*
+X208305109Y-72237559D01*
+X208294252Y-72307721D01*
+X208269301Y-72343248D01*
+X207706954Y-72905595D01*
+X207617859Y-72942500D01*
+X203133114Y-72942500D01*
+X203044019Y-72905595D01*
+X201715926Y-71577502D01*
+X200384822Y-70246399D01*
+X200350796Y-70184087D01*
+X200351510Y-70174105D01*
+X200278601Y-70140178D01*
+X200038791Y-69900368D01*
+X200004765Y-69838056D01*
+X200003437Y-69791561D01*
+X200003526Y-69791003D01*
+X200009138Y-69755567D01*
+X200011226Y-69742388D01*
+X200011226Y-69742385D01*
+X200012000Y-69737500D01*
+X200012000Y-69633999D01*
+X200032002Y-69565878D01*
+X200085658Y-69519385D01*
+X200155932Y-69509281D01*
+X200212921Y-69537809D01*
+X200214385Y-69535617D01*
+X200225385Y-69542967D01*
+X200244478Y-69558637D01*
+X200341363Y-69655522D01*
+X200357033Y-69674615D01*
+X200364383Y-69685615D01*
+X200361551Y-69687507D01*
+X200388440Y-69740279D01*
+X200403927Y-69838056D01*
+X200407553Y-69860952D01*
+X200464298Y-69972320D01*
+X200469981Y-69978003D01*
+X200491862Y-70039326D01*
+X200547095Y-70055117D01*
+X200552680Y-70060702D01*
+X200664048Y-70117447D01*
+X200673838Y-70118998D01*
+X200673839Y-70118998D01*
+X200710147Y-70124748D01*
+X200787500Y-70137000D01*
+X200982714Y-70137000D01*
+X201029097Y-70145848D01*
+X201047828Y-70153264D01*
+X201056372Y-70154162D01*
+X201063089Y-70154868D01*
+X201085075Y-70159181D01*
+X201099830Y-70163468D01*
+X201106407Y-70163951D01*
+X201111603Y-70164333D01*
+X201111616Y-70164333D01*
+X201113911Y-70164502D01*
+X201148139Y-70164502D01*
+X201161309Y-70165192D01*
+X201199670Y-70169224D01*
+X201217161Y-70166266D01*
+X201238171Y-70164502D01*
+X202641501Y-70164502D01*
+X202709622Y-70184504D01*
+X202756115Y-70238160D01*
+X202767501Y-70290501D01*
+X202767500Y-70609536D01*
+X202767500Y-70922288D01*
+X202730595Y-71011383D01*
+X202714298Y-71027680D01*
+X202657553Y-71139048D01*
+X202656002Y-71148838D01*
+X202656002Y-71148839D01*
+X202655053Y-71154832D01*
+X202638000Y-71262500D01*
+X202638000Y-71737500D01*
+X202657553Y-71860952D01*
+X202714298Y-71972320D01*
+X202802680Y-72060702D01*
+X202914048Y-72117447D01*
+X202923838Y-72118998D01*
+X202923839Y-72118998D01*
+X202945875Y-72122488D01*
+X203037500Y-72137000D01*
+X203612500Y-72137000D01*
+X203704125Y-72122488D01*
+X203726161Y-72118998D01*
+X203726162Y-72118998D01*
+X203735952Y-72117447D01*
+X203847320Y-72060702D01*
+X203935702Y-71972320D01*
+X203992447Y-71860952D01*
+X204012000Y-71737500D01*
+X204012000Y-71262500D01*
+X203994947Y-71154832D01*
+X203993998Y-71148839D01*
+X203993998Y-71148838D01*
+X203992447Y-71139048D01*
+X203935702Y-71027680D01*
+X203919405Y-71011383D01*
+X203882500Y-70922288D01*
+X203882500Y-70131083D01*
+X203902502Y-70062962D01*
+X203956158Y-70016469D01*
+X204026432Y-70006365D01*
+X204091012Y-70035859D01*
+X204117619Y-70068083D01*
+X204181835Y-70179308D01*
+X204190546Y-70191009D01*
+X204300050Y-70307077D01*
+X204311224Y-70316452D01*
+X204444542Y-70404137D01*
+X204457573Y-70410681D01*
+X204607530Y-70465261D01*
+X204621708Y-70468621D01*
+X204783856Y-70487574D01*
+X204791169Y-70488000D01*
+X204802885Y-70488000D01*
+X204818124Y-70483525D01*
+X204819329Y-70482135D01*
+X204821000Y-70474452D01*
+X204821001Y-69754000D01*
+X204821000Y-69753996D01*
+X204821001Y-68523003D01*
+X204821000Y-68522997D01*
+X204821000Y-68512000D01*
+X204822202Y-68512000D01*
+X204821345Y-68488000D01*
+X204821000Y-68488000D01*
+X204821000Y-68478334D01*
+X204820881Y-68475002D01*
+X204821000Y-68474455D01*
+X204821000Y-66530115D01*
+X204816525Y-66514876D01*
+X204815135Y-66513671D01*
+X204807452Y-66512000D01*
+X204790240Y-66512000D01*
+X204784776Y-66512238D01*
+X204663565Y-66522843D01*
+X204651033Y-66525242D01*
+X204497276Y-66571273D01*
+X204483882Y-66577051D01*
+X204345692Y-66656835D01*
+X204333991Y-66665546D01*
+X204217923Y-66775050D01*
+X204208548Y-66786224D01*
+X204116829Y-66925676D01*
+X204115657Y-66924905D01*
+X204072651Y-66970974D01*
+X204003836Y-66988441D01*
+X203936503Y-66965931D01*
+X203892028Y-66910591D01*
+X203882500Y-66862527D01*
+X203882500Y-66077712D01*
+X203919405Y-65988617D01*
+X203935702Y-65972320D01*
+X203992447Y-65860952D01*
+X203994459Y-65848253D01*
+X204005901Y-65776007D01*
+X204012000Y-65737500D01*
+X204012000Y-65262500D01*
+X203997401Y-65170324D01*
+X203993998Y-65148839D01*
+X203993998Y-65148838D01*
+X203992447Y-65139048D01*
+X203935702Y-65027680D01*
+X203928690Y-65020668D01*
+X203922863Y-65012648D01*
+X203924526Y-65011440D01*
+X203896591Y-64960283D01*
+X203901656Y-64889468D01*
+X203944203Y-64832632D01*
+X204019712Y-64807500D01*
+X204380288Y-64807500D01*
+X204448409Y-64827502D01*
G37*
-X31454500Y-26913522D02*
-X31452877Y-26930000D01*
-X31459355Y-26995769D01*
-X31463298Y-27008766D01*
-X31478539Y-27059010D01*
-X31509692Y-27117295D01*
-X31551618Y-27168381D01*
-X31564419Y-27178887D01*
-X32014501Y-27628970D01*
-X32014500Y-29783522D01*
-X32014355Y-29784998D01*
-X30180834Y-27951477D01*
-X30215950Y-27916361D01*
-X30274554Y-27828654D01*
-X30314921Y-27731200D01*
-X30335500Y-27627742D01*
-X30335500Y-27522258D01*
-X30314921Y-27418800D01*
-X30274554Y-27321346D01*
-X30215950Y-27233639D01*
-X30141361Y-27159050D01*
-X30053654Y-27100446D01*
-X29956200Y-27060079D01*
-X29852742Y-27039500D01*
-X29747258Y-27039500D01*
-X29643800Y-27060079D01*
-X29546346Y-27100446D01*
-X29458639Y-27159050D01*
-X29384050Y-27233639D01*
-X29325446Y-27321346D01*
-X29285079Y-27418800D01*
-X29264500Y-27522258D01*
-X29264500Y-27627742D01*
-X29285079Y-27731200D01*
-X29325446Y-27828654D01*
-X29384050Y-27916361D01*
-X29458639Y-27990950D01*
-X29464500Y-27994866D01*
-X29464500Y-28028634D01*
-X29462877Y-28045112D01*
-X29469318Y-28110500D01*
-X29469355Y-28110880D01*
-X29488539Y-28174122D01*
-X29519692Y-28232407D01*
-X29561618Y-28283493D01*
-X29574419Y-28293999D01*
-X30344920Y-29064500D01*
-X30194866Y-29064500D01*
-X30190950Y-29058639D01*
-X30116361Y-28984050D01*
-X30028654Y-28925446D01*
-X29931200Y-28885079D01*
-X29827742Y-28864500D01*
-X29722258Y-28864500D01*
-X29618800Y-28885079D01*
-X29521346Y-28925446D01*
-X29433639Y-28984050D01*
-X29359050Y-29058639D01*
-X29300446Y-29146346D01*
-X29260079Y-29243800D01*
-X29239500Y-29347258D01*
-X29239500Y-29359702D01*
-X28906990Y-29027192D01*
-X28906990Y-28934232D01*
-X28934351Y-28915950D01*
-X29008940Y-28841361D01*
-X29067544Y-28753654D01*
-X29107911Y-28656200D01*
-X29128490Y-28552742D01*
-X29128490Y-28447258D01*
-X29107911Y-28343800D01*
-X29067544Y-28246346D01*
-X29008940Y-28158639D01*
-X28934351Y-28084050D01*
-X28906990Y-28065768D01*
-X28906990Y-26630421D01*
-X28908509Y-26614999D01*
-X28902446Y-26553445D01*
-X28899310Y-26543106D01*
-X28884492Y-26494256D01*
-X28855334Y-26439707D01*
-X28816096Y-26391894D01*
-X28804113Y-26382060D01*
-X28335500Y-25913448D01*
-X28335500Y-25535499D01*
-X31454501Y-25535499D01*
-X31454500Y-26913522D01*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,Conductor*
G36*
-X33088722Y-35328722D02*
+X181138057Y-54030271D02*
G01*
-X32953073Y-35494011D01*
-X32852277Y-35682587D01*
-X32790206Y-35887205D01*
-X32769248Y-36100000D01*
-X32790206Y-36312795D01*
-X32852277Y-36517413D01*
-X32953073Y-36705989D01*
-X33088722Y-36871278D01*
-X33254011Y-37006927D01*
-X33442587Y-37107723D01*
-X33547340Y-37139500D01*
-X31632660Y-37139500D01*
-X31737413Y-37107723D01*
-X31925989Y-37006927D01*
-X32091278Y-36871278D01*
-X32226927Y-36705989D01*
-X32327723Y-36517413D01*
-X32389794Y-36312795D01*
-X32410752Y-36100000D01*
-X32389794Y-35887205D01*
-X32327723Y-35682587D01*
-X32276781Y-35587281D01*
-X32610063Y-35254000D01*
-X33179771Y-35254000D01*
-X33088722Y-35328722D01*
-X33088722Y-35328722D01*
+X181194892Y-54072818D01*
+X181214920Y-54112829D01*
+X181216475Y-54118124D01*
+X181217865Y-54119329D01*
+X181225548Y-54121000D01*
+X182328000Y-54121001D01*
+X182396121Y-54141003D01*
+X182442614Y-54194659D01*
+X182454000Y-54247001D01*
+X182453999Y-54503000D01*
+X182433997Y-54571121D01*
+X182380341Y-54617614D01*
+X182327999Y-54629000D01*
+X181230115Y-54628999D01*
+X181214876Y-54633474D01*
+X181213671Y-54634864D01*
+X181212000Y-54642547D01*
+X181212000Y-54659760D01*
+X181212238Y-54665224D01*
+X181222843Y-54786435D01*
+X181225241Y-54798967D01*
+X181264579Y-54930363D01*
+X181264954Y-55001358D01*
+X181226887Y-55061287D01*
+X181143872Y-55092500D01*
+X180513000Y-55092500D01*
+X180444879Y-55072498D01*
+X180398386Y-55018842D01*
+X180387000Y-54966500D01*
+X180387000Y-54820000D01*
+X180376440Y-54766913D01*
+X180382768Y-54696199D01*
+X180410924Y-54653235D01*
+X181004929Y-54059231D01*
+X181067241Y-54025206D01*
+X181138057Y-54030271D01*
G37*
-X33088722Y-35328722D02*
-X32953073Y-35494011D01*
-X32852277Y-35682587D01*
-X32790206Y-35887205D01*
-X32769248Y-36100000D01*
-X32790206Y-36312795D01*
-X32852277Y-36517413D01*
-X32953073Y-36705989D01*
-X33088722Y-36871278D01*
-X33254011Y-37006927D01*
-X33442587Y-37107723D01*
-X33547340Y-37139500D01*
-X31632660Y-37139500D01*
-X31737413Y-37107723D01*
-X31925989Y-37006927D01*
-X32091278Y-36871278D01*
-X32226927Y-36705989D01*
-X32327723Y-36517413D01*
-X32389794Y-36312795D01*
-X32410752Y-36100000D01*
-X32389794Y-35887205D01*
-X32327723Y-35682587D01*
-X32276781Y-35587281D01*
-X32610063Y-35254000D01*
-X33179771Y-35254000D01*
-X33088722Y-35328722D01*
+G04 #@! TD.AperFunction*
M02*
diff --git a/pcb/gerber/OtterPill-B_Mask.gbs b/pcb/gerber/OtterPill-B_Mask.gbs
index e3f2423..945524d 100644
--- a/pcb/gerber/OtterPill-B_Mask.gbs
+++ b/pcb/gerber/OtterPill-B_Mask.gbs
@@ -1,1584 +1,382 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.4+dfsg1-1*
-G04 #@! TF.CreationDate,2019-11-16T02:43:21+01:00*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-4013-gfd874d834)*
+G04 #@! TF.CreationDate,2020-10-14T12:43:33+02:00*
G04 #@! TF.ProjectId,OtterPill,4f747465-7250-4696-9c6c-2e6b69636164,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.4+dfsg1-1) date 2019-11-16 02:43:21*
+G04 Created by KiCad (PCBNEW (5.99.0-4013-gfd874d834)) date 2020-10-14 12:43:33*
%MOMM*%
%LPD*%
+G01*
G04 APERTURE LIST*
+G04 Aperture macros list*
+%AMRoundRect*
+0 Rectangle with rounded corners*
+0 $1 Rounding radius*
+0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
+0 Add a 4 corners polygon primitive as box body*
+4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
+0 Add four circle primitives for the rounded corners*
+1,1,$1+$1,$2,$3,0*
+1,1,$1+$1,$4,$5,0*
+1,1,$1+$1,$6,$7,0*
+1,1,$1+$1,$8,$9,0*
+0 Add four rect primitives between the rounded corners*
+20,1,$1+$1,$2,$3,$4,$5,0*
+20,1,$1+$1,$4,$5,$6,$7,0*
+20,1,$1+$1,$6,$7,$8,$9,0*
+20,1,$1+$1,$8,$9,$2,$3,0*%
+G04 Aperture macros list end*
+G04 #@! TA.AperFunction,Profile*
%ADD10C,0.050000*%
-%ADD11C,0.100000*%
+G04 #@! TD*
+%ADD11O,2.400000X2.000000*%
+%ADD12C,2.400000*%
+%ADD13O,4.500000X3.500000*%
+%ADD14C,4.000000*%
+%ADD15C,1.700000*%
+%ADD16C,2.200000*%
+%ADD17C,3.200000*%
+%ADD18RoundRect,0.237500X-0.287500X-0.237500X0.287500X-0.237500X0.287500X0.237500X-0.287500X0.237500X0*%
+%ADD19R,1.500000X2.000000*%
+%ADD20R,3.800000X2.000000*%
+%ADD21RoundRect,0.237500X0.237500X-0.287500X0.237500X0.287500X-0.237500X0.287500X-0.237500X-0.287500X0*%
+%ADD22RoundRect,0.243750X-0.243750X-0.456250X0.243750X-0.456250X0.243750X0.456250X-0.243750X0.456250X0*%
+%ADD23R,0.650000X1.050000*%
+%ADD24RoundRect,0.243750X-0.456250X0.243750X-0.456250X-0.243750X0.456250X-0.243750X0.456250X0.243750X0*%
+%ADD25R,1.000000X1.000000*%
+%ADD26RoundRect,0.237500X-0.237500X0.287500X-0.237500X-0.287500X0.237500X-0.287500X0.237500X0.287500X0*%
+%ADD27RoundRect,0.237500X0.287500X0.237500X-0.287500X0.237500X-0.287500X-0.237500X0.287500X-0.237500X0*%
+%ADD28RoundRect,0.243750X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*%
+%ADD29R,1.700000X1.700000*%
+%ADD30O,1.700000X1.700000*%
+%ADD31RoundRect,0.218750X-0.256250X0.218750X-0.256250X-0.218750X0.256250X-0.218750X0.256250X0.218750X0*%
+%ADD32R,0.800000X0.900000*%
+%ADD33R,0.900000X0.800000*%
+%ADD34C,0.650000*%
+%ADD35R,0.600000X1.450000*%
+%ADD36R,0.300000X1.450000*%
+%ADD37O,1.000000X2.100000*%
+%ADD38O,1.000000X1.600000*%
+%ADD39R,0.650000X1.060000*%
+%ADD40RoundRect,0.075000X-0.415425X-0.521491X0.521491X0.415425X0.415425X0.521491X-0.521491X-0.415425X0*%
+%ADD41RoundRect,0.075000X0.415425X-0.521491X0.521491X-0.415425X-0.415425X0.521491X-0.521491X0.415425X0*%
+%ADD42R,1.000000X3.000000*%
G04 APERTURE END LIST*
D10*
-X63200000Y-37100000D02*
+X225200000Y-48500000D02*
+X225200000Y-88500000D01*
+X140200000Y-93500000D02*
G75*
-G02X62900000Y-37400000I-300000J0D01*
+G02*
+X135200000Y-88500000I0J5000000D01*
G01*
-X62900000Y-19800000D02*
+X220200000Y-43500000D02*
G75*
-G02X63200000Y-20100000I0J-300000D01*
+G02*
+X225200000Y-48500000I0J-5000000D01*
G01*
-X20000000Y-20100000D02*
+X225200000Y-88500000D02*
G75*
-G02X20300000Y-19800000I300000J0D01*
+G02*
+X220200000Y-93500000I-5000000J0D01*
G01*
-X20300000Y-37400000D02*
+X140200000Y-43500000D02*
+X220200000Y-43500000D01*
+X135200000Y-48500000D02*
G75*
-G02X20000000Y-37100000I0J300000D01*
+G02*
+X140200000Y-43500000I5000000J0D01*
G01*
-X20000000Y-37100000D02*
-X20000000Y-20100000D01*
-X62900000Y-37400000D02*
-X20300000Y-37400000D01*
-X63200000Y-20100000D02*
-X63200000Y-37100000D01*
-X20300000Y-19800000D02*
-X62900000Y-19800000D01*
+X135200000Y-88500000D02*
+X135200000Y-48500000D01*
+X220200000Y-93500000D02*
+X140200000Y-93500000D01*
D11*
-G36*
-X63300000Y-37600000D02*
-G01*
-X61070000Y-37600000D01*
-X61070000Y-19700000D01*
-X63300000Y-19700000D01*
-X63300000Y-37600000D01*
-X63300000Y-37600000D01*
-G37*
-G36*
-X34028589Y-35252444D02*
-G01*
-X34190700Y-35301619D01*
-X34340102Y-35381476D01*
-X34471054Y-35488946D01*
-X34578524Y-35619898D01*
-X34658381Y-35769300D01*
-X34707556Y-35931411D01*
-X34724161Y-36100000D01*
-X34707556Y-36268589D01*
-X34658381Y-36430700D01*
-X34578524Y-36580102D01*
-X34471054Y-36711054D01*
-X34340102Y-36818524D01*
-X34190700Y-36898381D01*
-X34028589Y-36947556D01*
-X33902246Y-36960000D01*
-X33817754Y-36960000D01*
-X33691411Y-36947556D01*
-X33529300Y-36898381D01*
-X33379898Y-36818524D01*
-X33248946Y-36711054D01*
-X33141476Y-36580102D01*
-X33061619Y-36430700D01*
-X33012444Y-36268589D01*
-X32995839Y-36100000D01*
-X33012444Y-35931411D01*
-X33061619Y-35769300D01*
-X33141476Y-35619898D01*
-X33248946Y-35488946D01*
-X33379898Y-35381476D01*
-X33529300Y-35301619D01*
-X33691411Y-35252444D01*
-X33817754Y-35240000D01*
-X33902246Y-35240000D01*
-X34028589Y-35252444D01*
-X34028589Y-35252444D01*
-G37*
-G36*
-X24560000Y-36960000D02*
-G01*
-X22840000Y-36960000D01*
-X22840000Y-35240000D01*
-X24560000Y-35240000D01*
-X24560000Y-36960000D01*
-X24560000Y-36960000D01*
-G37*
-G36*
-X26408589Y-35252444D02*
-G01*
-X26570700Y-35301619D01*
-X26720102Y-35381476D01*
-X26851054Y-35488946D01*
-X26958524Y-35619898D01*
-X27038381Y-35769300D01*
-X27087556Y-35931411D01*
-X27104161Y-36100000D01*
-X27087556Y-36268589D01*
-X27038381Y-36430700D01*
-X26958524Y-36580102D01*
-X26851054Y-36711054D01*
-X26720102Y-36818524D01*
-X26570700Y-36898381D01*
-X26408589Y-36947556D01*
-X26282246Y-36960000D01*
-X26197754Y-36960000D01*
-X26071411Y-36947556D01*
-X25909300Y-36898381D01*
-X25759898Y-36818524D01*
-X25628946Y-36711054D01*
-X25521476Y-36580102D01*
-X25441619Y-36430700D01*
-X25392444Y-36268589D01*
-X25375839Y-36100000D01*
-X25392444Y-35931411D01*
-X25441619Y-35769300D01*
-X25521476Y-35619898D01*
-X25628946Y-35488946D01*
-X25759898Y-35381476D01*
-X25909300Y-35301619D01*
-X26071411Y-35252444D01*
-X26197754Y-35240000D01*
-X26282246Y-35240000D01*
-X26408589Y-35252444D01*
-X26408589Y-35252444D01*
-G37*
-G36*
-X28948589Y-35252444D02*
-G01*
-X29110700Y-35301619D01*
-X29260102Y-35381476D01*
-X29391054Y-35488946D01*
-X29498524Y-35619898D01*
-X29578381Y-35769300D01*
-X29627556Y-35931411D01*
-X29644161Y-36100000D01*
-X29627556Y-36268589D01*
-X29578381Y-36430700D01*
-X29498524Y-36580102D01*
-X29391054Y-36711054D01*
-X29260102Y-36818524D01*
-X29110700Y-36898381D01*
-X28948589Y-36947556D01*
-X28822246Y-36960000D01*
-X28737754Y-36960000D01*
-X28611411Y-36947556D01*
-X28449300Y-36898381D01*
-X28299898Y-36818524D01*
-X28168946Y-36711054D01*
-X28061476Y-36580102D01*
-X27981619Y-36430700D01*
-X27932444Y-36268589D01*
-X27915839Y-36100000D01*
-X27932444Y-35931411D01*
-X27981619Y-35769300D01*
-X28061476Y-35619898D01*
-X28168946Y-35488946D01*
-X28299898Y-35381476D01*
-X28449300Y-35301619D01*
-X28611411Y-35252444D01*
-X28737754Y-35240000D01*
-X28822246Y-35240000D01*
-X28948589Y-35252444D01*
-X28948589Y-35252444D01*
-G37*
-G36*
-X31488589Y-35252444D02*
-G01*
-X31650700Y-35301619D01*
-X31800102Y-35381476D01*
-X31931054Y-35488946D01*
-X32038524Y-35619898D01*
-X32118381Y-35769300D01*
-X32167556Y-35931411D01*
-X32184161Y-36100000D01*
-X32167556Y-36268589D01*
-X32118381Y-36430700D01*
-X32038524Y-36580102D01*
-X31931054Y-36711054D01*
-X31800102Y-36818524D01*
-X31650700Y-36898381D01*
-X31488589Y-36947556D01*
-X31362246Y-36960000D01*
-X31277754Y-36960000D01*
-X31151411Y-36947556D01*
-X30989300Y-36898381D01*
-X30839898Y-36818524D01*
-X30708946Y-36711054D01*
-X30601476Y-36580102D01*
-X30521619Y-36430700D01*
-X30472444Y-36268589D01*
-X30455839Y-36100000D01*
-X30472444Y-35931411D01*
-X30521619Y-35769300D01*
-X30601476Y-35619898D01*
-X30708946Y-35488946D01*
-X30839898Y-35381476D01*
-X30989300Y-35301619D01*
-X31151411Y-35252444D01*
-X31277754Y-35240000D01*
-X31362246Y-35240000D01*
-X31488589Y-35252444D01*
-X31488589Y-35252444D01*
-G37*
-G36*
-X36568589Y-35252444D02*
-G01*
-X36730700Y-35301619D01*
-X36880102Y-35381476D01*
-X37011054Y-35488946D01*
-X37118524Y-35619898D01*
-X37198381Y-35769300D01*
-X37247556Y-35931411D01*
-X37264161Y-36100000D01*
-X37247556Y-36268589D01*
-X37198381Y-36430700D01*
-X37118524Y-36580102D01*
-X37011054Y-36711054D01*
-X36880102Y-36818524D01*
-X36730700Y-36898381D01*
-X36568589Y-36947556D01*
-X36442246Y-36960000D01*
-X36357754Y-36960000D01*
-X36231411Y-36947556D01*
-X36069300Y-36898381D01*
-X35919898Y-36818524D01*
-X35788946Y-36711054D01*
-X35681476Y-36580102D01*
-X35601619Y-36430700D01*
-X35552444Y-36268589D01*
-X35535839Y-36100000D01*
-X35552444Y-35931411D01*
-X35601619Y-35769300D01*
-X35681476Y-35619898D01*
-X35788946Y-35488946D01*
-X35919898Y-35381476D01*
-X36069300Y-35301619D01*
-X36231411Y-35252444D01*
-X36357754Y-35240000D01*
-X36442246Y-35240000D01*
-X36568589Y-35252444D01*
-X36568589Y-35252444D01*
-G37*
-G36*
-X39108589Y-35252444D02*
-G01*
-X39270700Y-35301619D01*
-X39420102Y-35381476D01*
-X39551054Y-35488946D01*
-X39658524Y-35619898D01*
-X39738381Y-35769300D01*
-X39787556Y-35931411D01*
-X39804161Y-36100000D01*
-X39787556Y-36268589D01*
-X39738381Y-36430700D01*
-X39658524Y-36580102D01*
-X39551054Y-36711054D01*
-X39420102Y-36818524D01*
-X39270700Y-36898381D01*
-X39108589Y-36947556D01*
-X38982246Y-36960000D01*
-X38897754Y-36960000D01*
-X38771411Y-36947556D01*
-X38609300Y-36898381D01*
-X38459898Y-36818524D01*
-X38328946Y-36711054D01*
-X38221476Y-36580102D01*
-X38141619Y-36430700D01*
-X38092444Y-36268589D01*
-X38075839Y-36100000D01*
-X38092444Y-35931411D01*
-X38141619Y-35769300D01*
-X38221476Y-35619898D01*
-X38328946Y-35488946D01*
-X38459898Y-35381476D01*
-X38609300Y-35301619D01*
-X38771411Y-35252444D01*
-X38897754Y-35240000D01*
-X38982246Y-35240000D01*
-X39108589Y-35252444D01*
-X39108589Y-35252444D01*
-G37*
-G36*
-X41648589Y-35252444D02*
-G01*
-X41810700Y-35301619D01*
-X41960102Y-35381476D01*
-X42091054Y-35488946D01*
-X42198524Y-35619898D01*
-X42278381Y-35769300D01*
-X42327556Y-35931411D01*
-X42344161Y-36100000D01*
-X42327556Y-36268589D01*
-X42278381Y-36430700D01*
-X42198524Y-36580102D01*
-X42091054Y-36711054D01*
-X41960102Y-36818524D01*
-X41810700Y-36898381D01*
-X41648589Y-36947556D01*
-X41522246Y-36960000D01*
-X41437754Y-36960000D01*
-X41311411Y-36947556D01*
-X41149300Y-36898381D01*
-X40999898Y-36818524D01*
-X40868946Y-36711054D01*
-X40761476Y-36580102D01*
-X40681619Y-36430700D01*
-X40632444Y-36268589D01*
-X40615839Y-36100000D01*
-X40632444Y-35931411D01*
-X40681619Y-35769300D01*
-X40761476Y-35619898D01*
-X40868946Y-35488946D01*
-X40999898Y-35381476D01*
-X41149300Y-35301619D01*
-X41311411Y-35252444D01*
-X41437754Y-35240000D01*
-X41522246Y-35240000D01*
-X41648589Y-35252444D01*
-X41648589Y-35252444D01*
-G37*
-G36*
-X44188589Y-35252444D02*
-G01*
-X44350700Y-35301619D01*
-X44500102Y-35381476D01*
-X44631054Y-35488946D01*
-X44738524Y-35619898D01*
-X44818381Y-35769300D01*
-X44867556Y-35931411D01*
-X44884161Y-36100000D01*
-X44867556Y-36268589D01*
-X44818381Y-36430700D01*
-X44738524Y-36580102D01*
-X44631054Y-36711054D01*
-X44500102Y-36818524D01*
-X44350700Y-36898381D01*
-X44188589Y-36947556D01*
-X44062246Y-36960000D01*
-X43977754Y-36960000D01*
-X43851411Y-36947556D01*
-X43689300Y-36898381D01*
-X43539898Y-36818524D01*
-X43408946Y-36711054D01*
-X43301476Y-36580102D01*
-X43221619Y-36430700D01*
-X43172444Y-36268589D01*
-X43155839Y-36100000D01*
-X43172444Y-35931411D01*
-X43221619Y-35769300D01*
-X43301476Y-35619898D01*
-X43408946Y-35488946D01*
-X43539898Y-35381476D01*
-X43689300Y-35301619D01*
-X43851411Y-35252444D01*
-X43977754Y-35240000D01*
-X44062246Y-35240000D01*
-X44188589Y-35252444D01*
-X44188589Y-35252444D01*
-G37*
-G36*
-X46728589Y-35252444D02*
-G01*
-X46890700Y-35301619D01*
-X47040102Y-35381476D01*
-X47171054Y-35488946D01*
-X47278524Y-35619898D01*
-X47358381Y-35769300D01*
-X47407556Y-35931411D01*
-X47424161Y-36100000D01*
-X47407556Y-36268589D01*
-X47358381Y-36430700D01*
-X47278524Y-36580102D01*
-X47171054Y-36711054D01*
-X47040102Y-36818524D01*
-X46890700Y-36898381D01*
-X46728589Y-36947556D01*
-X46602246Y-36960000D01*
-X46517754Y-36960000D01*
-X46391411Y-36947556D01*
-X46229300Y-36898381D01*
-X46079898Y-36818524D01*
-X45948946Y-36711054D01*
-X45841476Y-36580102D01*
-X45761619Y-36430700D01*
-X45712444Y-36268589D01*
-X45695839Y-36100000D01*
-X45712444Y-35931411D01*
-X45761619Y-35769300D01*
-X45841476Y-35619898D01*
-X45948946Y-35488946D01*
-X46079898Y-35381476D01*
-X46229300Y-35301619D01*
-X46391411Y-35252444D01*
-X46517754Y-35240000D01*
-X46602246Y-35240000D01*
-X46728589Y-35252444D01*
-X46728589Y-35252444D01*
-G37*
-G36*
-X49268589Y-35252444D02*
-G01*
-X49430700Y-35301619D01*
-X49580102Y-35381476D01*
-X49711054Y-35488946D01*
-X49818524Y-35619898D01*
-X49898381Y-35769300D01*
-X49947556Y-35931411D01*
-X49964161Y-36100000D01*
-X49947556Y-36268589D01*
-X49898381Y-36430700D01*
-X49818524Y-36580102D01*
-X49711054Y-36711054D01*
-X49580102Y-36818524D01*
-X49430700Y-36898381D01*
-X49268589Y-36947556D01*
-X49142246Y-36960000D01*
-X49057754Y-36960000D01*
-X48931411Y-36947556D01*
-X48769300Y-36898381D01*
-X48619898Y-36818524D01*
-X48488946Y-36711054D01*
-X48381476Y-36580102D01*
-X48301619Y-36430700D01*
-X48252444Y-36268589D01*
-X48235839Y-36100000D01*
-X48252444Y-35931411D01*
-X48301619Y-35769300D01*
-X48381476Y-35619898D01*
-X48488946Y-35488946D01*
-X48619898Y-35381476D01*
-X48769300Y-35301619D01*
-X48931411Y-35252444D01*
-X49057754Y-35240000D01*
-X49142246Y-35240000D01*
-X49268589Y-35252444D01*
-X49268589Y-35252444D01*
-G37*
-G36*
-X51808589Y-35252444D02*
-G01*
-X51970700Y-35301619D01*
-X52120102Y-35381476D01*
-X52251054Y-35488946D01*
-X52358524Y-35619898D01*
-X52438381Y-35769300D01*
-X52487556Y-35931411D01*
-X52504161Y-36100000D01*
-X52487556Y-36268589D01*
-X52438381Y-36430700D01*
-X52358524Y-36580102D01*
-X52251054Y-36711054D01*
-X52120102Y-36818524D01*
-X51970700Y-36898381D01*
-X51808589Y-36947556D01*
-X51682246Y-36960000D01*
-X51597754Y-36960000D01*
-X51471411Y-36947556D01*
-X51309300Y-36898381D01*
-X51159898Y-36818524D01*
-X51028946Y-36711054D01*
-X50921476Y-36580102D01*
-X50841619Y-36430700D01*
-X50792444Y-36268589D01*
-X50775839Y-36100000D01*
-X50792444Y-35931411D01*
-X50841619Y-35769300D01*
-X50921476Y-35619898D01*
-X51028946Y-35488946D01*
-X51159898Y-35381476D01*
-X51309300Y-35301619D01*
-X51471411Y-35252444D01*
-X51597754Y-35240000D01*
-X51682246Y-35240000D01*
-X51808589Y-35252444D01*
-X51808589Y-35252444D01*
-G37*
-G36*
-X54348589Y-35252444D02*
-G01*
-X54510700Y-35301619D01*
-X54660102Y-35381476D01*
-X54791054Y-35488946D01*
-X54898524Y-35619898D01*
-X54978381Y-35769300D01*
-X55027556Y-35931411D01*
-X55044161Y-36100000D01*
-X55027556Y-36268589D01*
-X54978381Y-36430700D01*
-X54898524Y-36580102D01*
-X54791054Y-36711054D01*
-X54660102Y-36818524D01*
-X54510700Y-36898381D01*
-X54348589Y-36947556D01*
-X54222246Y-36960000D01*
-X54137754Y-36960000D01*
-X54011411Y-36947556D01*
-X53849300Y-36898381D01*
-X53699898Y-36818524D01*
-X53568946Y-36711054D01*
-X53461476Y-36580102D01*
-X53381619Y-36430700D01*
-X53332444Y-36268589D01*
-X53315839Y-36100000D01*
-X53332444Y-35931411D01*
-X53381619Y-35769300D01*
-X53461476Y-35619898D01*
-X53568946Y-35488946D01*
-X53699898Y-35381476D01*
-X53849300Y-35301619D01*
-X54011411Y-35252444D01*
-X54137754Y-35240000D01*
-X54222246Y-35240000D01*
-X54348589Y-35252444D01*
-X54348589Y-35252444D01*
-G37*
-G36*
-X56888589Y-35252444D02*
-G01*
-X57050700Y-35301619D01*
-X57200102Y-35381476D01*
-X57331054Y-35488946D01*
-X57438524Y-35619898D01*
-X57518381Y-35769300D01*
-X57567556Y-35931411D01*
-X57584161Y-36100000D01*
-X57567556Y-36268589D01*
-X57518381Y-36430700D01*
-X57438524Y-36580102D01*
-X57331054Y-36711054D01*
-X57200102Y-36818524D01*
-X57050700Y-36898381D01*
-X56888589Y-36947556D01*
-X56762246Y-36960000D01*
-X56677754Y-36960000D01*
-X56551411Y-36947556D01*
-X56389300Y-36898381D01*
-X56239898Y-36818524D01*
-X56108946Y-36711054D01*
-X56001476Y-36580102D01*
-X55921619Y-36430700D01*
-X55872444Y-36268589D01*
-X55855839Y-36100000D01*
-X55872444Y-35931411D01*
-X55921619Y-35769300D01*
-X56001476Y-35619898D01*
-X56108946Y-35488946D01*
-X56239898Y-35381476D01*
-X56389300Y-35301619D01*
-X56551411Y-35252444D01*
-X56677754Y-35240000D01*
-X56762246Y-35240000D01*
-X56888589Y-35252444D01*
-X56888589Y-35252444D01*
-G37*
-G36*
-X59428589Y-35252444D02*
-G01*
-X59590700Y-35301619D01*
-X59740102Y-35381476D01*
-X59871054Y-35488946D01*
-X59978524Y-35619898D01*
-X60058381Y-35769300D01*
-X60107556Y-35931411D01*
-X60124161Y-36100000D01*
-X60107556Y-36268589D01*
-X60058381Y-36430700D01*
-X59978524Y-36580102D01*
-X59871054Y-36711054D01*
-X59740102Y-36818524D01*
-X59590700Y-36898381D01*
-X59428589Y-36947556D01*
-X59302246Y-36960000D01*
-X59217754Y-36960000D01*
-X59091411Y-36947556D01*
-X58929300Y-36898381D01*
-X58779898Y-36818524D01*
-X58648946Y-36711054D01*
-X58541476Y-36580102D01*
-X58461619Y-36430700D01*
-X58412444Y-36268589D01*
-X58395839Y-36100000D01*
-X58412444Y-35931411D01*
-X58461619Y-35769300D01*
-X58541476Y-35619898D01*
-X58648946Y-35488946D01*
-X58779898Y-35381476D01*
-X58929300Y-35301619D01*
-X59091411Y-35252444D01*
-X59217754Y-35240000D01*
-X59302246Y-35240000D01*
-X59428589Y-35252444D01*
-X59428589Y-35252444D01*
-G37*
-G36*
-X22280000Y-36200000D02*
-G01*
-X20560000Y-36200000D01*
-X20560000Y-34480000D01*
-X22280000Y-34480000D01*
-X22280000Y-36200000D01*
-X22280000Y-36200000D01*
-G37*
-G36*
-X54410000Y-33810000D02*
-G01*
-X53390000Y-33810000D01*
-X53390000Y-32790000D01*
-X54410000Y-32790000D01*
-X54410000Y-33810000D01*
-X54410000Y-33810000D01*
-G37*
-G36*
-X26104976Y-32387380D02*
-G01*
-X26201112Y-32416542D01*
-X26289710Y-32463899D01*
-X26367368Y-32527631D01*
-X26431100Y-32605289D01*
-X26478457Y-32693887D01*
-X26507619Y-32790023D01*
-X26517466Y-32890000D01*
-X26507619Y-32989977D01*
-X26478457Y-33086113D01*
-X26431100Y-33174711D01*
-X26431098Y-33174714D01*
-X26431097Y-33174715D01*
-X26367368Y-33252369D01*
-X26347859Y-33268380D01*
-X26289710Y-33316101D01*
-X26201112Y-33363458D01*
-X26104976Y-33392620D01*
-X26030047Y-33400000D01*
-X24879951Y-33400000D01*
-X24805022Y-33392620D01*
-X24708886Y-33363458D01*
-X24620288Y-33316101D01*
-X24562140Y-33268380D01*
-X24542630Y-33252369D01*
-X24478901Y-33174715D01*
-X24478900Y-33174714D01*
-X24478898Y-33174711D01*
-X24431541Y-33086113D01*
-X24402379Y-32989977D01*
-X24392532Y-32890000D01*
-X24402379Y-32790023D01*
-X24431541Y-32693887D01*
-X24478898Y-32605289D01*
-X24542630Y-32527631D01*
-X24620288Y-32463899D01*
-X24708886Y-32416542D01*
-X24805022Y-32387380D01*
-X24879951Y-32380000D01*
-X26030047Y-32380000D01*
-X26104976Y-32387380D01*
-X26104976Y-32387380D01*
-G37*
-G36*
-X21674976Y-32387380D02*
-G01*
-X21771112Y-32416542D01*
-X21859710Y-32463899D01*
-X21937368Y-32527631D01*
-X22001100Y-32605289D01*
-X22048457Y-32693887D01*
-X22077619Y-32790023D01*
-X22087466Y-32890000D01*
-X22077619Y-32989977D01*
-X22048457Y-33086113D01*
-X22001100Y-33174711D01*
-X22001098Y-33174714D01*
-X22001097Y-33174715D01*
-X21937368Y-33252369D01*
-X21917859Y-33268380D01*
-X21859710Y-33316101D01*
-X21771112Y-33363458D01*
-X21674976Y-33392620D01*
-X21600047Y-33400000D01*
-X20949951Y-33400000D01*
-X20875022Y-33392620D01*
-X20778886Y-33363458D01*
-X20690288Y-33316101D01*
-X20632140Y-33268380D01*
-X20612630Y-33252369D01*
-X20548901Y-33174715D01*
-X20548900Y-33174714D01*
-X20548898Y-33174711D01*
-X20501541Y-33086113D01*
-X20472379Y-32989977D01*
-X20462532Y-32890000D01*
-X20472379Y-32790023D01*
-X20501541Y-32693887D01*
-X20548898Y-32605289D01*
-X20612630Y-32527631D01*
-X20690288Y-32463899D01*
-X20778886Y-32416542D01*
-X20875022Y-32387380D01*
-X20949951Y-32380000D01*
-X21600047Y-32380000D01*
-X21674976Y-32387380D01*
-X21674976Y-32387380D01*
-G37*
-G36*
-X59368589Y-31622444D02*
-G01*
-X59530700Y-31671619D01*
-X59680102Y-31751476D01*
-X59680105Y-31751478D01*
-X59680106Y-31751479D01*
-X59686681Y-31756875D01*
-X59811054Y-31858946D01*
-X59918524Y-31989898D01*
-X59998381Y-32139300D01*
-X60047556Y-32301411D01*
-X60064161Y-32470000D01*
-X60047556Y-32638589D01*
-X59998381Y-32800700D01*
-X59918524Y-32950102D01*
-X59918522Y-32950105D01*
-X59918521Y-32950106D01*
-X59811054Y-33081054D01*
-X59696929Y-33174715D01*
-X59680102Y-33188524D01*
-X59530700Y-33268381D01*
-X59368589Y-33317556D01*
-X59242246Y-33330000D01*
-X59157754Y-33330000D01*
-X59031411Y-33317556D01*
-X58869300Y-33268381D01*
-X58719898Y-33188524D01*
-X58703072Y-33174715D01*
-X58588946Y-33081054D01*
-X58481479Y-32950106D01*
-X58481478Y-32950105D01*
-X58481476Y-32950102D01*
-X58401619Y-32800700D01*
-X58352444Y-32638589D01*
-X58335839Y-32470000D01*
-X58352444Y-32301411D01*
-X58401619Y-32139300D01*
-X58481476Y-31989898D01*
-X58588946Y-31858946D01*
-X58713319Y-31756875D01*
-X58719894Y-31751479D01*
-X58719895Y-31751478D01*
-X58719898Y-31751476D01*
-X58869300Y-31671619D01*
-X59031411Y-31622444D01*
-X59157754Y-31610000D01*
-X59242246Y-31610000D01*
-X59368589Y-31622444D01*
-X59368589Y-31622444D01*
-G37*
-G36*
-X25022717Y-31137874D02*
-G01*
-X25083678Y-31163125D01*
-X25083681Y-31163127D01*
-X25138549Y-31199788D01*
-X25185211Y-31246450D01*
-X25221872Y-31301318D01*
-X25221874Y-31301321D01*
-X25247125Y-31362282D01*
-X25259999Y-31427005D01*
-X25259999Y-31492995D01*
-X25247125Y-31557718D01*
-X25221874Y-31618679D01*
-X25221872Y-31618682D01*
-X25185211Y-31673550D01*
-X25138549Y-31720212D01*
-X25091758Y-31751476D01*
-X25083678Y-31756875D01*
-X25022717Y-31782126D01*
-X24957994Y-31795000D01*
-X24892004Y-31795000D01*
-X24827281Y-31782126D01*
-X24766320Y-31756875D01*
-X24758240Y-31751476D01*
-X24711449Y-31720212D01*
-X24664787Y-31673550D01*
-X24628126Y-31618682D01*
-X24628124Y-31618679D01*
-X24602873Y-31557718D01*
-X24589999Y-31492995D01*
-X24589999Y-31427005D01*
-X24602873Y-31362282D01*
-X24628124Y-31301321D01*
-X24628126Y-31301318D01*
-X24664787Y-31246450D01*
-X24711449Y-31199788D01*
-X24766317Y-31163127D01*
-X24766320Y-31163125D01*
-X24827281Y-31137874D01*
-X24892004Y-31125000D01*
-X24957994Y-31125000D01*
-X25022717Y-31137874D01*
-X25022717Y-31137874D01*
-G37*
-G36*
-X59368589Y-29082444D02*
-G01*
-X59530700Y-29131619D01*
-X59680102Y-29211476D01*
-X59811054Y-29318946D01*
-X59918524Y-29449898D01*
-X59998381Y-29599300D01*
-X60047556Y-29761411D01*
-X60064161Y-29930000D01*
-X60047556Y-30098589D01*
-X59998381Y-30260700D01*
-X59918524Y-30410102D01*
-X59811054Y-30541054D01*
-X59680102Y-30648524D01*
-X59530700Y-30728381D01*
-X59368589Y-30777556D01*
-X59242246Y-30790000D01*
-X59157754Y-30790000D01*
-X59031411Y-30777556D01*
-X58869300Y-30728381D01*
-X58719898Y-30648524D01*
-X58588946Y-30541054D01*
-X58481476Y-30410102D01*
-X58401619Y-30260700D01*
-X58352444Y-30098589D01*
-X58335839Y-29930000D01*
-X58352444Y-29761411D01*
-X58401619Y-29599300D01*
-X58481476Y-29449898D01*
-X58588946Y-29318946D01*
-X58719898Y-29211476D01*
-X58869300Y-29131619D01*
-X59031411Y-29082444D01*
-X59157754Y-29070000D01*
-X59242246Y-29070000D01*
-X59368589Y-29082444D01*
-X59368589Y-29082444D01*
-G37*
-G36*
-X59368589Y-26542444D02*
-G01*
-X59530700Y-26591619D01*
-X59680102Y-26671476D01*
-X59811054Y-26778946D01*
-X59918524Y-26909898D01*
-X59998381Y-27059300D01*
-X60047556Y-27221411D01*
-X60064161Y-27390000D01*
-X60047556Y-27558589D01*
-X59998381Y-27720700D01*
-X59918524Y-27870102D01*
-X59811054Y-28001054D01*
-X59680102Y-28108524D01*
-X59530700Y-28188381D01*
-X59368589Y-28237556D01*
-X59242246Y-28250000D01*
-X59157754Y-28250000D01*
-X59031411Y-28237556D01*
-X58869300Y-28188381D01*
-X58719898Y-28108524D01*
-X58588946Y-28001054D01*
-X58481476Y-27870102D01*
-X58401619Y-27720700D01*
-X58352444Y-27558589D01*
-X58335839Y-27390000D01*
-X58352444Y-27221411D01*
-X58401619Y-27059300D01*
-X58481476Y-26909898D01*
-X58588946Y-26778946D01*
-X58719898Y-26671476D01*
-X58869300Y-26591619D01*
-X59031411Y-26542444D01*
-X59157754Y-26530000D01*
-X59242246Y-26530000D01*
-X59368589Y-26542444D01*
-X59368589Y-26542444D01*
-G37*
-G36*
-X25022717Y-25357874D02*
-G01*
-X25083678Y-25383125D01*
-X25083681Y-25383127D01*
-X25138549Y-25419788D01*
-X25185211Y-25466450D01*
-X25221872Y-25521318D01*
-X25221874Y-25521321D01*
-X25247125Y-25582282D01*
-X25259999Y-25647005D01*
-X25259999Y-25712995D01*
-X25247125Y-25777718D01*
-X25221874Y-25838679D01*
-X25221872Y-25838682D01*
-X25185211Y-25893550D01*
-X25138549Y-25940212D01*
-X25083681Y-25976873D01*
-X25083678Y-25976875D01*
-X25022717Y-26002126D01*
-X24957994Y-26015000D01*
-X24892004Y-26015000D01*
-X24827281Y-26002126D01*
-X24766320Y-25976875D01*
-X24766317Y-25976873D01*
-X24711449Y-25940212D01*
-X24664787Y-25893550D01*
-X24628126Y-25838682D01*
-X24628124Y-25838679D01*
-X24602873Y-25777718D01*
-X24589999Y-25712995D01*
-X24589999Y-25647005D01*
-X24602873Y-25582282D01*
-X24628124Y-25521321D01*
-X24628126Y-25521318D01*
-X24664787Y-25466450D01*
-X24711449Y-25419788D01*
-X24766317Y-25383127D01*
-X24766320Y-25383125D01*
-X24827281Y-25357874D01*
-X24892004Y-25345000D01*
-X24957994Y-25345000D01*
-X25022717Y-25357874D01*
-X25022717Y-25357874D01*
-G37*
-G36*
-X60060000Y-25710000D02*
-G01*
-X58340000Y-25710000D01*
-X58340000Y-23990000D01*
-X60060000Y-23990000D01*
-X60060000Y-25710000D01*
-X60060000Y-25710000D01*
-G37*
-G36*
-X26104976Y-23747380D02*
-G01*
-X26201112Y-23776542D01*
-X26289710Y-23823899D01*
-X26367368Y-23887631D01*
-X26431100Y-23965289D01*
-X26478457Y-24053887D01*
-X26507619Y-24150023D01*
-X26517466Y-24250000D01*
-X26507619Y-24349977D01*
-X26478457Y-24446113D01*
-X26431100Y-24534711D01*
-X26367368Y-24612369D01*
-X26289710Y-24676101D01*
-X26201112Y-24723458D01*
-X26104976Y-24752620D01*
-X26030047Y-24760000D01*
-X24879951Y-24760000D01*
-X24805022Y-24752620D01*
-X24708886Y-24723458D01*
-X24620288Y-24676101D01*
-X24542630Y-24612369D01*
-X24478898Y-24534711D01*
-X24431541Y-24446113D01*
-X24402379Y-24349977D01*
-X24392532Y-24250000D01*
-X24402379Y-24150023D01*
-X24431541Y-24053887D01*
-X24478898Y-23965289D01*
-X24542630Y-23887631D01*
-X24620288Y-23823899D01*
-X24708886Y-23776542D01*
-X24805022Y-23747380D01*
-X24879951Y-23740000D01*
-X26030047Y-23740000D01*
-X26104976Y-23747380D01*
-X26104976Y-23747380D01*
-G37*
-G36*
-X21674976Y-23747380D02*
-G01*
-X21771112Y-23776542D01*
-X21859710Y-23823899D01*
-X21937368Y-23887631D01*
-X22001100Y-23965289D01*
-X22048457Y-24053887D01*
-X22077619Y-24150023D01*
-X22087466Y-24250000D01*
-X22077619Y-24349977D01*
-X22048457Y-24446113D01*
-X22001100Y-24534711D01*
-X21937368Y-24612369D01*
-X21859710Y-24676101D01*
-X21771112Y-24723458D01*
-X21674976Y-24752620D01*
-X21600047Y-24760000D01*
-X20949951Y-24760000D01*
-X20875022Y-24752620D01*
-X20778886Y-24723458D01*
-X20690288Y-24676101D01*
-X20612630Y-24612369D01*
-X20548898Y-24534711D01*
-X20501541Y-24446113D01*
-X20472379Y-24349977D01*
-X20462532Y-24250000D01*
-X20472379Y-24150023D01*
-X20501541Y-24053887D01*
-X20548898Y-23965289D01*
-X20612630Y-23887631D01*
-X20690288Y-23823899D01*
-X20778886Y-23776542D01*
-X20875022Y-23747380D01*
-X20949951Y-23740000D01*
-X21600047Y-23740000D01*
-X21674976Y-23747380D01*
-X21674976Y-23747380D01*
-G37*
-G36*
-X22280000Y-22640000D02*
-G01*
-X20560000Y-22640000D01*
-X20560000Y-20920000D01*
-X22280000Y-20920000D01*
-X22280000Y-22640000D01*
-X22280000Y-22640000D01*
-G37*
-G36*
-X34028589Y-20252444D02*
-G01*
-X34190700Y-20301619D01*
-X34340102Y-20381476D01*
-X34471054Y-20488946D01*
-X34578524Y-20619898D01*
-X34658381Y-20769300D01*
-X34707556Y-20931411D01*
-X34724161Y-21100000D01*
-X34707556Y-21268589D01*
-X34658381Y-21430700D01*
-X34578524Y-21580102D01*
-X34471054Y-21711054D01*
-X34340102Y-21818524D01*
-X34190700Y-21898381D01*
-X34028589Y-21947556D01*
-X33902246Y-21960000D01*
-X33817754Y-21960000D01*
-X33691411Y-21947556D01*
-X33529300Y-21898381D01*
-X33379898Y-21818524D01*
-X33248946Y-21711054D01*
-X33141476Y-21580102D01*
-X33061619Y-21430700D01*
-X33012444Y-21268589D01*
-X32995839Y-21100000D01*
-X33012444Y-20931411D01*
-X33061619Y-20769300D01*
-X33141476Y-20619898D01*
-X33248946Y-20488946D01*
-X33379898Y-20381476D01*
-X33529300Y-20301619D01*
-X33691411Y-20252444D01*
-X33817754Y-20240000D01*
-X33902246Y-20240000D01*
-X34028589Y-20252444D01*
-X34028589Y-20252444D01*
-G37*
-G36*
-X41648589Y-20252444D02*
-G01*
-X41810700Y-20301619D01*
-X41960102Y-20381476D01*
-X42091054Y-20488946D01*
-X42198524Y-20619898D01*
-X42278381Y-20769300D01*
-X42327556Y-20931411D01*
-X42344161Y-21100000D01*
-X42327556Y-21268589D01*
-X42278381Y-21430700D01*
-X42198524Y-21580102D01*
-X42091054Y-21711054D01*
-X41960102Y-21818524D01*
-X41810700Y-21898381D01*
-X41648589Y-21947556D01*
-X41522246Y-21960000D01*
-X41437754Y-21960000D01*
-X41311411Y-21947556D01*
-X41149300Y-21898381D01*
-X40999898Y-21818524D01*
-X40868946Y-21711054D01*
-X40761476Y-21580102D01*
-X40681619Y-21430700D01*
-X40632444Y-21268589D01*
-X40615839Y-21100000D01*
-X40632444Y-20931411D01*
-X40681619Y-20769300D01*
-X40761476Y-20619898D01*
-X40868946Y-20488946D01*
-X40999898Y-20381476D01*
-X41149300Y-20301619D01*
-X41311411Y-20252444D01*
-X41437754Y-20240000D01*
-X41522246Y-20240000D01*
-X41648589Y-20252444D01*
-X41648589Y-20252444D01*
-G37*
-G36*
-X39108589Y-20252444D02*
-G01*
-X39270700Y-20301619D01*
-X39420102Y-20381476D01*
-X39551054Y-20488946D01*
-X39658524Y-20619898D01*
-X39738381Y-20769300D01*
-X39787556Y-20931411D01*
-X39804161Y-21100000D01*
-X39787556Y-21268589D01*
-X39738381Y-21430700D01*
-X39658524Y-21580102D01*
-X39551054Y-21711054D01*
-X39420102Y-21818524D01*
-X39270700Y-21898381D01*
-X39108589Y-21947556D01*
-X38982246Y-21960000D01*
-X38897754Y-21960000D01*
-X38771411Y-21947556D01*
-X38609300Y-21898381D01*
-X38459898Y-21818524D01*
-X38328946Y-21711054D01*
-X38221476Y-21580102D01*
-X38141619Y-21430700D01*
-X38092444Y-21268589D01*
-X38075839Y-21100000D01*
-X38092444Y-20931411D01*
-X38141619Y-20769300D01*
-X38221476Y-20619898D01*
-X38328946Y-20488946D01*
-X38459898Y-20381476D01*
-X38609300Y-20301619D01*
-X38771411Y-20252444D01*
-X38897754Y-20240000D01*
-X38982246Y-20240000D01*
-X39108589Y-20252444D01*
-X39108589Y-20252444D01*
-G37*
-G36*
-X36568589Y-20252444D02*
-G01*
-X36730700Y-20301619D01*
-X36880102Y-20381476D01*
-X37011054Y-20488946D01*
-X37118524Y-20619898D01*
-X37198381Y-20769300D01*
-X37247556Y-20931411D01*
-X37264161Y-21100000D01*
-X37247556Y-21268589D01*
-X37198381Y-21430700D01*
-X37118524Y-21580102D01*
-X37011054Y-21711054D01*
-X36880102Y-21818524D01*
-X36730700Y-21898381D01*
-X36568589Y-21947556D01*
-X36442246Y-21960000D01*
-X36357754Y-21960000D01*
-X36231411Y-21947556D01*
-X36069300Y-21898381D01*
-X35919898Y-21818524D01*
-X35788946Y-21711054D01*
-X35681476Y-21580102D01*
-X35601619Y-21430700D01*
-X35552444Y-21268589D01*
-X35535839Y-21100000D01*
-X35552444Y-20931411D01*
-X35601619Y-20769300D01*
-X35681476Y-20619898D01*
-X35788946Y-20488946D01*
-X35919898Y-20381476D01*
-X36069300Y-20301619D01*
-X36231411Y-20252444D01*
-X36357754Y-20240000D01*
-X36442246Y-20240000D01*
-X36568589Y-20252444D01*
-X36568589Y-20252444D01*
-G37*
-G36*
-X24560000Y-21960000D02*
-G01*
-X22840000Y-21960000D01*
-X22840000Y-20240000D01*
-X24560000Y-20240000D01*
-X24560000Y-21960000D01*
-X24560000Y-21960000D01*
-G37*
-G36*
-X26408589Y-20252444D02*
-G01*
-X26570700Y-20301619D01*
-X26720102Y-20381476D01*
-X26851054Y-20488946D01*
-X26958524Y-20619898D01*
-X27038381Y-20769300D01*
-X27087556Y-20931411D01*
-X27104161Y-21100000D01*
-X27087556Y-21268589D01*
-X27038381Y-21430700D01*
-X26958524Y-21580102D01*
-X26851054Y-21711054D01*
-X26720102Y-21818524D01*
-X26570700Y-21898381D01*
-X26408589Y-21947556D01*
-X26282246Y-21960000D01*
-X26197754Y-21960000D01*
-X26071411Y-21947556D01*
-X25909300Y-21898381D01*
-X25759898Y-21818524D01*
-X25628946Y-21711054D01*
-X25521476Y-21580102D01*
-X25441619Y-21430700D01*
-X25392444Y-21268589D01*
-X25375839Y-21100000D01*
-X25392444Y-20931411D01*
-X25441619Y-20769300D01*
-X25521476Y-20619898D01*
-X25628946Y-20488946D01*
-X25759898Y-20381476D01*
-X25909300Y-20301619D01*
-X26071411Y-20252444D01*
-X26197754Y-20240000D01*
-X26282246Y-20240000D01*
-X26408589Y-20252444D01*
-X26408589Y-20252444D01*
-G37*
-G36*
-X31488589Y-20252444D02*
-G01*
-X31650700Y-20301619D01*
-X31800102Y-20381476D01*
-X31931054Y-20488946D01*
-X32038524Y-20619898D01*
-X32118381Y-20769300D01*
-X32167556Y-20931411D01*
-X32184161Y-21100000D01*
-X32167556Y-21268589D01*
-X32118381Y-21430700D01*
-X32038524Y-21580102D01*
-X31931054Y-21711054D01*
-X31800102Y-21818524D01*
-X31650700Y-21898381D01*
-X31488589Y-21947556D01*
-X31362246Y-21960000D01*
-X31277754Y-21960000D01*
-X31151411Y-21947556D01*
-X30989300Y-21898381D01*
-X30839898Y-21818524D01*
-X30708946Y-21711054D01*
-X30601476Y-21580102D01*
-X30521619Y-21430700D01*
-X30472444Y-21268589D01*
-X30455839Y-21100000D01*
-X30472444Y-20931411D01*
-X30521619Y-20769300D01*
-X30601476Y-20619898D01*
-X30708946Y-20488946D01*
-X30839898Y-20381476D01*
-X30989300Y-20301619D01*
-X31151411Y-20252444D01*
-X31277754Y-20240000D01*
-X31362246Y-20240000D01*
-X31488589Y-20252444D01*
-X31488589Y-20252444D01*
-G37*
-G36*
-X44188589Y-20252444D02*
-G01*
-X44350700Y-20301619D01*
-X44500102Y-20381476D01*
-X44631054Y-20488946D01*
-X44738524Y-20619898D01*
-X44818381Y-20769300D01*
-X44867556Y-20931411D01*
-X44884161Y-21100000D01*
-X44867556Y-21268589D01*
-X44818381Y-21430700D01*
-X44738524Y-21580102D01*
-X44631054Y-21711054D01*
-X44500102Y-21818524D01*
-X44350700Y-21898381D01*
-X44188589Y-21947556D01*
-X44062246Y-21960000D01*
-X43977754Y-21960000D01*
-X43851411Y-21947556D01*
-X43689300Y-21898381D01*
-X43539898Y-21818524D01*
-X43408946Y-21711054D01*
-X43301476Y-21580102D01*
-X43221619Y-21430700D01*
-X43172444Y-21268589D01*
-X43155839Y-21100000D01*
-X43172444Y-20931411D01*
-X43221619Y-20769300D01*
-X43301476Y-20619898D01*
-X43408946Y-20488946D01*
-X43539898Y-20381476D01*
-X43689300Y-20301619D01*
-X43851411Y-20252444D01*
-X43977754Y-20240000D01*
-X44062246Y-20240000D01*
-X44188589Y-20252444D01*
-X44188589Y-20252444D01*
-G37*
-G36*
-X46728589Y-20252444D02*
-G01*
-X46890700Y-20301619D01*
-X47040102Y-20381476D01*
-X47171054Y-20488946D01*
-X47278524Y-20619898D01*
-X47358381Y-20769300D01*
-X47407556Y-20931411D01*
-X47424161Y-21100000D01*
-X47407556Y-21268589D01*
-X47358381Y-21430700D01*
-X47278524Y-21580102D01*
-X47171054Y-21711054D01*
-X47040102Y-21818524D01*
-X46890700Y-21898381D01*
-X46728589Y-21947556D01*
-X46602246Y-21960000D01*
-X46517754Y-21960000D01*
-X46391411Y-21947556D01*
-X46229300Y-21898381D01*
-X46079898Y-21818524D01*
-X45948946Y-21711054D01*
-X45841476Y-21580102D01*
-X45761619Y-21430700D01*
-X45712444Y-21268589D01*
-X45695839Y-21100000D01*
-X45712444Y-20931411D01*
-X45761619Y-20769300D01*
-X45841476Y-20619898D01*
-X45948946Y-20488946D01*
-X46079898Y-20381476D01*
-X46229300Y-20301619D01*
-X46391411Y-20252444D01*
-X46517754Y-20240000D01*
-X46602246Y-20240000D01*
-X46728589Y-20252444D01*
-X46728589Y-20252444D01*
-G37*
-G36*
-X49268589Y-20252444D02*
-G01*
-X49430700Y-20301619D01*
-X49580102Y-20381476D01*
-X49711054Y-20488946D01*
-X49818524Y-20619898D01*
-X49898381Y-20769300D01*
-X49947556Y-20931411D01*
-X49964161Y-21100000D01*
-X49947556Y-21268589D01*
-X49898381Y-21430700D01*
-X49818524Y-21580102D01*
-X49711054Y-21711054D01*
-X49580102Y-21818524D01*
-X49430700Y-21898381D01*
-X49268589Y-21947556D01*
-X49142246Y-21960000D01*
-X49057754Y-21960000D01*
-X48931411Y-21947556D01*
-X48769300Y-21898381D01*
-X48619898Y-21818524D01*
-X48488946Y-21711054D01*
-X48381476Y-21580102D01*
-X48301619Y-21430700D01*
-X48252444Y-21268589D01*
-X48235839Y-21100000D01*
-X48252444Y-20931411D01*
-X48301619Y-20769300D01*
-X48381476Y-20619898D01*
-X48488946Y-20488946D01*
-X48619898Y-20381476D01*
-X48769300Y-20301619D01*
-X48931411Y-20252444D01*
-X49057754Y-20240000D01*
-X49142246Y-20240000D01*
-X49268589Y-20252444D01*
-X49268589Y-20252444D01*
-G37*
-G36*
-X51808589Y-20252444D02*
-G01*
-X51970700Y-20301619D01*
-X52120102Y-20381476D01*
-X52251054Y-20488946D01*
-X52358524Y-20619898D01*
-X52438381Y-20769300D01*
-X52487556Y-20931411D01*
-X52504161Y-21100000D01*
-X52487556Y-21268589D01*
-X52438381Y-21430700D01*
-X52358524Y-21580102D01*
-X52251054Y-21711054D01*
-X52120102Y-21818524D01*
-X51970700Y-21898381D01*
-X51808589Y-21947556D01*
-X51682246Y-21960000D01*
-X51597754Y-21960000D01*
-X51471411Y-21947556D01*
-X51309300Y-21898381D01*
-X51159898Y-21818524D01*
-X51028946Y-21711054D01*
-X50921476Y-21580102D01*
-X50841619Y-21430700D01*
-X50792444Y-21268589D01*
-X50775839Y-21100000D01*
-X50792444Y-20931411D01*
-X50841619Y-20769300D01*
-X50921476Y-20619898D01*
-X51028946Y-20488946D01*
-X51159898Y-20381476D01*
-X51309300Y-20301619D01*
-X51471411Y-20252444D01*
-X51597754Y-20240000D01*
-X51682246Y-20240000D01*
-X51808589Y-20252444D01*
-X51808589Y-20252444D01*
-G37*
-G36*
-X54348589Y-20252444D02*
-G01*
-X54510700Y-20301619D01*
-X54660102Y-20381476D01*
-X54791054Y-20488946D01*
-X54898524Y-20619898D01*
-X54978381Y-20769300D01*
-X55027556Y-20931411D01*
-X55044161Y-21100000D01*
-X55027556Y-21268589D01*
-X54978381Y-21430700D01*
-X54898524Y-21580102D01*
-X54791054Y-21711054D01*
-X54660102Y-21818524D01*
-X54510700Y-21898381D01*
-X54348589Y-21947556D01*
-X54222246Y-21960000D01*
-X54137754Y-21960000D01*
-X54011411Y-21947556D01*
-X53849300Y-21898381D01*
-X53699898Y-21818524D01*
-X53568946Y-21711054D01*
-X53461476Y-21580102D01*
-X53381619Y-21430700D01*
-X53332444Y-21268589D01*
-X53315839Y-21100000D01*
-X53332444Y-20931411D01*
-X53381619Y-20769300D01*
-X53461476Y-20619898D01*
-X53568946Y-20488946D01*
-X53699898Y-20381476D01*
-X53849300Y-20301619D01*
-X54011411Y-20252444D01*
-X54137754Y-20240000D01*
-X54222246Y-20240000D01*
-X54348589Y-20252444D01*
-X54348589Y-20252444D01*
-G37*
-G36*
-X56888589Y-20252444D02*
-G01*
-X57050700Y-20301619D01*
-X57200102Y-20381476D01*
-X57331054Y-20488946D01*
-X57438524Y-20619898D01*
-X57518381Y-20769300D01*
-X57567556Y-20931411D01*
-X57584161Y-21100000D01*
-X57567556Y-21268589D01*
-X57518381Y-21430700D01*
-X57438524Y-21580102D01*
-X57331054Y-21711054D01*
-X57200102Y-21818524D01*
-X57050700Y-21898381D01*
-X56888589Y-21947556D01*
-X56762246Y-21960000D01*
-X56677754Y-21960000D01*
-X56551411Y-21947556D01*
-X56389300Y-21898381D01*
-X56239898Y-21818524D01*
-X56108946Y-21711054D01*
-X56001476Y-21580102D01*
-X55921619Y-21430700D01*
-X55872444Y-21268589D01*
-X55855839Y-21100000D01*
-X55872444Y-20931411D01*
-X55921619Y-20769300D01*
-X56001476Y-20619898D01*
-X56108946Y-20488946D01*
-X56239898Y-20381476D01*
-X56389300Y-20301619D01*
-X56551411Y-20252444D01*
-X56677754Y-20240000D01*
-X56762246Y-20240000D01*
-X56888589Y-20252444D01*
-X56888589Y-20252444D01*
-G37*
-G36*
-X59428589Y-20252444D02*
-G01*
-X59590700Y-20301619D01*
-X59740102Y-20381476D01*
-X59871054Y-20488946D01*
-X59978524Y-20619898D01*
-X60058381Y-20769300D01*
-X60107556Y-20931411D01*
-X60124161Y-21100000D01*
-X60107556Y-21268589D01*
-X60058381Y-21430700D01*
-X59978524Y-21580102D01*
-X59871054Y-21711054D01*
-X59740102Y-21818524D01*
-X59590700Y-21898381D01*
-X59428589Y-21947556D01*
-X59302246Y-21960000D01*
-X59217754Y-21960000D01*
-X59091411Y-21947556D01*
-X58929300Y-21898381D01*
-X58779898Y-21818524D01*
-X58648946Y-21711054D01*
-X58541476Y-21580102D01*
-X58461619Y-21430700D01*
-X58412444Y-21268589D01*
-X58395839Y-21100000D01*
-X58412444Y-20931411D01*
-X58461619Y-20769300D01*
-X58541476Y-20619898D01*
-X58648946Y-20488946D01*
-X58779898Y-20381476D01*
-X58929300Y-20301619D01*
-X59091411Y-20252444D01*
-X59217754Y-20240000D01*
-X59302246Y-20240000D01*
-X59428589Y-20252444D01*
-X59428589Y-20252444D01*
-G37*
-G36*
-X28948589Y-20252444D02*
-G01*
-X29110700Y-20301619D01*
-X29260102Y-20381476D01*
-X29391054Y-20488946D01*
-X29498524Y-20619898D01*
-X29578381Y-20769300D01*
-X29627556Y-20931411D01*
-X29644161Y-21100000D01*
-X29627556Y-21268589D01*
-X29578381Y-21430700D01*
-X29498524Y-21580102D01*
-X29391054Y-21711054D01*
-X29260102Y-21818524D01*
-X29110700Y-21898381D01*
-X28948589Y-21947556D01*
-X28822246Y-21960000D01*
-X28737754Y-21960000D01*
-X28611411Y-21947556D01*
-X28449300Y-21898381D01*
-X28299898Y-21818524D01*
-X28168946Y-21711054D01*
-X28061476Y-21580102D01*
-X27981619Y-21430700D01*
-X27932444Y-21268589D01*
-X27915839Y-21100000D01*
-X27932444Y-20931411D01*
-X27981619Y-20769300D01*
-X28061476Y-20619898D01*
-X28168946Y-20488946D01*
-X28299898Y-20381476D01*
-X28449300Y-20301619D01*
-X28611411Y-20252444D01*
-X28737754Y-20240000D01*
-X28822246Y-20240000D01*
-X28948589Y-20252444D01*
-X28948589Y-20252444D01*
-G37*
+X152700000Y-66000000D03*
+X152700000Y-68500000D03*
+X152700000Y-71000000D03*
+D12*
+X167200000Y-66000000D03*
+X167200000Y-71000000D03*
+D13*
+X160400000Y-75100000D03*
+X160400000Y-61900000D03*
+D14*
+X150200000Y-78500000D03*
+D15*
+X150200000Y-73420000D03*
+X150200000Y-83580000D03*
+D16*
+X145120000Y-75960000D03*
+X147660000Y-82310000D03*
+D11*
+X207700000Y-71000000D03*
+X207700000Y-68500000D03*
+X207700000Y-66000000D03*
+D12*
+X193200000Y-71000000D03*
+X193200000Y-66000000D03*
+D13*
+X200000000Y-61900000D03*
+X200000000Y-75100000D03*
+D15*
+X210200000Y-53420000D03*
+D14*
+X210200000Y-58500000D03*
+D15*
+X210200000Y-63580000D03*
+D16*
+X215280000Y-61040000D03*
+X212740000Y-54690000D03*
+D15*
+X150200000Y-63580000D03*
+X150200000Y-53420000D03*
+D14*
+X150200000Y-58500000D03*
+D16*
+X145120000Y-55960000D03*
+X147660000Y-62310000D03*
+D15*
+X190200000Y-53420000D03*
+D14*
+X190200000Y-58500000D03*
+D15*
+X190200000Y-63580000D03*
+D16*
+X195280000Y-61040000D03*
+X192740000Y-54690000D03*
+D15*
+X170200000Y-53420000D03*
+D14*
+X170200000Y-58500000D03*
+D15*
+X170200000Y-63580000D03*
+D16*
+X165120000Y-55960000D03*
+X167660000Y-62310000D03*
+D15*
+X190200000Y-73420000D03*
+D14*
+X190200000Y-78500000D03*
+D15*
+X190200000Y-83580000D03*
+D16*
+X195280000Y-81040000D03*
+X192740000Y-74690000D03*
+D14*
+X210200000Y-78500000D03*
+D15*
+X210200000Y-73420000D03*
+X210200000Y-83580000D03*
+D16*
+X215280000Y-81040000D03*
+X212740000Y-74690000D03*
+D17*
+X221200000Y-89500000D03*
+X139200000Y-47500000D03*
+X221200000Y-47500000D03*
+X139200000Y-89500000D03*
+D15*
+X170200000Y-73420000D03*
+X170200000Y-83580000D03*
+D14*
+X170200000Y-78500000D03*
+D16*
+X165120000Y-75960000D03*
+X167660000Y-82310000D03*
+D18*
+X187225000Y-69000000D03*
+X188975000Y-69000000D03*
+D19*
+X207100000Y-55150000D03*
+X204800000Y-55150000D03*
+D20*
+X204800000Y-48850000D03*
+D19*
+X202500000Y-55150000D03*
+D21*
+X189200000Y-46875000D03*
+X189200000Y-45125000D03*
+D22*
+X187162500Y-67200000D03*
+X189037500Y-67200000D03*
+D23*
+X191125000Y-45675000D03*
+X191125000Y-49825000D03*
+X193275000Y-45675000D03*
+X193275000Y-49825000D03*
+D24*
+X196450000Y-52812500D03*
+X196450000Y-54687500D03*
+D25*
+X187300000Y-47800000D03*
+D26*
+X174500000Y-72125000D03*
+X174500000Y-73875000D03*
+D21*
+X189200000Y-50500000D03*
+X189200000Y-48750000D03*
+D27*
+X201575000Y-46250000D03*
+X199825000Y-46250000D03*
+D28*
+X201637500Y-48250000D03*
+X199762500Y-48250000D03*
+D29*
+X214200000Y-71500000D03*
+D30*
+X214200000Y-68960000D03*
+X214200000Y-66420000D03*
+X214200000Y-63880000D03*
+D31*
+X198450000Y-52962500D03*
+X198450000Y-54537500D03*
+D18*
+X203325000Y-67500000D03*
+X205075000Y-67500000D03*
+D27*
+X205075000Y-69500000D03*
+X203325000Y-69500000D03*
+X205075000Y-65500000D03*
+X203325000Y-65500000D03*
+D18*
+X203325000Y-71500000D03*
+X205075000Y-71500000D03*
+D27*
+X201075000Y-69500000D03*
+X199325000Y-69500000D03*
+D32*
+X174150000Y-65500000D03*
+X172250000Y-65500000D03*
+X173200000Y-63500000D03*
+D33*
+X217700000Y-76050000D03*
+X217700000Y-77950000D03*
+X215700000Y-77000000D03*
+X143700000Y-69450000D03*
+X143700000Y-67550000D03*
+X145700000Y-68500000D03*
+X169700000Y-69350000D03*
+X169700000Y-67450000D03*
+X171700000Y-68400000D03*
+X196200000Y-67550000D03*
+X196200000Y-69450000D03*
+X194200000Y-68500000D03*
+D21*
+X182200000Y-54375000D03*
+X182200000Y-52625000D03*
+D18*
+X177700000Y-57250000D03*
+X179450000Y-57250000D03*
+D21*
+X175950000Y-55125000D03*
+X175950000Y-53375000D03*
+D18*
+X184125000Y-54400000D03*
+X185875000Y-54400000D03*
+D21*
+X187600000Y-55375000D03*
+X187600000Y-53625000D03*
+D26*
+X186900000Y-60625000D03*
+X186900000Y-62375000D03*
+X185200000Y-60625000D03*
+X185200000Y-62375000D03*
+D34*
+X183090000Y-49055000D03*
+X177310000Y-49055000D03*
+D35*
+X177000000Y-50500000D03*
+X177800000Y-50500000D03*
+D36*
+X178950000Y-50500000D03*
+X179950000Y-50500000D03*
+X180450000Y-50500000D03*
+X181450000Y-50500000D03*
+D35*
+X183400000Y-50500000D03*
+X182600000Y-50500000D03*
+D36*
+X181950000Y-50500000D03*
+X180950000Y-50500000D03*
+X179450000Y-50500000D03*
+X178450000Y-50500000D03*
+D37*
+X184520000Y-49585000D03*
+X175880000Y-49585000D03*
+D38*
+X184520000Y-45405000D03*
+X175880000Y-45405000D03*
+D39*
+X179900000Y-55350000D03*
+X178950000Y-55350000D03*
+X178000000Y-55350000D03*
+X178000000Y-53150000D03*
+X178950000Y-53150000D03*
+X179900000Y-53150000D03*
+D40*
+X179201212Y-73387876D03*
+X178847658Y-73034322D03*
+X178494105Y-72680769D03*
+X178140551Y-72327215D03*
+X177786998Y-71973662D03*
+X177433445Y-71620109D03*
+X177079891Y-71266555D03*
+X176726338Y-70913002D03*
+X176372785Y-70559449D03*
+X176019231Y-70205895D03*
+X175665678Y-69852342D03*
+X175312124Y-69498788D03*
+D41*
+X175312124Y-67501212D03*
+X175665678Y-67147658D03*
+X176019231Y-66794105D03*
+X176372785Y-66440551D03*
+X176726338Y-66086998D03*
+X177079891Y-65733445D03*
+X177433445Y-65379891D03*
+X177786998Y-65026338D03*
+X178140551Y-64672785D03*
+X178494105Y-64319231D03*
+X178847658Y-63965678D03*
+X179201212Y-63612124D03*
+D40*
+X181198788Y-63612124D03*
+X181552342Y-63965678D03*
+X181905895Y-64319231D03*
+X182259449Y-64672785D03*
+X182613002Y-65026338D03*
+X182966555Y-65379891D03*
+X183320109Y-65733445D03*
+X183673662Y-66086998D03*
+X184027215Y-66440551D03*
+X184380769Y-66794105D03*
+X184734322Y-67147658D03*
+X185087876Y-67501212D03*
+D41*
+X185087876Y-69498788D03*
+X184734322Y-69852342D03*
+X184380769Y-70205895D03*
+X184027215Y-70559449D03*
+X183673662Y-70913002D03*
+X183320109Y-71266555D03*
+X182966555Y-71620109D03*
+X182613002Y-71973662D03*
+X182259449Y-72327215D03*
+X181905895Y-72680769D03*
+X181552342Y-73034322D03*
+X181198788Y-73387876D03*
+D18*
+X199325000Y-67500000D03*
+X201075000Y-67500000D03*
+D42*
+X177660000Y-79250000D03*
+X182740000Y-86750000D03*
+X182740000Y-79250000D03*
+X177660000Y-86750000D03*
+X180200000Y-79250000D03*
+X180200000Y-86750000D03*
M02*
diff --git a/pcb/gerber/OtterPill-B_Paste.gbp b/pcb/gerber/OtterPill-B_Paste.gbp
index 8016cf6..03810d3 100644
--- a/pcb/gerber/OtterPill-B_Paste.gbp
+++ b/pcb/gerber/OtterPill-B_Paste.gbp
@@ -1,40 +1,259 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.4+dfsg1-1*
-G04 #@! TF.CreationDate,2019-11-16T02:43:21+01:00*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-4013-gfd874d834)*
+G04 #@! TF.CreationDate,2020-10-14T12:43:31+02:00*
G04 #@! TF.ProjectId,OtterPill,4f747465-7250-4696-9c6c-2e6b69636164,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.4+dfsg1-1) date 2019-11-16 02:43:21*
+G04 Created by KiCad (PCBNEW (5.99.0-4013-gfd874d834)) date 2020-10-14 12:43:31*
%MOMM*%
%LPD*%
+G01*
G04 APERTURE LIST*
+G04 Aperture macros list*
+%AMRoundRect*
+0 Rectangle with rounded corners*
+0 $1 Rounding radius*
+0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
+0 Add a 4 corners polygon primitive as box body*
+4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
+0 Add four circle primitives for the rounded corners*
+1,1,$1+$1,$2,$3,0*
+1,1,$1+$1,$4,$5,0*
+1,1,$1+$1,$6,$7,0*
+1,1,$1+$1,$8,$9,0*
+0 Add four rect primitives between the rounded corners*
+20,1,$1+$1,$2,$3,$4,$5,0*
+20,1,$1+$1,$4,$5,$6,$7,0*
+20,1,$1+$1,$6,$7,$8,$9,0*
+20,1,$1+$1,$8,$9,$2,$3,0*%
+G04 Aperture macros list end*
+G04 #@! TA.AperFunction,Profile*
%ADD10C,0.050000*%
+G04 #@! TD*
+%ADD11RoundRect,0.237500X-0.287500X-0.237500X0.287500X-0.237500X0.287500X0.237500X-0.287500X0.237500X0*%
+%ADD12R,1.500000X2.000000*%
+%ADD13R,3.800000X2.000000*%
+%ADD14RoundRect,0.237500X0.237500X-0.287500X0.237500X0.287500X-0.237500X0.287500X-0.237500X-0.287500X0*%
+%ADD15RoundRect,0.243750X-0.243750X-0.456250X0.243750X-0.456250X0.243750X0.456250X-0.243750X0.456250X0*%
+%ADD16R,0.650000X1.050000*%
+%ADD17RoundRect,0.243750X-0.456250X0.243750X-0.456250X-0.243750X0.456250X-0.243750X0.456250X0.243750X0*%
+%ADD18RoundRect,0.237500X-0.237500X0.287500X-0.237500X-0.287500X0.237500X-0.287500X0.237500X0.287500X0*%
+%ADD19RoundRect,0.237500X0.287500X0.237500X-0.287500X0.237500X-0.287500X-0.237500X0.287500X-0.237500X0*%
+%ADD20RoundRect,0.243750X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*%
+%ADD21RoundRect,0.218750X-0.256250X0.218750X-0.256250X-0.218750X0.256250X-0.218750X0.256250X0.218750X0*%
+%ADD22R,0.800000X0.900000*%
+%ADD23R,0.900000X0.800000*%
+%ADD24R,0.600000X1.450000*%
+%ADD25R,0.300000X1.450000*%
+%ADD26R,0.650000X1.060000*%
+%ADD27RoundRect,0.075000X-0.415425X-0.521491X0.521491X0.415425X0.415425X0.521491X-0.521491X-0.415425X0*%
+%ADD28RoundRect,0.075000X0.415425X-0.521491X0.521491X-0.415425X-0.415425X0.521491X-0.521491X0.415425X0*%
+%ADD29R,1.000000X3.000000*%
G04 APERTURE END LIST*
D10*
-X63200000Y-37100000D02*
+X225200000Y-48500000D02*
+X225200000Y-88500000D01*
+X140200000Y-93500000D02*
G75*
-G02X62900000Y-37400000I-300000J0D01*
+G02*
+X135200000Y-88500000I0J5000000D01*
G01*
-X62900000Y-19800000D02*
+X220200000Y-43500000D02*
G75*
-G02X63200000Y-20100000I0J-300000D01*
+G02*
+X225200000Y-48500000I0J-5000000D01*
G01*
-X20000000Y-20100000D02*
+X225200000Y-88500000D02*
G75*
-G02X20300000Y-19800000I300000J0D01*
+G02*
+X220200000Y-93500000I-5000000J0D01*
G01*
-X20300000Y-37400000D02*
+X140200000Y-43500000D02*
+X220200000Y-43500000D01*
+X135200000Y-48500000D02*
G75*
-G02X20000000Y-37100000I0J300000D01*
+G02*
+X140200000Y-43500000I5000000J0D01*
G01*
-X20000000Y-37100000D02*
-X20000000Y-20100000D01*
-X62900000Y-37400000D02*
-X20300000Y-37400000D01*
-X63200000Y-20100000D02*
-X63200000Y-37100000D01*
-X20300000Y-19800000D02*
-X62900000Y-19800000D01*
+X135200000Y-88500000D02*
+X135200000Y-48500000D01*
+X220200000Y-93500000D02*
+X140200000Y-93500000D01*
+D11*
+X187225000Y-69000000D03*
+X188975000Y-69000000D03*
+D12*
+X207100000Y-55150000D03*
+X204800000Y-55150000D03*
+D13*
+X204800000Y-48850000D03*
+D12*
+X202500000Y-55150000D03*
+D14*
+X189200000Y-46875000D03*
+X189200000Y-45125000D03*
+D15*
+X187162500Y-67200000D03*
+X189037500Y-67200000D03*
+D16*
+X191125000Y-45675000D03*
+X191125000Y-49825000D03*
+X193275000Y-45675000D03*
+X193275000Y-49825000D03*
+D17*
+X196450000Y-52812500D03*
+X196450000Y-54687500D03*
+D18*
+X174500000Y-72125000D03*
+X174500000Y-73875000D03*
+D14*
+X189200000Y-50500000D03*
+X189200000Y-48750000D03*
+D19*
+X201575000Y-46250000D03*
+X199825000Y-46250000D03*
+D20*
+X201637500Y-48250000D03*
+X199762500Y-48250000D03*
+D21*
+X198450000Y-52962500D03*
+X198450000Y-54537500D03*
+D11*
+X203325000Y-67500000D03*
+X205075000Y-67500000D03*
+D19*
+X205075000Y-69500000D03*
+X203325000Y-69500000D03*
+X205075000Y-65500000D03*
+X203325000Y-65500000D03*
+D11*
+X203325000Y-71500000D03*
+X205075000Y-71500000D03*
+D19*
+X201075000Y-69500000D03*
+X199325000Y-69500000D03*
+D22*
+X174150000Y-65500000D03*
+X172250000Y-65500000D03*
+X173200000Y-63500000D03*
+D23*
+X217700000Y-76050000D03*
+X217700000Y-77950000D03*
+X215700000Y-77000000D03*
+X143700000Y-69450000D03*
+X143700000Y-67550000D03*
+X145700000Y-68500000D03*
+X169700000Y-69350000D03*
+X169700000Y-67450000D03*
+X171700000Y-68400000D03*
+X196200000Y-67550000D03*
+X196200000Y-69450000D03*
+X194200000Y-68500000D03*
+D14*
+X182200000Y-54375000D03*
+X182200000Y-52625000D03*
+D11*
+X177700000Y-57250000D03*
+X179450000Y-57250000D03*
+D14*
+X175950000Y-55125000D03*
+X175950000Y-53375000D03*
+D11*
+X184125000Y-54400000D03*
+X185875000Y-54400000D03*
+D14*
+X187600000Y-55375000D03*
+X187600000Y-53625000D03*
+D18*
+X186900000Y-60625000D03*
+X186900000Y-62375000D03*
+X185200000Y-60625000D03*
+X185200000Y-62375000D03*
+D24*
+X177000000Y-50500000D03*
+X177800000Y-50500000D03*
+D25*
+X178950000Y-50500000D03*
+X179950000Y-50500000D03*
+X180450000Y-50500000D03*
+X181450000Y-50500000D03*
+D24*
+X183400000Y-50500000D03*
+X182600000Y-50500000D03*
+D25*
+X181950000Y-50500000D03*
+X180950000Y-50500000D03*
+X179450000Y-50500000D03*
+X178450000Y-50500000D03*
+D26*
+X179900000Y-55350000D03*
+X178950000Y-55350000D03*
+X178000000Y-55350000D03*
+X178000000Y-53150000D03*
+X178950000Y-53150000D03*
+X179900000Y-53150000D03*
+D27*
+X179201212Y-73387876D03*
+X178847658Y-73034322D03*
+X178494105Y-72680769D03*
+X178140551Y-72327215D03*
+X177786998Y-71973662D03*
+X177433445Y-71620109D03*
+X177079891Y-71266555D03*
+X176726338Y-70913002D03*
+X176372785Y-70559449D03*
+X176019231Y-70205895D03*
+X175665678Y-69852342D03*
+X175312124Y-69498788D03*
+D28*
+X175312124Y-67501212D03*
+X175665678Y-67147658D03*
+X176019231Y-66794105D03*
+X176372785Y-66440551D03*
+X176726338Y-66086998D03*
+X177079891Y-65733445D03*
+X177433445Y-65379891D03*
+X177786998Y-65026338D03*
+X178140551Y-64672785D03*
+X178494105Y-64319231D03*
+X178847658Y-63965678D03*
+X179201212Y-63612124D03*
+D27*
+X181198788Y-63612124D03*
+X181552342Y-63965678D03*
+X181905895Y-64319231D03*
+X182259449Y-64672785D03*
+X182613002Y-65026338D03*
+X182966555Y-65379891D03*
+X183320109Y-65733445D03*
+X183673662Y-66086998D03*
+X184027215Y-66440551D03*
+X184380769Y-66794105D03*
+X184734322Y-67147658D03*
+X185087876Y-67501212D03*
+D28*
+X185087876Y-69498788D03*
+X184734322Y-69852342D03*
+X184380769Y-70205895D03*
+X184027215Y-70559449D03*
+X183673662Y-70913002D03*
+X183320109Y-71266555D03*
+X182966555Y-71620109D03*
+X182613002Y-71973662D03*
+X182259449Y-72327215D03*
+X181905895Y-72680769D03*
+X181552342Y-73034322D03*
+X181198788Y-73387876D03*
+D11*
+X199325000Y-67500000D03*
+X201075000Y-67500000D03*
+D29*
+X177660000Y-79250000D03*
+X182740000Y-86750000D03*
+X182740000Y-79250000D03*
+X177660000Y-86750000D03*
+X180200000Y-79250000D03*
+X180200000Y-86750000D03*
M02*
diff --git a/pcb/gerber/OtterPill-B_SilkS.gbo b/pcb/gerber/OtterPill-B_SilkS.gbo
deleted file mode 100644
index 8173815..0000000
--- a/pcb/gerber/OtterPill-B_SilkS.gbo
+++ /dev/null
@@ -1,3196 +0,0 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.4+dfsg1-1*
-G04 #@! TF.CreationDate,2019-11-16T02:43:21+01:00*
-G04 #@! TF.ProjectId,OtterPill,4f747465-7250-4696-9c6c-2e6b69636164,rev?*
-G04 #@! TF.SameCoordinates,Original*
-G04 #@! TF.FileFunction,Legend,Bot*
-G04 #@! TF.FilePolarity,Positive*
-%FSLAX46Y46*%
-G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.4+dfsg1-1) date 2019-11-16 02:43:21*
-%MOMM*%
-%LPD*%
-G04 APERTURE LIST*
-%ADD10C,0.100000*%
-%ADD11C,0.300000*%
-%ADD12C,0.050000*%
-%ADD13C,0.120000*%
-%ADD14C,0.010000*%
-G04 APERTURE END LIST*
-D10*
-X21953333Y-33600000D02*
-X22020000Y-33566666D01*
-X22120000Y-33566666D01*
-X22220000Y-33600000D01*
-X22286666Y-33666666D01*
-X22320000Y-33733333D01*
-X22353333Y-33866666D01*
-X22353333Y-33966666D01*
-X22320000Y-34100000D01*
-X22286666Y-34166666D01*
-X22220000Y-34233333D01*
-X22120000Y-34266666D01*
-X22053333Y-34266666D01*
-X21953333Y-34233333D01*
-X21920000Y-34200000D01*
-X21920000Y-33966666D01*
-X22053333Y-33966666D01*
-X21620000Y-34266666D02*
-X21620000Y-33566666D01*
-X21220000Y-34266666D01*
-X21220000Y-33566666D01*
-X20886666Y-34266666D02*
-X20886666Y-33566666D01*
-X20720000Y-33566666D01*
-X20620000Y-33600000D01*
-X20553333Y-33666666D01*
-X20520000Y-33733333D01*
-X20486666Y-33866666D01*
-X20486666Y-33966666D01*
-X20520000Y-34100000D01*
-X20553333Y-34166666D01*
-X20620000Y-34233333D01*
-X20720000Y-34266666D01*
-X20886666Y-34266666D01*
-X20623333Y-23543333D02*
-X20856666Y-22843333D01*
-X21090000Y-23543333D01*
-X21323333Y-22843333D02*
-X21323333Y-23543333D01*
-X21656666Y-22843333D02*
-X21656666Y-23543333D01*
-X22056666Y-22843333D01*
-X22056666Y-23543333D01*
-X54792857Y-33073809D02*
-X54792857Y-33573809D01*
-X54911904Y-33573809D01*
-X54983333Y-33550000D01*
-X55030952Y-33502380D01*
-X55054761Y-33454761D01*
-X55078571Y-33359523D01*
-X55078571Y-33288095D01*
-X55054761Y-33192857D01*
-X55030952Y-33145238D01*
-X54983333Y-33097619D01*
-X54911904Y-33073809D01*
-X54792857Y-33073809D01*
-X55459523Y-33335714D02*
-X55292857Y-33335714D01*
-X55292857Y-33073809D02*
-X55292857Y-33573809D01*
-X55530952Y-33573809D01*
-X55721428Y-33573809D02*
-X55721428Y-33169047D01*
-X55745238Y-33121428D01*
-X55769047Y-33097619D01*
-X55816666Y-33073809D01*
-X55911904Y-33073809D01*
-X55959523Y-33097619D01*
-X55983333Y-33121428D01*
-X56007142Y-33169047D01*
-X56007142Y-33573809D01*
-D11*
-X45351904Y-32285238D02*
-X45732857Y-32285238D01*
-X45923333Y-32190000D01*
-X46113809Y-31999523D01*
-X46209047Y-31618571D01*
-X46209047Y-30951904D01*
-X46113809Y-30570952D01*
-X45923333Y-30380476D01*
-X45732857Y-30285238D01*
-X45351904Y-30285238D01*
-X45161428Y-30380476D01*
-X44970952Y-30570952D01*
-X44875714Y-30951904D01*
-X44875714Y-31618571D01*
-X44970952Y-31999523D01*
-X45161428Y-32190000D01*
-X45351904Y-32285238D01*
-X46780476Y-31618571D02*
-X47542380Y-31618571D01*
-X47066190Y-32285238D02*
-X47066190Y-30570952D01*
-X47161428Y-30380476D01*
-X47351904Y-30285238D01*
-X47542380Y-30285238D01*
-X47923333Y-31618571D02*
-X48685238Y-31618571D01*
-X48209047Y-32285238D02*
-X48209047Y-30570952D01*
-X48304285Y-30380476D01*
-X48494761Y-30285238D01*
-X48685238Y-30285238D01*
-X50113809Y-30380476D02*
-X49923333Y-30285238D01*
-X49542380Y-30285238D01*
-X49351904Y-30380476D01*
-X49256666Y-30570952D01*
-X49256666Y-31332857D01*
-X49351904Y-31523333D01*
-X49542380Y-31618571D01*
-X49923333Y-31618571D01*
-X50113809Y-31523333D01*
-X50209047Y-31332857D01*
-X50209047Y-31142380D01*
-X49256666Y-30951904D01*
-X51066190Y-30285238D02*
-X51066190Y-31618571D01*
-X51066190Y-31237619D02*
-X51161428Y-31428095D01*
-X51256666Y-31523333D01*
-X51447142Y-31618571D01*
-X51637619Y-31618571D01*
-X52304285Y-31618571D02*
-X52304285Y-29618571D01*
-X52304285Y-31523333D02*
-X52494761Y-31618571D01*
-X52875714Y-31618571D01*
-X53066190Y-31523333D01*
-X53161428Y-31428095D01*
-X53256666Y-31237619D01*
-X53256666Y-30666190D01*
-X53161428Y-30475714D01*
-X53066190Y-30380476D01*
-X52875714Y-30285238D01*
-X52494761Y-30285238D01*
-X52304285Y-30380476D01*
-X54113809Y-30285238D02*
-X54113809Y-31618571D01*
-X54113809Y-32285238D02*
-X54018571Y-32190000D01*
-X54113809Y-32094761D01*
-X54209047Y-32190000D01*
-X54113809Y-32285238D01*
-X54113809Y-32094761D01*
-X55351904Y-30285238D02*
-X55161428Y-30380476D01*
-X55066190Y-30570952D01*
-X55066190Y-32285238D01*
-X56399523Y-30285238D02*
-X56209047Y-30380476D01*
-X56113809Y-30570952D01*
-X56113809Y-32285238D01*
-D10*
-X45173333Y-28983333D02*
-X45173333Y-28483333D01*
-X45140000Y-28383333D01*
-X45073333Y-28316666D01*
-X44973333Y-28283333D01*
-X44906666Y-28283333D01*
-X45806666Y-28283333D02*
-X45806666Y-28650000D01*
-X45773333Y-28716666D01*
-X45706666Y-28750000D01*
-X45573333Y-28750000D01*
-X45506666Y-28716666D01*
-X45806666Y-28316666D02*
-X45740000Y-28283333D01*
-X45573333Y-28283333D01*
-X45506666Y-28316666D01*
-X45473333Y-28383333D01*
-X45473333Y-28450000D01*
-X45506666Y-28516666D01*
-X45573333Y-28550000D01*
-X45740000Y-28550000D01*
-X45806666Y-28583333D01*
-X46140000Y-28750000D02*
-X46140000Y-28283333D01*
-X46140000Y-28683333D02*
-X46173333Y-28716666D01*
-X46240000Y-28750000D01*
-X46340000Y-28750000D01*
-X46406666Y-28716666D01*
-X46440000Y-28650000D01*
-X46440000Y-28283333D01*
-X47306666Y-28283333D02*
-X47306666Y-28983333D01*
-X47306666Y-28650000D02*
-X47706666Y-28650000D01*
-X47706666Y-28283333D02*
-X47706666Y-28983333D01*
-X48306666Y-28316666D02*
-X48240000Y-28283333D01*
-X48106666Y-28283333D01*
-X48040000Y-28316666D01*
-X48006666Y-28383333D01*
-X48006666Y-28650000D01*
-X48040000Y-28716666D01*
-X48106666Y-28750000D01*
-X48240000Y-28750000D01*
-X48306666Y-28716666D01*
-X48340000Y-28650000D01*
-X48340000Y-28583333D01*
-X48006666Y-28516666D01*
-X48640000Y-28750000D02*
-X48640000Y-28283333D01*
-X48640000Y-28683333D02*
-X48673333Y-28716666D01*
-X48740000Y-28750000D01*
-X48840000Y-28750000D01*
-X48906666Y-28716666D01*
-X48940000Y-28650000D01*
-X48940000Y-28283333D01*
-X49273333Y-28283333D02*
-X49273333Y-28750000D01*
-X49273333Y-28616666D02*
-X49306666Y-28683333D01*
-X49340000Y-28716666D01*
-X49406666Y-28750000D01*
-X49473333Y-28750000D01*
-X49706666Y-28283333D02*
-X49706666Y-28750000D01*
-X49706666Y-28983333D02*
-X49673333Y-28950000D01*
-X49706666Y-28916666D01*
-X49740000Y-28950000D01*
-X49706666Y-28983333D01*
-X49706666Y-28916666D01*
-X50040000Y-28283333D02*
-X50040000Y-28983333D01*
-X50106666Y-28550000D02*
-X50306666Y-28283333D01*
-X50306666Y-28750000D02*
-X50040000Y-28483333D01*
-X51106666Y-28916666D02*
-X51140000Y-28950000D01*
-X51206666Y-28983333D01*
-X51373333Y-28983333D01*
-X51440000Y-28950000D01*
-X51473333Y-28916666D01*
-X51506666Y-28850000D01*
-X51506666Y-28783333D01*
-X51473333Y-28683333D01*
-X51073333Y-28283333D01*
-X51506666Y-28283333D01*
-X51940000Y-28983333D02*
-X52006666Y-28983333D01*
-X52073333Y-28950000D01*
-X52106666Y-28916666D01*
-X52140000Y-28850000D01*
-X52173333Y-28716666D01*
-X52173333Y-28550000D01*
-X52140000Y-28416666D01*
-X52106666Y-28350000D01*
-X52073333Y-28316666D01*
-X52006666Y-28283333D01*
-X51940000Y-28283333D01*
-X51873333Y-28316666D01*
-X51840000Y-28350000D01*
-X51806666Y-28416666D01*
-X51773333Y-28550000D01*
-X51773333Y-28716666D01*
-X51806666Y-28850000D01*
-X51840000Y-28916666D01*
-X51873333Y-28950000D01*
-X51940000Y-28983333D01*
-X52840000Y-28283333D02*
-X52440000Y-28283333D01*
-X52640000Y-28283333D02*
-X52640000Y-28983333D01*
-X52573333Y-28883333D01*
-X52506666Y-28816666D01*
-X52440000Y-28783333D01*
-X53173333Y-28283333D02*
-X53306666Y-28283333D01*
-X53373333Y-28316666D01*
-X53406666Y-28350000D01*
-X53473333Y-28450000D01*
-X53506666Y-28583333D01*
-X53506666Y-28850000D01*
-X53473333Y-28916666D01*
-X53440000Y-28950000D01*
-X53373333Y-28983333D01*
-X53240000Y-28983333D01*
-X53173333Y-28950000D01*
-X53140000Y-28916666D01*
-X53106666Y-28850000D01*
-X53106666Y-28683333D01*
-X53140000Y-28616666D01*
-X53173333Y-28583333D01*
-X53240000Y-28550000D01*
-X53373333Y-28550000D01*
-X53440000Y-28583333D01*
-X53473333Y-28616666D01*
-X53506666Y-28683333D01*
-X54273333Y-28750000D02*
-X54440000Y-28283333D01*
-X54606666Y-28750000D01*
-X55240000Y-28283333D02*
-X54840000Y-28283333D01*
-X55040000Y-28283333D02*
-X55040000Y-28983333D01*
-X54973333Y-28883333D01*
-X54906666Y-28816666D01*
-X54840000Y-28783333D01*
-X55540000Y-28350000D02*
-X55573333Y-28316666D01*
-X55540000Y-28283333D01*
-X55506666Y-28316666D01*
-X55540000Y-28350000D01*
-X55540000Y-28283333D01*
-X55840000Y-28916666D02*
-X55873333Y-28950000D01*
-X55940000Y-28983333D01*
-X56106666Y-28983333D01*
-X56173333Y-28950000D01*
-X56206666Y-28916666D01*
-X56240000Y-28850000D01*
-X56240000Y-28783333D01*
-X56206666Y-28683333D01*
-X55806666Y-28283333D01*
-X56240000Y-28283333D01*
-X60286666Y-24003333D02*
-X60286666Y-24436666D01*
-X60553333Y-24203333D01*
-X60553333Y-24303333D01*
-X60586666Y-24370000D01*
-X60620000Y-24403333D01*
-X60686666Y-24436666D01*
-X60853333Y-24436666D01*
-X60920000Y-24403333D01*
-X60953333Y-24370000D01*
-X60986666Y-24303333D01*
-X60986666Y-24103333D01*
-X60953333Y-24036666D01*
-X60920000Y-24003333D01*
-X60286666Y-24636666D02*
-X60986666Y-24870000D01*
-X60286666Y-25103333D01*
-X60286666Y-25270000D02*
-X60286666Y-25703333D01*
-X60553333Y-25470000D01*
-X60553333Y-25570000D01*
-X60586666Y-25636666D01*
-X60620000Y-25670000D01*
-X60686666Y-25703333D01*
-X60853333Y-25703333D01*
-X60920000Y-25670000D01*
-X60953333Y-25636666D01*
-X60986666Y-25570000D01*
-X60986666Y-25370000D01*
-X60953333Y-25303333D01*
-X60920000Y-25270000D01*
-X60986666Y-26703333D02*
-X60286666Y-26703333D01*
-X60286666Y-26870000D01*
-X60320000Y-26970000D01*
-X60386666Y-27036666D01*
-X60453333Y-27070000D01*
-X60586666Y-27103333D01*
-X60686666Y-27103333D01*
-X60820000Y-27070000D01*
-X60886666Y-27036666D01*
-X60953333Y-26970000D01*
-X60986666Y-26870000D01*
-X60986666Y-26703333D01*
-X60986666Y-27403333D02*
-X60286666Y-27403333D01*
-X60286666Y-27870000D02*
-X60286666Y-28003333D01*
-X60320000Y-28070000D01*
-X60386666Y-28136666D01*
-X60520000Y-28170000D01*
-X60753333Y-28170000D01*
-X60886666Y-28136666D01*
-X60953333Y-28070000D01*
-X60986666Y-28003333D01*
-X60986666Y-27870000D01*
-X60953333Y-27803333D01*
-X60886666Y-27736666D01*
-X60753333Y-27703333D01*
-X60520000Y-27703333D01*
-X60386666Y-27736666D01*
-X60320000Y-27803333D01*
-X60286666Y-27870000D01*
-X60920000Y-29503333D02*
-X60953333Y-29470000D01*
-X60986666Y-29370000D01*
-X60986666Y-29303333D01*
-X60953333Y-29203333D01*
-X60886666Y-29136666D01*
-X60820000Y-29103333D01*
-X60686666Y-29070000D01*
-X60586666Y-29070000D01*
-X60453333Y-29103333D01*
-X60386666Y-29136666D01*
-X60320000Y-29203333D01*
-X60286666Y-29303333D01*
-X60286666Y-29370000D01*
-X60320000Y-29470000D01*
-X60353333Y-29503333D01*
-X60986666Y-30136666D02*
-X60986666Y-29803333D01*
-X60286666Y-29803333D01*
-X60986666Y-30370000D02*
-X60286666Y-30370000D01*
-X60986666Y-30770000D02*
-X60586666Y-30470000D01*
-X60286666Y-30770000D02*
-X60686666Y-30370000D01*
-X60345000Y-31986666D02*
-X60311666Y-31920000D01*
-X60311666Y-31820000D01*
-X60345000Y-31720000D01*
-X60411666Y-31653333D01*
-X60478333Y-31620000D01*
-X60611666Y-31586666D01*
-X60711666Y-31586666D01*
-X60845000Y-31620000D01*
-X60911666Y-31653333D01*
-X60978333Y-31720000D01*
-X61011666Y-31820000D01*
-X61011666Y-31886666D01*
-X60978333Y-31986666D01*
-X60945000Y-32020000D01*
-X60711666Y-32020000D01*
-X60711666Y-31886666D01*
-X61011666Y-32320000D02*
-X60311666Y-32320000D01*
-X61011666Y-32720000D01*
-X60311666Y-32720000D01*
-X61011666Y-33053333D02*
-X60311666Y-33053333D01*
-X60311666Y-33220000D01*
-X60345000Y-33320000D01*
-X60411666Y-33386666D01*
-X60478333Y-33420000D01*
-X60611666Y-33453333D01*
-X60711666Y-33453333D01*
-X60845000Y-33420000D01*
-X60911666Y-33386666D01*
-X60978333Y-33320000D01*
-X61011666Y-33220000D01*
-X61011666Y-33053333D01*
-X51191666Y-22850000D02*
-X51125000Y-22883333D01*
-X51025000Y-22883333D01*
-X50925000Y-22850000D01*
-X50858333Y-22783333D01*
-X50825000Y-22716666D01*
-X50791666Y-22583333D01*
-X50791666Y-22483333D01*
-X50825000Y-22350000D01*
-X50858333Y-22283333D01*
-X50925000Y-22216666D01*
-X51025000Y-22183333D01*
-X51091666Y-22183333D01*
-X51191666Y-22216666D01*
-X51225000Y-22250000D01*
-X51225000Y-22483333D01*
-X51091666Y-22483333D01*
-X51525000Y-22183333D02*
-X51525000Y-22883333D01*
-X51925000Y-22183333D01*
-X51925000Y-22883333D01*
-X52258333Y-22183333D02*
-X52258333Y-22883333D01*
-X52425000Y-22883333D01*
-X52525000Y-22850000D01*
-X52591666Y-22783333D01*
-X52625000Y-22716666D01*
-X52658333Y-22583333D01*
-X52658333Y-22483333D01*
-X52625000Y-22350000D01*
-X52591666Y-22283333D01*
-X52525000Y-22216666D01*
-X52425000Y-22183333D01*
-X52258333Y-22183333D01*
-X28008333Y-22183333D02*
-X28008333Y-22883333D01*
-X28275000Y-22883333D01*
-X28341666Y-22850000D01*
-X28375000Y-22816666D01*
-X28408333Y-22750000D01*
-X28408333Y-22650000D01*
-X28375000Y-22583333D01*
-X28341666Y-22550000D01*
-X28275000Y-22516666D01*
-X28008333Y-22516666D01*
-X28941666Y-22550000D02*
-X29041666Y-22516666D01*
-X29075000Y-22483333D01*
-X29108333Y-22416666D01*
-X29108333Y-22316666D01*
-X29075000Y-22250000D01*
-X29041666Y-22216666D01*
-X28975000Y-22183333D01*
-X28708333Y-22183333D01*
-X28708333Y-22883333D01*
-X28941666Y-22883333D01*
-X29008333Y-22850000D01*
-X29041666Y-22816666D01*
-X29075000Y-22750000D01*
-X29075000Y-22683333D01*
-X29041666Y-22616666D01*
-X29008333Y-22583333D01*
-X28941666Y-22550000D01*
-X28708333Y-22550000D01*
-X29541666Y-22883333D02*
-X29608333Y-22883333D01*
-X29675000Y-22850000D01*
-X29708333Y-22816666D01*
-X29741666Y-22750000D01*
-X29775000Y-22616666D01*
-X29775000Y-22450000D01*
-X29741666Y-22316666D01*
-X29708333Y-22250000D01*
-X29675000Y-22216666D01*
-X29608333Y-22183333D01*
-X29541666Y-22183333D01*
-X29475000Y-22216666D01*
-X29441666Y-22250000D01*
-X29408333Y-22316666D01*
-X29375000Y-22450000D01*
-X29375000Y-22616666D01*
-X29408333Y-22750000D01*
-X29441666Y-22816666D01*
-X29475000Y-22850000D01*
-X29541666Y-22883333D01*
-X53408333Y-22183333D02*
-X53408333Y-22883333D01*
-X53675000Y-22883333D01*
-X53741666Y-22850000D01*
-X53775000Y-22816666D01*
-X53808333Y-22750000D01*
-X53808333Y-22650000D01*
-X53775000Y-22583333D01*
-X53741666Y-22550000D01*
-X53675000Y-22516666D01*
-X53408333Y-22516666D01*
-X54341666Y-22550000D02*
-X54441666Y-22516666D01*
-X54475000Y-22483333D01*
-X54508333Y-22416666D01*
-X54508333Y-22316666D01*
-X54475000Y-22250000D01*
-X54441666Y-22216666D01*
-X54375000Y-22183333D01*
-X54108333Y-22183333D01*
-X54108333Y-22883333D01*
-X54341666Y-22883333D01*
-X54408333Y-22850000D01*
-X54441666Y-22816666D01*
-X54475000Y-22750000D01*
-X54475000Y-22683333D01*
-X54441666Y-22616666D01*
-X54408333Y-22583333D01*
-X54341666Y-22550000D01*
-X54108333Y-22550000D01*
-X54841666Y-22183333D02*
-X54975000Y-22183333D01*
-X55041666Y-22216666D01*
-X55075000Y-22250000D01*
-X55141666Y-22350000D01*
-X55175000Y-22483333D01*
-X55175000Y-22750000D01*
-X55141666Y-22816666D01*
-X55108333Y-22850000D01*
-X55041666Y-22883333D01*
-X54908333Y-22883333D01*
-X54841666Y-22850000D01*
-X54808333Y-22816666D01*
-X54775000Y-22750000D01*
-X54775000Y-22583333D01*
-X54808333Y-22516666D01*
-X54841666Y-22483333D01*
-X54908333Y-22450000D01*
-X55041666Y-22450000D01*
-X55108333Y-22483333D01*
-X55141666Y-22516666D01*
-X55175000Y-22583333D01*
-X30200000Y-22233333D02*
-X30200000Y-22933333D01*
-X30466666Y-22933333D01*
-X30533333Y-22900000D01*
-X30566666Y-22866666D01*
-X30600000Y-22800000D01*
-X30600000Y-22700000D01*
-X30566666Y-22633333D01*
-X30533333Y-22600000D01*
-X30466666Y-22566666D01*
-X30200000Y-22566666D01*
-X31133333Y-22600000D02*
-X31233333Y-22566666D01*
-X31266666Y-22533333D01*
-X31300000Y-22466666D01*
-X31300000Y-22366666D01*
-X31266666Y-22300000D01*
-X31233333Y-22266666D01*
-X31166666Y-22233333D01*
-X30900000Y-22233333D01*
-X30900000Y-22933333D01*
-X31133333Y-22933333D01*
-X31200000Y-22900000D01*
-X31233333Y-22866666D01*
-X31266666Y-22800000D01*
-X31266666Y-22733333D01*
-X31233333Y-22666666D01*
-X31200000Y-22633333D01*
-X31133333Y-22600000D01*
-X30900000Y-22600000D01*
-X31966666Y-22233333D02*
-X31566666Y-22233333D01*
-X31766666Y-22233333D02*
-X31766666Y-22933333D01*
-X31700000Y-22833333D01*
-X31633333Y-22766666D01*
-X31566666Y-22733333D01*
-X32233333Y-22866666D02*
-X32266666Y-22900000D01*
-X32333333Y-22933333D01*
-X32500000Y-22933333D01*
-X32566666Y-22900000D01*
-X32600000Y-22866666D01*
-X32633333Y-22800000D01*
-X32633333Y-22733333D01*
-X32600000Y-22633333D01*
-X32200000Y-22233333D01*
-X32633333Y-22233333D01*
-X35658333Y-22133333D02*
-X35658333Y-22833333D01*
-X35925000Y-22833333D01*
-X35991666Y-22800000D01*
-X36025000Y-22766666D01*
-X36058333Y-22700000D01*
-X36058333Y-22600000D01*
-X36025000Y-22533333D01*
-X35991666Y-22500000D01*
-X35925000Y-22466666D01*
-X35658333Y-22466666D01*
-X36325000Y-22333333D02*
-X36658333Y-22333333D01*
-X36258333Y-22133333D02*
-X36491666Y-22833333D01*
-X36725000Y-22133333D01*
-X37258333Y-22833333D02*
-X37125000Y-22833333D01*
-X37058333Y-22800000D01*
-X37025000Y-22766666D01*
-X36958333Y-22666666D01*
-X36925000Y-22533333D01*
-X36925000Y-22266666D01*
-X36958333Y-22200000D01*
-X36991666Y-22166666D01*
-X37058333Y-22133333D01*
-X37191666Y-22133333D01*
-X37258333Y-22166666D01*
-X37291666Y-22200000D01*
-X37325000Y-22266666D01*
-X37325000Y-22433333D01*
-X37291666Y-22500000D01*
-X37258333Y-22533333D01*
-X37191666Y-22566666D01*
-X37058333Y-22566666D01*
-X36991666Y-22533333D01*
-X36958333Y-22500000D01*
-X36925000Y-22433333D01*
-X55675000Y-22183333D02*
-X55675000Y-22883333D01*
-X55941666Y-22883333D01*
-X56008333Y-22850000D01*
-X56041666Y-22816666D01*
-X56075000Y-22750000D01*
-X56075000Y-22650000D01*
-X56041666Y-22583333D01*
-X56008333Y-22550000D01*
-X55941666Y-22516666D01*
-X55675000Y-22516666D01*
-X56341666Y-22383333D02*
-X56675000Y-22383333D01*
-X56275000Y-22183333D02*
-X56508333Y-22883333D01*
-X56741666Y-22183333D01*
-X57341666Y-22183333D02*
-X56941666Y-22183333D01*
-X57141666Y-22183333D02*
-X57141666Y-22883333D01*
-X57075000Y-22783333D01*
-X57008333Y-22716666D01*
-X56941666Y-22683333D01*
-X57775000Y-22883333D02*
-X57841666Y-22883333D01*
-X57908333Y-22850000D01*
-X57941666Y-22816666D01*
-X57975000Y-22750000D01*
-X58008333Y-22616666D01*
-X58008333Y-22450000D01*
-X57975000Y-22316666D01*
-X57941666Y-22250000D01*
-X57908333Y-22216666D01*
-X57841666Y-22183333D01*
-X57775000Y-22183333D01*
-X57708333Y-22216666D01*
-X57675000Y-22250000D01*
-X57641666Y-22316666D01*
-X57608333Y-22450000D01*
-X57608333Y-22616666D01*
-X57641666Y-22750000D01*
-X57675000Y-22816666D01*
-X57708333Y-22850000D01*
-X57775000Y-22883333D01*
-X48383333Y-22183333D02*
-X48383333Y-22883333D01*
-X48650000Y-22883333D01*
-X48716666Y-22850000D01*
-X48750000Y-22816666D01*
-X48783333Y-22750000D01*
-X48783333Y-22650000D01*
-X48750000Y-22583333D01*
-X48716666Y-22550000D01*
-X48650000Y-22516666D01*
-X48383333Y-22516666D01*
-X49050000Y-22383333D02*
-X49383333Y-22383333D01*
-X48983333Y-22183333D02*
-X49216666Y-22883333D01*
-X49450000Y-22183333D01*
-X50050000Y-22183333D02*
-X49650000Y-22183333D01*
-X49850000Y-22183333D02*
-X49850000Y-22883333D01*
-X49783333Y-22783333D01*
-X49716666Y-22716666D01*
-X49650000Y-22683333D01*
-X38208333Y-22183333D02*
-X38208333Y-22883333D01*
-X38475000Y-22883333D01*
-X38541666Y-22850000D01*
-X38575000Y-22816666D01*
-X38608333Y-22750000D01*
-X38608333Y-22650000D01*
-X38575000Y-22583333D01*
-X38541666Y-22550000D01*
-X38475000Y-22516666D01*
-X38208333Y-22516666D01*
-X38875000Y-22383333D02*
-X39208333Y-22383333D01*
-X38808333Y-22183333D02*
-X39041666Y-22883333D01*
-X39275000Y-22183333D01*
-X39841666Y-22883333D02*
-X39508333Y-22883333D01*
-X39475000Y-22550000D01*
-X39508333Y-22583333D01*
-X39575000Y-22616666D01*
-X39741666Y-22616666D01*
-X39808333Y-22583333D01*
-X39841666Y-22550000D01*
-X39875000Y-22483333D01*
-X39875000Y-22316666D01*
-X39841666Y-22250000D01*
-X39808333Y-22216666D01*
-X39741666Y-22183333D01*
-X39575000Y-22183333D01*
-X39508333Y-22216666D01*
-X39475000Y-22250000D01*
-X22575000Y-22183333D02*
-X22575000Y-22883333D01*
-X22841666Y-22883333D01*
-X22908333Y-22850000D01*
-X22941666Y-22816666D01*
-X22975000Y-22750000D01*
-X22975000Y-22650000D01*
-X22941666Y-22583333D01*
-X22908333Y-22550000D01*
-X22841666Y-22516666D01*
-X22575000Y-22516666D01*
-X23508333Y-22550000D02*
-X23608333Y-22516666D01*
-X23641666Y-22483333D01*
-X23675000Y-22416666D01*
-X23675000Y-22316666D01*
-X23641666Y-22250000D01*
-X23608333Y-22216666D01*
-X23541666Y-22183333D01*
-X23275000Y-22183333D01*
-X23275000Y-22883333D01*
-X23508333Y-22883333D01*
-X23575000Y-22850000D01*
-X23608333Y-22816666D01*
-X23641666Y-22750000D01*
-X23641666Y-22683333D01*
-X23608333Y-22616666D01*
-X23575000Y-22583333D01*
-X23508333Y-22550000D01*
-X23275000Y-22550000D01*
-X24341666Y-22183333D02*
-X23941666Y-22183333D01*
-X24141666Y-22183333D02*
-X24141666Y-22883333D01*
-X24075000Y-22783333D01*
-X24008333Y-22716666D01*
-X23941666Y-22683333D01*
-X24941666Y-22650000D02*
-X24941666Y-22183333D01*
-X24775000Y-22916666D02*
-X24608333Y-22416666D01*
-X25041666Y-22416666D01*
-X43258333Y-22183333D02*
-X43258333Y-22883333D01*
-X43525000Y-22883333D01*
-X43591666Y-22850000D01*
-X43625000Y-22816666D01*
-X43658333Y-22750000D01*
-X43658333Y-22650000D01*
-X43625000Y-22583333D01*
-X43591666Y-22550000D01*
-X43525000Y-22516666D01*
-X43258333Y-22516666D01*
-X43925000Y-22383333D02*
-X44258333Y-22383333D01*
-X43858333Y-22183333D02*
-X44091666Y-22883333D01*
-X44325000Y-22183333D01*
-X44491666Y-22883333D02*
-X44925000Y-22883333D01*
-X44691666Y-22616666D01*
-X44791666Y-22616666D01*
-X44858333Y-22583333D01*
-X44891666Y-22550000D01*
-X44925000Y-22483333D01*
-X44925000Y-22316666D01*
-X44891666Y-22250000D01*
-X44858333Y-22216666D01*
-X44791666Y-22183333D01*
-X44591666Y-22183333D01*
-X44525000Y-22216666D01*
-X44491666Y-22250000D01*
-X58508333Y-22183333D02*
-X58508333Y-22883333D01*
-X58775000Y-22883333D01*
-X58841666Y-22850000D01*
-X58875000Y-22816666D01*
-X58908333Y-22750000D01*
-X58908333Y-22650000D01*
-X58875000Y-22583333D01*
-X58841666Y-22550000D01*
-X58775000Y-22516666D01*
-X58508333Y-22516666D01*
-X59175000Y-22383333D02*
-X59508333Y-22383333D01*
-X59108333Y-22183333D02*
-X59341666Y-22883333D01*
-X59575000Y-22183333D01*
-X59841666Y-22183333D02*
-X59975000Y-22183333D01*
-X60041666Y-22216666D01*
-X60075000Y-22250000D01*
-X60141666Y-22350000D01*
-X60175000Y-22483333D01*
-X60175000Y-22750000D01*
-X60141666Y-22816666D01*
-X60108333Y-22850000D01*
-X60041666Y-22883333D01*
-X59908333Y-22883333D01*
-X59841666Y-22850000D01*
-X59808333Y-22816666D01*
-X59775000Y-22750000D01*
-X59775000Y-22583333D01*
-X59808333Y-22516666D01*
-X59841666Y-22483333D01*
-X59908333Y-22450000D01*
-X60041666Y-22450000D01*
-X60108333Y-22483333D01*
-X60141666Y-22516666D01*
-X60175000Y-22583333D01*
-X25125000Y-22183333D02*
-X25125000Y-22883333D01*
-X25391666Y-22883333D01*
-X25458333Y-22850000D01*
-X25491666Y-22816666D01*
-X25525000Y-22750000D01*
-X25525000Y-22650000D01*
-X25491666Y-22583333D01*
-X25458333Y-22550000D01*
-X25391666Y-22516666D01*
-X25125000Y-22516666D01*
-X26058333Y-22550000D02*
-X26158333Y-22516666D01*
-X26191666Y-22483333D01*
-X26225000Y-22416666D01*
-X26225000Y-22316666D01*
-X26191666Y-22250000D01*
-X26158333Y-22216666D01*
-X26091666Y-22183333D01*
-X25825000Y-22183333D01*
-X25825000Y-22883333D01*
-X26058333Y-22883333D01*
-X26125000Y-22850000D01*
-X26158333Y-22816666D01*
-X26191666Y-22750000D01*
-X26191666Y-22683333D01*
-X26158333Y-22616666D01*
-X26125000Y-22583333D01*
-X26058333Y-22550000D01*
-X25825000Y-22550000D01*
-X26891666Y-22183333D02*
-X26491666Y-22183333D01*
-X26691666Y-22183333D02*
-X26691666Y-22883333D01*
-X26625000Y-22783333D01*
-X26558333Y-22716666D01*
-X26491666Y-22683333D01*
-X27525000Y-22883333D02*
-X27191666Y-22883333D01*
-X27158333Y-22550000D01*
-X27191666Y-22583333D01*
-X27258333Y-22616666D01*
-X27425000Y-22616666D01*
-X27491666Y-22583333D01*
-X27525000Y-22550000D01*
-X27558333Y-22483333D01*
-X27558333Y-22316666D01*
-X27525000Y-22250000D01*
-X27491666Y-22216666D01*
-X27425000Y-22183333D01*
-X27258333Y-22183333D01*
-X27191666Y-22216666D01*
-X27158333Y-22250000D01*
-X40733333Y-22183333D02*
-X40733333Y-22883333D01*
-X41000000Y-22883333D01*
-X41066666Y-22850000D01*
-X41100000Y-22816666D01*
-X41133333Y-22750000D01*
-X41133333Y-22650000D01*
-X41100000Y-22583333D01*
-X41066666Y-22550000D01*
-X41000000Y-22516666D01*
-X40733333Y-22516666D01*
-X41400000Y-22383333D02*
-X41733333Y-22383333D01*
-X41333333Y-22183333D02*
-X41566666Y-22883333D01*
-X41800000Y-22183333D01*
-X42333333Y-22650000D02*
-X42333333Y-22183333D01*
-X42166666Y-22916666D02*
-X42000000Y-22416666D01*
-X42433333Y-22416666D01*
-X33083333Y-22183333D02*
-X33083333Y-22883333D01*
-X33350000Y-22883333D01*
-X33416666Y-22850000D01*
-X33450000Y-22816666D01*
-X33483333Y-22750000D01*
-X33483333Y-22650000D01*
-X33450000Y-22583333D01*
-X33416666Y-22550000D01*
-X33350000Y-22516666D01*
-X33083333Y-22516666D01*
-X33750000Y-22383333D02*
-X34083333Y-22383333D01*
-X33683333Y-22183333D02*
-X33916666Y-22883333D01*
-X34150000Y-22183333D01*
-X34316666Y-22883333D02*
-X34783333Y-22883333D01*
-X34483333Y-22183333D01*
-X45783333Y-22183333D02*
-X45783333Y-22883333D01*
-X46050000Y-22883333D01*
-X46116666Y-22850000D01*
-X46150000Y-22816666D01*
-X46183333Y-22750000D01*
-X46183333Y-22650000D01*
-X46150000Y-22583333D01*
-X46116666Y-22550000D01*
-X46050000Y-22516666D01*
-X45783333Y-22516666D01*
-X46450000Y-22383333D02*
-X46783333Y-22383333D01*
-X46383333Y-22183333D02*
-X46616666Y-22883333D01*
-X46850000Y-22183333D01*
-X47050000Y-22816666D02*
-X47083333Y-22850000D01*
-X47150000Y-22883333D01*
-X47316666Y-22883333D01*
-X47383333Y-22850000D01*
-X47416666Y-22816666D01*
-X47450000Y-22750000D01*
-X47450000Y-22683333D01*
-X47416666Y-22583333D01*
-X47016666Y-22183333D01*
-X47450000Y-22183333D01*
-X42341666Y-35041666D02*
-X42341666Y-34341666D01*
-X42075000Y-34341666D01*
-X42008333Y-34375000D01*
-X41975000Y-34408333D01*
-X41941666Y-34475000D01*
-X41941666Y-34575000D01*
-X41975000Y-34641666D01*
-X42008333Y-34675000D01*
-X42075000Y-34708333D01*
-X42341666Y-34708333D01*
-X41408333Y-34675000D02*
-X41308333Y-34708333D01*
-X41275000Y-34741666D01*
-X41241666Y-34808333D01*
-X41241666Y-34908333D01*
-X41275000Y-34975000D01*
-X41308333Y-35008333D01*
-X41375000Y-35041666D01*
-X41641666Y-35041666D01*
-X41641666Y-34341666D01*
-X41408333Y-34341666D01*
-X41341666Y-34375000D01*
-X41308333Y-34408333D01*
-X41275000Y-34475000D01*
-X41275000Y-34541666D01*
-X41308333Y-34608333D01*
-X41341666Y-34641666D01*
-X41408333Y-34675000D01*
-X41641666Y-34675000D01*
-X41008333Y-34341666D02*
-X40541666Y-34341666D01*
-X40841666Y-35041666D01*
-X57258333Y-34375000D02*
-X57325000Y-34341666D01*
-X57425000Y-34341666D01*
-X57525000Y-34375000D01*
-X57591666Y-34441666D01*
-X57625000Y-34508333D01*
-X57658333Y-34641666D01*
-X57658333Y-34741666D01*
-X57625000Y-34875000D01*
-X57591666Y-34941666D01*
-X57525000Y-35008333D01*
-X57425000Y-35041666D01*
-X57358333Y-35041666D01*
-X57258333Y-35008333D01*
-X57225000Y-34975000D01*
-X57225000Y-34741666D01*
-X57358333Y-34741666D01*
-X56925000Y-35041666D02*
-X56925000Y-34341666D01*
-X56525000Y-35041666D01*
-X56525000Y-34341666D01*
-X56191666Y-35041666D02*
-X56191666Y-34341666D01*
-X56025000Y-34341666D01*
-X55925000Y-34375000D01*
-X55858333Y-34441666D01*
-X55825000Y-34508333D01*
-X55791666Y-34641666D01*
-X55791666Y-34741666D01*
-X55825000Y-34875000D01*
-X55858333Y-34941666D01*
-X55925000Y-35008333D01*
-X56025000Y-35041666D01*
-X56191666Y-35041666D01*
-X32141666Y-35041666D02*
-X32141666Y-34341666D01*
-X31875000Y-34341666D01*
-X31808333Y-34375000D01*
-X31775000Y-34408333D01*
-X31741666Y-34475000D01*
-X31741666Y-34575000D01*
-X31775000Y-34641666D01*
-X31808333Y-34675000D01*
-X31875000Y-34708333D01*
-X32141666Y-34708333D01*
-X31208333Y-34675000D02*
-X31108333Y-34708333D01*
-X31075000Y-34741666D01*
-X31041666Y-34808333D01*
-X31041666Y-34908333D01*
-X31075000Y-34975000D01*
-X31108333Y-35008333D01*
-X31175000Y-35041666D01*
-X31441666Y-35041666D01*
-X31441666Y-34341666D01*
-X31208333Y-34341666D01*
-X31141666Y-34375000D01*
-X31108333Y-34408333D01*
-X31075000Y-34475000D01*
-X31075000Y-34541666D01*
-X31108333Y-34608333D01*
-X31141666Y-34641666D01*
-X31208333Y-34675000D01*
-X31441666Y-34675000D01*
-X30375000Y-35041666D02*
-X30775000Y-35041666D01*
-X30575000Y-35041666D02*
-X30575000Y-34341666D01*
-X30641666Y-34441666D01*
-X30708333Y-34508333D01*
-X30775000Y-34541666D01*
-X29591666Y-35041666D02*
-X29591666Y-34341666D01*
-X29325000Y-34341666D01*
-X29258333Y-34375000D01*
-X29225000Y-34408333D01*
-X29191666Y-34475000D01*
-X29191666Y-34575000D01*
-X29225000Y-34641666D01*
-X29258333Y-34675000D01*
-X29325000Y-34708333D01*
-X29591666Y-34708333D01*
-X28925000Y-34841666D02*
-X28591666Y-34841666D01*
-X28991666Y-35041666D02*
-X28758333Y-34341666D01*
-X28525000Y-35041666D01*
-X28191666Y-34641666D02*
-X28258333Y-34608333D01*
-X28291666Y-34575000D01*
-X28325000Y-34508333D01*
-X28325000Y-34475000D01*
-X28291666Y-34408333D01*
-X28258333Y-34375000D01*
-X28191666Y-34341666D01*
-X28058333Y-34341666D01*
-X27991666Y-34375000D01*
-X27958333Y-34408333D01*
-X27925000Y-34475000D01*
-X27925000Y-34508333D01*
-X27958333Y-34575000D01*
-X27991666Y-34608333D01*
-X28058333Y-34641666D01*
-X28191666Y-34641666D01*
-X28258333Y-34675000D01*
-X28291666Y-34708333D01*
-X28325000Y-34775000D01*
-X28325000Y-34908333D01*
-X28291666Y-34975000D01*
-X28258333Y-35008333D01*
-X28191666Y-35041666D01*
-X28058333Y-35041666D01*
-X27991666Y-35008333D01*
-X27958333Y-34975000D01*
-X27925000Y-34908333D01*
-X27925000Y-34775000D01*
-X27958333Y-34708333D01*
-X27991666Y-34675000D01*
-X28058333Y-34641666D01*
-X47391666Y-35041666D02*
-X47391666Y-34341666D01*
-X47125000Y-34341666D01*
-X47058333Y-34375000D01*
-X47025000Y-34408333D01*
-X46991666Y-34475000D01*
-X46991666Y-34575000D01*
-X47025000Y-34641666D01*
-X47058333Y-34675000D01*
-X47125000Y-34708333D01*
-X47391666Y-34708333D01*
-X46458333Y-34675000D02*
-X46358333Y-34708333D01*
-X46325000Y-34741666D01*
-X46291666Y-34808333D01*
-X46291666Y-34908333D01*
-X46325000Y-34975000D01*
-X46358333Y-35008333D01*
-X46425000Y-35041666D01*
-X46691666Y-35041666D01*
-X46691666Y-34341666D01*
-X46458333Y-34341666D01*
-X46391666Y-34375000D01*
-X46358333Y-34408333D01*
-X46325000Y-34475000D01*
-X46325000Y-34541666D01*
-X46358333Y-34608333D01*
-X46391666Y-34641666D01*
-X46458333Y-34675000D01*
-X46691666Y-34675000D01*
-X46058333Y-34341666D02*
-X45625000Y-34341666D01*
-X45858333Y-34608333D01*
-X45758333Y-34608333D01*
-X45691666Y-34641666D01*
-X45658333Y-34675000D01*
-X45625000Y-34741666D01*
-X45625000Y-34908333D01*
-X45658333Y-34975000D01*
-X45691666Y-35008333D01*
-X45758333Y-35041666D01*
-X45958333Y-35041666D01*
-X46025000Y-35008333D01*
-X46058333Y-34975000D01*
-X52491666Y-34341666D02*
-X52058333Y-34341666D01*
-X52291666Y-34608333D01*
-X52191666Y-34608333D01*
-X52125000Y-34641666D01*
-X52091666Y-34675000D01*
-X52058333Y-34741666D01*
-X52058333Y-34908333D01*
-X52091666Y-34975000D01*
-X52125000Y-35008333D01*
-X52191666Y-35041666D01*
-X52391666Y-35041666D01*
-X52458333Y-35008333D01*
-X52491666Y-34975000D01*
-X51858333Y-34341666D02*
-X51625000Y-35041666D01*
-X51391666Y-34341666D01*
-X51225000Y-34341666D02*
-X50791666Y-34341666D01*
-X51025000Y-34608333D01*
-X50925000Y-34608333D01*
-X50858333Y-34641666D01*
-X50825000Y-34675000D01*
-X50791666Y-34741666D01*
-X50791666Y-34908333D01*
-X50825000Y-34975000D01*
-X50858333Y-35008333D01*
-X50925000Y-35041666D01*
-X51125000Y-35041666D01*
-X51191666Y-35008333D01*
-X51225000Y-34975000D01*
-X55041666Y-35041666D02*
-X55041666Y-34341666D01*
-X54775000Y-34341666D01*
-X54708333Y-34375000D01*
-X54675000Y-34408333D01*
-X54641666Y-34475000D01*
-X54641666Y-34575000D01*
-X54675000Y-34641666D01*
-X54708333Y-34675000D01*
-X54775000Y-34708333D01*
-X55041666Y-34708333D01*
-X54108333Y-34675000D02*
-X54008333Y-34708333D01*
-X53975000Y-34741666D01*
-X53941666Y-34808333D01*
-X53941666Y-34908333D01*
-X53975000Y-34975000D01*
-X54008333Y-35008333D01*
-X54075000Y-35041666D01*
-X54341666Y-35041666D01*
-X54341666Y-34341666D01*
-X54108333Y-34341666D01*
-X54041666Y-34375000D01*
-X54008333Y-34408333D01*
-X53975000Y-34475000D01*
-X53975000Y-34541666D01*
-X54008333Y-34608333D01*
-X54041666Y-34641666D01*
-X54108333Y-34675000D01*
-X54341666Y-34675000D01*
-X53308333Y-34341666D02*
-X53641666Y-34341666D01*
-X53675000Y-34675000D01*
-X53641666Y-34641666D01*
-X53575000Y-34608333D01*
-X53408333Y-34608333D01*
-X53341666Y-34641666D01*
-X53308333Y-34675000D01*
-X53275000Y-34741666D01*
-X53275000Y-34908333D01*
-X53308333Y-34975000D01*
-X53341666Y-35008333D01*
-X53408333Y-35041666D01*
-X53575000Y-35041666D01*
-X53641666Y-35008333D01*
-X53675000Y-34975000D01*
-X49941666Y-35041666D02*
-X49941666Y-34341666D01*
-X49675000Y-34341666D01*
-X49608333Y-34375000D01*
-X49575000Y-34408333D01*
-X49541666Y-34475000D01*
-X49541666Y-34575000D01*
-X49575000Y-34641666D01*
-X49608333Y-34675000D01*
-X49675000Y-34708333D01*
-X49941666Y-34708333D01*
-X49008333Y-34675000D02*
-X48908333Y-34708333D01*
-X48875000Y-34741666D01*
-X48841666Y-34808333D01*
-X48841666Y-34908333D01*
-X48875000Y-34975000D01*
-X48908333Y-35008333D01*
-X48975000Y-35041666D01*
-X49241666Y-35041666D01*
-X49241666Y-34341666D01*
-X49008333Y-34341666D01*
-X48941666Y-34375000D01*
-X48908333Y-34408333D01*
-X48875000Y-34475000D01*
-X48875000Y-34541666D01*
-X48908333Y-34608333D01*
-X48941666Y-34641666D01*
-X49008333Y-34675000D01*
-X49241666Y-34675000D01*
-X48241666Y-34575000D02*
-X48241666Y-35041666D01*
-X48408333Y-34308333D02*
-X48575000Y-34808333D01*
-X48141666Y-34808333D01*
-X37575000Y-35041666D02*
-X37575000Y-34341666D01*
-X37308333Y-34341666D01*
-X37241666Y-34375000D01*
-X37208333Y-34408333D01*
-X37175000Y-34475000D01*
-X37175000Y-34575000D01*
-X37208333Y-34641666D01*
-X37241666Y-34675000D01*
-X37308333Y-34708333D01*
-X37575000Y-34708333D01*
-X36908333Y-34841666D02*
-X36575000Y-34841666D01*
-X36975000Y-35041666D02*
-X36741666Y-34341666D01*
-X36508333Y-35041666D01*
-X35908333Y-35041666D02*
-X36308333Y-35041666D01*
-X36108333Y-35041666D02*
-X36108333Y-34341666D01*
-X36175000Y-34441666D01*
-X36241666Y-34508333D01*
-X36308333Y-34541666D01*
-X35275000Y-34341666D02*
-X35608333Y-34341666D01*
-X35641666Y-34675000D01*
-X35608333Y-34641666D01*
-X35541666Y-34608333D01*
-X35375000Y-34608333D01*
-X35308333Y-34641666D01*
-X35275000Y-34675000D01*
-X35241666Y-34741666D01*
-X35241666Y-34908333D01*
-X35275000Y-34975000D01*
-X35308333Y-35008333D01*
-X35375000Y-35041666D01*
-X35541666Y-35041666D01*
-X35608333Y-35008333D01*
-X35641666Y-34975000D01*
-X39791666Y-35041666D02*
-X39791666Y-34341666D01*
-X39525000Y-34341666D01*
-X39458333Y-34375000D01*
-X39425000Y-34408333D01*
-X39391666Y-34475000D01*
-X39391666Y-34575000D01*
-X39425000Y-34641666D01*
-X39458333Y-34675000D01*
-X39525000Y-34708333D01*
-X39791666Y-34708333D01*
-X38858333Y-34675000D02*
-X38758333Y-34708333D01*
-X38725000Y-34741666D01*
-X38691666Y-34808333D01*
-X38691666Y-34908333D01*
-X38725000Y-34975000D01*
-X38758333Y-35008333D01*
-X38825000Y-35041666D01*
-X39091666Y-35041666D01*
-X39091666Y-34341666D01*
-X38858333Y-34341666D01*
-X38791666Y-34375000D01*
-X38758333Y-34408333D01*
-X38725000Y-34475000D01*
-X38725000Y-34541666D01*
-X38758333Y-34608333D01*
-X38791666Y-34641666D01*
-X38858333Y-34675000D01*
-X39091666Y-34675000D01*
-X38425000Y-34408333D02*
-X38391666Y-34375000D01*
-X38325000Y-34341666D01*
-X38158333Y-34341666D01*
-X38091666Y-34375000D01*
-X38058333Y-34408333D01*
-X38025000Y-34475000D01*
-X38025000Y-34541666D01*
-X38058333Y-34641666D01*
-X38458333Y-35041666D01*
-X38025000Y-35041666D01*
-X60041666Y-34341666D02*
-X59808333Y-35041666D01*
-X59575000Y-34341666D01*
-X59341666Y-35041666D02*
-X59341666Y-34341666D01*
-X59008333Y-35041666D02*
-X59008333Y-34341666D01*
-X58608333Y-35041666D01*
-X58608333Y-34341666D01*
-X34691666Y-35041666D02*
-X34691666Y-34341666D01*
-X34425000Y-34341666D01*
-X34358333Y-34375000D01*
-X34325000Y-34408333D01*
-X34291666Y-34475000D01*
-X34291666Y-34575000D01*
-X34325000Y-34641666D01*
-X34358333Y-34675000D01*
-X34425000Y-34708333D01*
-X34691666Y-34708333D01*
-X33758333Y-34675000D02*
-X33658333Y-34708333D01*
-X33625000Y-34741666D01*
-X33591666Y-34808333D01*
-X33591666Y-34908333D01*
-X33625000Y-34975000D01*
-X33658333Y-35008333D01*
-X33725000Y-35041666D01*
-X33991666Y-35041666D01*
-X33991666Y-34341666D01*
-X33758333Y-34341666D01*
-X33691666Y-34375000D01*
-X33658333Y-34408333D01*
-X33625000Y-34475000D01*
-X33625000Y-34541666D01*
-X33658333Y-34608333D01*
-X33691666Y-34641666D01*
-X33758333Y-34675000D01*
-X33991666Y-34675000D01*
-X33191666Y-34641666D02*
-X33258333Y-34608333D01*
-X33291666Y-34575000D01*
-X33325000Y-34508333D01*
-X33325000Y-34475000D01*
-X33291666Y-34408333D01*
-X33258333Y-34375000D01*
-X33191666Y-34341666D01*
-X33058333Y-34341666D01*
-X32991666Y-34375000D01*
-X32958333Y-34408333D01*
-X32925000Y-34475000D01*
-X32925000Y-34508333D01*
-X32958333Y-34575000D01*
-X32991666Y-34608333D01*
-X33058333Y-34641666D01*
-X33191666Y-34641666D01*
-X33258333Y-34675000D01*
-X33291666Y-34708333D01*
-X33325000Y-34775000D01*
-X33325000Y-34908333D01*
-X33291666Y-34975000D01*
-X33258333Y-35008333D01*
-X33191666Y-35041666D01*
-X33058333Y-35041666D01*
-X32991666Y-35008333D01*
-X32958333Y-34975000D01*
-X32925000Y-34908333D01*
-X32925000Y-34775000D01*
-X32958333Y-34708333D01*
-X32991666Y-34675000D01*
-X33058333Y-34641666D01*
-X44891666Y-35041666D02*
-X44891666Y-34341666D01*
-X44625000Y-34341666D01*
-X44558333Y-34375000D01*
-X44525000Y-34408333D01*
-X44491666Y-34475000D01*
-X44491666Y-34575000D01*
-X44525000Y-34641666D01*
-X44558333Y-34675000D01*
-X44625000Y-34708333D01*
-X44891666Y-34708333D01*
-X43958333Y-34675000D02*
-X43858333Y-34708333D01*
-X43825000Y-34741666D01*
-X43791666Y-34808333D01*
-X43791666Y-34908333D01*
-X43825000Y-34975000D01*
-X43858333Y-35008333D01*
-X43925000Y-35041666D01*
-X44191666Y-35041666D01*
-X44191666Y-34341666D01*
-X43958333Y-34341666D01*
-X43891666Y-34375000D01*
-X43858333Y-34408333D01*
-X43825000Y-34475000D01*
-X43825000Y-34541666D01*
-X43858333Y-34608333D01*
-X43891666Y-34641666D01*
-X43958333Y-34675000D01*
-X44191666Y-34675000D01*
-X43191666Y-34341666D02*
-X43325000Y-34341666D01*
-X43391666Y-34375000D01*
-X43425000Y-34408333D01*
-X43491666Y-34508333D01*
-X43525000Y-34641666D01*
-X43525000Y-34908333D01*
-X43491666Y-34975000D01*
-X43458333Y-35008333D01*
-X43391666Y-35041666D01*
-X43258333Y-35041666D01*
-X43191666Y-35008333D01*
-X43158333Y-34975000D01*
-X43125000Y-34908333D01*
-X43125000Y-34741666D01*
-X43158333Y-34675000D01*
-X43191666Y-34641666D01*
-X43258333Y-34608333D01*
-X43391666Y-34608333D01*
-X43458333Y-34641666D01*
-X43491666Y-34675000D01*
-X43525000Y-34741666D01*
-X24875000Y-35041666D02*
-X24875000Y-34341666D01*
-X24608333Y-34341666D01*
-X24541666Y-34375000D01*
-X24508333Y-34408333D01*
-X24475000Y-34475000D01*
-X24475000Y-34575000D01*
-X24508333Y-34641666D01*
-X24541666Y-34675000D01*
-X24608333Y-34708333D01*
-X24875000Y-34708333D01*
-X23941666Y-34675000D02*
-X23841666Y-34708333D01*
-X23808333Y-34741666D01*
-X23775000Y-34808333D01*
-X23775000Y-34908333D01*
-X23808333Y-34975000D01*
-X23841666Y-35008333D01*
-X23908333Y-35041666D01*
-X24175000Y-35041666D01*
-X24175000Y-34341666D01*
-X23941666Y-34341666D01*
-X23875000Y-34375000D01*
-X23841666Y-34408333D01*
-X23808333Y-34475000D01*
-X23808333Y-34541666D01*
-X23841666Y-34608333D01*
-X23875000Y-34641666D01*
-X23941666Y-34675000D01*
-X24175000Y-34675000D01*
-X23108333Y-35041666D02*
-X23508333Y-35041666D01*
-X23308333Y-35041666D02*
-X23308333Y-34341666D01*
-X23375000Y-34441666D01*
-X23441666Y-34508333D01*
-X23508333Y-34541666D01*
-X22875000Y-34341666D02*
-X22441666Y-34341666D01*
-X22675000Y-34608333D01*
-X22575000Y-34608333D01*
-X22508333Y-34641666D01*
-X22475000Y-34675000D01*
-X22441666Y-34741666D01*
-X22441666Y-34908333D01*
-X22475000Y-34975000D01*
-X22508333Y-35008333D01*
-X22575000Y-35041666D01*
-X22775000Y-35041666D01*
-X22841666Y-35008333D01*
-X22875000Y-34975000D01*
-X27091666Y-34341666D02*
-X26658333Y-34341666D01*
-X26891666Y-34608333D01*
-X26791666Y-34608333D01*
-X26725000Y-34641666D01*
-X26691666Y-34675000D01*
-X26658333Y-34741666D01*
-X26658333Y-34908333D01*
-X26691666Y-34975000D01*
-X26725000Y-35008333D01*
-X26791666Y-35041666D01*
-X26991666Y-35041666D01*
-X27058333Y-35008333D01*
-X27091666Y-34975000D01*
-X26458333Y-34341666D02*
-X26225000Y-35041666D01*
-X25991666Y-34341666D01*
-X25825000Y-34341666D02*
-X25391666Y-34341666D01*
-X25625000Y-34608333D01*
-X25525000Y-34608333D01*
-X25458333Y-34641666D01*
-X25425000Y-34675000D01*
-X25391666Y-34741666D01*
-X25391666Y-34908333D01*
-X25425000Y-34975000D01*
-X25458333Y-35008333D01*
-X25525000Y-35041666D01*
-X25725000Y-35041666D01*
-X25791666Y-35008333D01*
-X25825000Y-34975000D01*
-D12*
-X63200000Y-37100000D02*
-G75*
-G02X62900000Y-37400000I-300000J0D01*
-G01*
-X62900000Y-19800000D02*
-G75*
-G02X63200000Y-20100000I0J-300000D01*
-G01*
-X20000000Y-20100000D02*
-G75*
-G02X20300000Y-19800000I300000J0D01*
-G01*
-X20300000Y-37400000D02*
-G75*
-G02X20000000Y-37100000I0J300000D01*
-G01*
-X20000000Y-37100000D02*
-X20000000Y-20100000D01*
-X62900000Y-37400000D02*
-X20300000Y-37400000D01*
-X63200000Y-20100000D02*
-X63200000Y-37100000D01*
-X20300000Y-19800000D02*
-X62900000Y-19800000D01*
-D13*
-X53200000Y-34000000D02*
-X54600000Y-34000000D01*
-X54600000Y-34000000D02*
-X54600000Y-32600000D01*
-X54600000Y-32600000D02*
-X53200000Y-32600000D01*
-X53200000Y-32600000D02*
-X53200000Y-34000000D01*
-D14*
-G36*
-X26513979Y-30050858D02*
-G01*
-X26617797Y-29998308D01*
-X26648494Y-29917835D01*
-X26601696Y-29815256D01*
-X26564333Y-29773334D01*
-X26468388Y-29720589D01*
-X26330789Y-29691475D01*
-X26185313Y-29688104D01*
-X26065739Y-29712588D01*
-X26022466Y-29739467D01*
-X25976030Y-29834777D01*
-X25980027Y-29879167D01*
-X26295024Y-29879167D01*
-X26302162Y-29826904D01*
-X26370910Y-29817912D01*
-X26454794Y-29841270D01*
-X26500401Y-29881080D01*
-X26498091Y-29904770D01*
-X26434897Y-29940578D01*
-X26351697Y-29930348D01*
-X26295345Y-29879987D01*
-X26295024Y-29879167D01*
-X25980027Y-29879167D01*
-X25985713Y-29942290D01*
-X26047191Y-30022192D01*
-X26053550Y-30025844D01*
-X26144139Y-30052135D01*
-X26278112Y-30067775D01*
-X26341416Y-30069667D01*
-X26513979Y-30050858D01*
-X26513979Y-30050858D01*
-G37*
-X26513979Y-30050858D02*
-X26617797Y-29998308D01*
-X26648494Y-29917835D01*
-X26601696Y-29815256D01*
-X26564333Y-29773334D01*
-X26468388Y-29720589D01*
-X26330789Y-29691475D01*
-X26185313Y-29688104D01*
-X26065739Y-29712588D01*
-X26022466Y-29739467D01*
-X25976030Y-29834777D01*
-X25980027Y-29879167D01*
-X26295024Y-29879167D01*
-X26302162Y-29826904D01*
-X26370910Y-29817912D01*
-X26454794Y-29841270D01*
-X26500401Y-29881080D01*
-X26498091Y-29904770D01*
-X26434897Y-29940578D01*
-X26351697Y-29930348D01*
-X26295345Y-29879987D01*
-X26295024Y-29879167D01*
-X25980027Y-29879167D01*
-X25985713Y-29942290D01*
-X26047191Y-30022192D01*
-X26053550Y-30025844D01*
-X26144139Y-30052135D01*
-X26278112Y-30067775D01*
-X26341416Y-30069667D01*
-X26513979Y-30050858D01*
-G36*
-X27205688Y-30449892D02*
-G01*
-X27917537Y-30422771D01*
-X28572250Y-30342456D01*
-X29067705Y-30237544D01*
-X29318704Y-30166716D01*
-X29514025Y-30091079D01*
-X29681975Y-29995099D01*
-X29850860Y-29863240D01*
-X30002480Y-29724508D01*
-X30172340Y-29570412D01*
-X30362792Y-29408923D01*
-X30536853Y-29271241D01*
-X30561648Y-29252817D01*
-X30686209Y-29149998D01*
-X30848871Y-28999161D01*
-X31032839Y-28816748D01*
-X31221319Y-28619201D01*
-X31324001Y-28506501D01*
-X31502853Y-28307761D01*
-X31637116Y-28163481D01*
-X31737825Y-28064292D01*
-X31816012Y-28000821D01*
-X31882714Y-27963698D01*
-X31948963Y-27943551D01*
-X31996732Y-27935161D01*
-X32154516Y-27898512D01*
-X32306595Y-27843619D01*
-X32332668Y-27831183D01*
-X32392907Y-27802386D01*
-X32449397Y-27785488D01*
-X32517632Y-27781825D01*
-X32613105Y-27792732D01*
-X32751310Y-27819545D01*
-X32947741Y-27863601D01*
-X33089558Y-27896443D01*
-X33487415Y-27991719D01*
-X33879795Y-28092014D01*
-X34283394Y-28202028D01*
-X34714909Y-28326461D01*
-X35191035Y-28470010D01*
-X35728468Y-28637377D01*
-X35782360Y-28654384D01*
-X36492216Y-28870939D01*
-X37157371Y-29058362D01*
-X37772681Y-29215514D01*
-X38333002Y-29341259D01*
-X38833190Y-29434458D01*
-X39268102Y-29493975D01*
-X39632593Y-29518672D01*
-X39693938Y-29519334D01*
-X39870566Y-29514161D01*
-X40111711Y-29499868D01*
-X40397936Y-29478295D01*
-X40709806Y-29451281D01*
-X41027881Y-29420663D01*
-X41332726Y-29388282D01*
-X41604903Y-29355977D01*
-X41824976Y-29325586D01*
-X41931333Y-29307643D01*
-X42082767Y-29286758D01*
-X42216570Y-29281549D01*
-X42272780Y-29287175D01*
-X42376226Y-29282112D01*
-X42537220Y-29232057D01*
-X42738447Y-29146059D01*
-X42913221Y-29059307D01*
-X43061538Y-28968520D01*
-X43206510Y-28856740D01*
-X43371249Y-28707005D01*
-X43476500Y-28604458D01*
-X43664011Y-28424667D01*
-X43871646Y-28234515D01*
-X44070635Y-28059947D01*
-X44196166Y-27955442D01*
-X44391290Y-27797761D01*
-X44612127Y-27618110D01*
-X44819242Y-27448595D01*
-X44873500Y-27403945D01*
-X45029748Y-27278931D01*
-X45175330Y-27169110D01*
-X45289661Y-27089696D01*
-X45335358Y-27062636D01*
-X45416661Y-27009703D01*
-X45542129Y-26914110D01*
-X45693419Y-26790306D01*
-X45825054Y-26676837D01*
-X46040427Y-26500222D01*
-X46265154Y-26338980D01*
-X46469105Y-26214423D01*
-X46506196Y-26195061D01*
-X46703891Y-26092995D01*
-X46928425Y-25973004D01*
-X47133518Y-25859848D01*
-X47146767Y-25852361D01*
-X47825093Y-25492215D01*
-X48584095Y-25134542D01*
-X49185698Y-24876327D01*
-X49950751Y-24559846D01*
-X51793625Y-24609735D01*
-X52241004Y-24620559D01*
-X52713229Y-24629675D01*
-X53192321Y-24636891D01*
-X53660302Y-24642014D01*
-X54099193Y-24644849D01*
-X54491016Y-24645203D01*
-X54817792Y-24642884D01*
-X54843000Y-24642543D01*
-X55195204Y-24637346D01*
-X55475791Y-24632099D01*
-X55697804Y-24625654D01*
-X55874283Y-24616861D01*
-X56018272Y-24604571D01*
-X56142812Y-24587637D01*
-X56260946Y-24564908D01*
-X56385716Y-24535237D01*
-X56530164Y-24497474D01*
-X56550066Y-24492177D01*
-X56783037Y-24423927D01*
-X57021519Y-24343616D01*
-X57233637Y-24262492D01*
-X57357774Y-24207131D01*
-X57542338Y-24108300D01*
-X57704712Y-24007326D01*
-X57831954Y-23913849D01*
-X57911122Y-23837511D01*
-X57929273Y-23787953D01*
-X57926201Y-23783692D01*
-X57875438Y-23781117D01*
-X57763116Y-23798403D01*
-X57609071Y-23832100D01*
-X57524034Y-23853646D01*
-X57211620Y-23913156D01*
-X56832867Y-23947147D01*
-X56401849Y-23956590D01*
-X55932641Y-23942460D01*
-X55439319Y-23905729D01*
-X54935959Y-23847371D01*
-X54436635Y-23768358D01*
-X53955422Y-23669663D01*
-X53615333Y-23583441D01*
-X53378221Y-23519607D01*
-X53170912Y-23469690D01*
-X52975821Y-23431363D01*
-X52775367Y-23402301D01*
-X52551966Y-23380176D01*
-X52288035Y-23362663D01*
-X51965990Y-23347436D01*
-X51773833Y-23339805D01*
-X51480173Y-23326995D01*
-X51207133Y-23312078D01*
-X50968625Y-23296052D01*
-X50778565Y-23279915D01*
-X50650865Y-23264664D01*
-X50609946Y-23256348D01*
-X50472984Y-23231929D01*
-X50277928Y-23218387D01*
-X50050589Y-23215280D01*
-X49816780Y-23222167D01*
-X49602311Y-23238606D01*
-X49432996Y-23264155D01*
-X49382000Y-23277356D01*
-X49224526Y-23308888D01*
-X48991917Y-23329302D01*
-X48693063Y-23337869D01*
-X48641166Y-23338090D01*
-X48440224Y-23344093D01*
-X48173489Y-23360755D01*
-X47852525Y-23386693D01*
-X47488897Y-23420520D01*
-X47094169Y-23460854D01*
-X46679905Y-23506308D01*
-X46257671Y-23555498D01*
-X45839030Y-23607040D01*
-X45435547Y-23659548D01*
-X45058786Y-23711639D01*
-X44720312Y-23761926D01*
-X44431689Y-23809027D01*
-X44204482Y-23851555D01*
-X44052184Y-23887568D01*
-X43973646Y-23903550D01*
-X43894947Y-23898382D01*
-X43794637Y-23866460D01*
-X43651265Y-23802181D01*
-X43565351Y-23760404D01*
-X43373389Y-23675124D01*
-X43172606Y-23600644D01*
-X43000202Y-23550463D01*
-X42968500Y-23543783D01*
-X42852119Y-23530513D01*
-X42655206Y-23518978D01*
-X42381879Y-23509282D01*
-X42036257Y-23501529D01*
-X41622458Y-23495824D01*
-X41144601Y-23492269D01*
-X40888197Y-23491343D01*
-X40443351Y-23490497D01*
-X40075512Y-23490532D01*
-X39777026Y-23491712D01*
-X39540237Y-23494302D01*
-X39357488Y-23498564D01*
-X39221123Y-23504762D01*
-X39123487Y-23513159D01*
-X39056924Y-23524020D01*
-X39013777Y-23537607D01*
-X38986390Y-23554184D01*
-X38983197Y-23556920D01*
-X38971472Y-23569086D01*
-X38961815Y-23579794D01*
-X38949039Y-23589066D01*
-X38927961Y-23596921D01*
-X38893395Y-23603378D01*
-X38840157Y-23608459D01*
-X38763061Y-23612184D01*
-X38656924Y-23614571D01*
-X38516559Y-23615643D01*
-X38336782Y-23615418D01*
-X38112408Y-23613916D01*
-X37838252Y-23611159D01*
-X37509129Y-23607166D01*
-X37119855Y-23601957D01*
-X36665244Y-23595553D01*
-X36140112Y-23587972D01*
-X35539274Y-23579237D01*
-X35052166Y-23572175D01*
-X34669421Y-23567825D01*
-X34301852Y-23565884D01*
-X33961167Y-23566255D01*
-X33659073Y-23568839D01*
-X33407277Y-23573542D01*
-X33217487Y-23580264D01*
-X33106571Y-23588282D01*
-X32936320Y-23606397D01*
-X32826385Y-23610053D01*
-X32753186Y-23596202D01*
-X32693145Y-23561797D01*
-X32653249Y-23529702D01*
-X32523034Y-23455885D01*
-X32356223Y-23408424D01*
-X32334010Y-23405117D01*
-X32247254Y-23399179D01*
-X32086281Y-23393596D01*
-X31861539Y-23388528D01*
-X31583479Y-23384132D01*
-X31262549Y-23380567D01*
-X30909198Y-23377991D01*
-X30533876Y-23376563D01*
-X30437833Y-23376404D01*
-X30045152Y-23375839D01*
-X29660272Y-23375126D01*
-X29295750Y-23374300D01*
-X28964146Y-23373395D01*
-X28678019Y-23372447D01*
-X28449929Y-23371489D01*
-X28292434Y-23370557D01*
-X28278833Y-23370448D01*
-X28029149Y-23372091D01*
-X27754528Y-23379771D01*
-X27499587Y-23392048D01*
-X27402054Y-23398775D01*
-X27206084Y-23416639D01*
-X27079385Y-23435810D01*
-X27006598Y-23460070D01*
-X26972363Y-23493201D01*
-X26967177Y-23505865D01*
-X26957984Y-23600432D01*
-X26998414Y-23686050D01*
-X27094975Y-23766514D01*
-X27254175Y-23845620D01*
-X27482519Y-23927164D01*
-X27786516Y-24014943D01*
-X27883744Y-24040551D01*
-X28129177Y-24109348D01*
-X28385611Y-24189874D01*
-X28620380Y-24271425D01*
-X28780516Y-24334418D01*
-X29141043Y-24489299D01*
-X28999736Y-24686566D01*
-X28923264Y-24807913D01*
-X28815800Y-25000456D01*
-X28680495Y-25257913D01*
-X28520501Y-25574004D01*
-X28338972Y-25942449D01*
-X28139058Y-26356966D01*
-X27923913Y-26811275D01*
-X27795872Y-27085202D01*
-X27441297Y-27847237D01*
-X27013398Y-28045316D01*
-X26785855Y-28143608D01*
-X26516681Y-28249245D01*
-X26245837Y-28346956D01*
-X26087711Y-28399021D01*
-X25778941Y-28509165D01*
-X25488377Y-28638370D01*
-X25230157Y-28778508D01*
-X25018419Y-28921450D01*
-X24867304Y-29059067D01*
-X24814197Y-29131176D01*
-X24759113Y-29272421D01*
-X24747790Y-29329574D01*
-X24878576Y-29329574D01*
-X24914086Y-29243022D01*
-X24972883Y-29160917D01*
-X25078888Y-29055010D01*
-X25241035Y-28945120D01*
-X25465907Y-28827831D01*
-X25760085Y-28699726D01*
-X26130154Y-28557388D01*
-X26148951Y-28550500D01*
-X26516912Y-28413195D01*
-X26813268Y-28295695D01*
-X27047420Y-28193181D01*
-X27228769Y-28100837D01*
-X27366719Y-28013846D01*
-X27470671Y-27927390D01*
-X27550027Y-27836651D01*
-X27554771Y-27830198D01*
-X27642934Y-27704457D01*
-X27715885Y-27586483D01*
-X27782771Y-27457541D01*
-X27852740Y-27298899D01*
-X27934942Y-27091822D01*
-X28003161Y-26912010D01*
-X28057878Y-26767650D01*
-X28107358Y-26642642D01*
-X28157318Y-26525938D01*
-X28213479Y-26406489D01*
-X28281560Y-26273244D01*
-X28367280Y-26115155D01*
-X28476359Y-25921172D01*
-X28614516Y-25680245D01*
-X28787469Y-25381325D01*
-X28861702Y-25253339D01*
-X29018723Y-24986491D01*
-X29140833Y-24788235D01*
-X29232445Y-24652183D01*
-X29297975Y-24571946D01*
-X29341836Y-24541137D01*
-X29353864Y-24541095D01*
-X29442120Y-24562547D01*
-X29560269Y-24587929D01*
-X29562403Y-24588360D01*
-X29659280Y-24620521D01*
-X29682352Y-24667218D01*
-X29678639Y-24680120D01*
-X29629322Y-24862458D01*
-X29635766Y-25037146D01*
-X29654597Y-25121566D01*
-X29700581Y-25263961D01*
-X29767499Y-25430687D01*
-X29846405Y-25603868D01*
-X29928353Y-25765628D01*
-X30004395Y-25898092D01*
-X30065586Y-25983384D01*
-X30097089Y-26005667D01*
-X30112729Y-25978118D01*
-X30092602Y-25891771D01*
-X30034971Y-25741073D01*
-X29961748Y-25572792D01*
-X29879501Y-25375810D01*
-X29810856Y-25185682D01*
-X29764456Y-25027851D01*
-X29749662Y-24948375D01*
-X29745091Y-24825788D01*
-X29769883Y-24740574D01*
-X29839040Y-24654992D01*
-X29883793Y-24610946D01*
-X29971574Y-24520322D01*
-X30026425Y-24451568D01*
-X30035666Y-24431029D01*
-X30003653Y-24400059D01*
-X29931630Y-24401889D01*
-X29855626Y-24432078D01*
-X29827121Y-24456740D01*
-X29793648Y-24480101D01*
-X29736770Y-24483864D01*
-X29641363Y-24465475D01*
-X29492298Y-24422378D01*
-X29345687Y-24375408D01*
-X29128485Y-24304695D01*
-X28865407Y-24219405D01*
-X28591208Y-24130789D01*
-X28363500Y-24057445D01*
-X28052687Y-23954395D01*
-X27779338Y-23857577D01*
-X27551676Y-23770356D01*
-X27377922Y-23696100D01*
-X27266298Y-23638173D01*
-X27225028Y-23599942D01*
-X27227894Y-23592535D01*
-X27295052Y-23568591D01*
-X27432725Y-23546861D01*
-X27626776Y-23528006D01*
-X27863070Y-23512685D01*
-X28127468Y-23501560D01*
-X28405835Y-23495289D01*
-X28684032Y-23494534D01*
-X28947924Y-23499955D01*
-X29083166Y-23505879D01*
-X29316546Y-23515052D01*
-X29613934Y-23521485D01*
-X29954710Y-23525035D01*
-X30318254Y-23525558D01*
-X30683947Y-23522912D01*
-X30945833Y-23518789D01*
-X31383062Y-23511143D01*
-X31741845Y-23508381D01*
-X32028337Y-23512136D01*
-X32248696Y-23524044D01*
-X32409080Y-23545737D01*
-X32515645Y-23578851D01*
-X32574548Y-23625019D01*
-X32583763Y-23657255D01*
-X39174451Y-23657255D01*
-X39197248Y-23639795D01*
-X39243166Y-23626963D01*
-X39331691Y-23616825D01*
-X39493313Y-23609713D01*
-X39716524Y-23605424D01*
-X39989816Y-23603753D01*
-X40301681Y-23604496D01*
-X40640611Y-23607450D01*
-X40995098Y-23612410D01*
-X41353635Y-23619174D01*
-X41704713Y-23627535D01*
-X42036824Y-23637292D01*
-X42338461Y-23648240D01*
-X42598115Y-23660174D01*
-X42804278Y-23672892D01*
-X42945442Y-23686189D01*
-X42989739Y-23693351D01*
-X43159917Y-23735526D01*
-X43319619Y-23783677D01*
-X43413073Y-23818630D01*
-X43482099Y-23850505D01*
-X43503698Y-23868328D01*
-X43468285Y-23874118D01*
-X43366275Y-23869893D01*
-X43217722Y-23859771D01*
-X43022199Y-23853705D01*
-X42763239Y-23856851D01*
-X42461415Y-23867896D01*
-X42137299Y-23885526D01*
-X41811465Y-23908430D01*
-X41504486Y-23935296D01*
-X41236934Y-23964810D01*
-X41029383Y-23995661D01*
-X41000000Y-24001251D01*
-X40823823Y-24043375D01*
-X40662058Y-24094039D01*
-X40548565Y-24142578D01*
-X40545204Y-24144501D01*
-X40407909Y-24224472D01*
-X40100704Y-24060433D01*
-X39899782Y-23961568D01*
-X39667985Y-23859880D01*
-X39455398Y-23777335D01*
-X39454833Y-23777136D01*
-X39293758Y-23719195D01*
-X39203459Y-23681478D01*
-X39174451Y-23657255D01*
-X32583763Y-23657255D01*
-X32591946Y-23685876D01*
-X32573997Y-23763056D01*
-X32526858Y-23858192D01*
-X32485373Y-23927392D01*
-X32412783Y-24048416D01*
-X32381075Y-24124235D01*
-X32385331Y-24180854D01*
-X32420629Y-24244275D01*
-X32424349Y-24249966D01*
-X32507775Y-24346506D01*
-X32590309Y-24393325D01*
-X32647788Y-24381323D01*
-X32643148Y-24334611D01*
-X32603629Y-24277510D01*
-X32551878Y-24208067D01*
-X32540414Y-24140622D01*
-X32572274Y-24051525D01*
-X32649750Y-23918326D01*
-X32766166Y-23730752D01*
-X33253000Y-23704040D01*
-X33385768Y-23699790D01*
-X33593768Y-23697108D01*
-X33867580Y-23695955D01*
-X34197783Y-23696289D01*
-X34574960Y-23698072D01*
-X34989688Y-23701263D01*
-X35432549Y-23705820D01*
-X35894123Y-23711705D01*
-X36237500Y-23716816D01*
-X36789684Y-23725928D01*
-X37263827Y-23734670D01*
-X37666550Y-23743291D01*
-X38004477Y-23752045D01*
-X38284230Y-23761180D01*
-X38512431Y-23770949D01*
-X38695702Y-23781603D01*
-X38840668Y-23793393D01*
-X38953949Y-23806569D01*
-X39042169Y-23821383D01*
-X39052666Y-23823553D01*
-X39409280Y-23908548D01*
-X39708461Y-24004307D01*
-X39977437Y-24122612D01*
-X40243434Y-24275247D01*
-X40500757Y-24450251D01*
-X40712402Y-24591607D01*
-X40917953Y-24710026D01*
-X41101710Y-24797876D01*
-X41247974Y-24847523D01*
-X41331178Y-24853970D01*
-X41330942Y-24827389D01*
-X41262191Y-24764600D01*
-X41130582Y-24670225D01*
-X41010583Y-24591961D01*
-X40852637Y-24490544D01*
-X40725186Y-24406301D01*
-X40642776Y-24349003D01*
-X40619000Y-24328859D01*
-X40653026Y-24302715D01*
-X40739225Y-24253434D01*
-X40792268Y-24225660D01*
-X40966697Y-24153727D01*
-X41184421Y-24096049D01*
-X41454731Y-24051229D01*
-X41786921Y-24017874D01*
-X42190280Y-23994589D01*
-X42378668Y-23987621D01*
-X42814681Y-23980828D01*
-X43175527Y-23992352D01*
-X43469121Y-24024202D01*
-X43703375Y-24078387D01*
-X43886205Y-24156915D01*
-X44025525Y-24261796D01*
-X44129248Y-24395039D01*
-X44153190Y-24438090D01*
-X44217367Y-24527487D01*
-X44304285Y-24609656D01*
-X44390503Y-24666595D01*
-X44452582Y-24680300D01*
-X44461529Y-24674916D01*
-X44450072Y-24632769D01*
-X44392247Y-24554763D01*
-X44352023Y-24510768D01*
-X44270702Y-24415986D01*
-X44222771Y-24339088D01*
-X44217333Y-24318515D01*
-X44188264Y-24259180D01*
-X44115299Y-24173761D01*
-X44080898Y-24140614D01*
-X44003347Y-24065893D01*
-X43965403Y-24021412D01*
-X43965639Y-24016000D01*
-X44012923Y-24010402D01*
-X44128556Y-23995010D01*
-X44297056Y-23971932D01*
-X44502945Y-23943274D01*
-X44590913Y-23930915D01*
-X45239966Y-23840929D01*
-X45852188Y-23758891D01*
-X46421750Y-23685480D01*
-X46942821Y-23621369D01*
-X47409570Y-23567236D01*
-X47816165Y-23523755D01*
-X48156777Y-23491603D01*
-X48425574Y-23471455D01*
-X48616725Y-23463988D01*
-X48620000Y-23463971D01*
-X48846031Y-23457897D01*
-X49070156Y-23443088D01*
-X49261793Y-23421991D01*
-X49360833Y-23404658D01*
-X49606183Y-23366460D01*
-X49899342Y-23347008D01*
-X50205404Y-23346979D01*
-X50489462Y-23367053D01*
-X50588500Y-23380765D01*
-X50719826Y-23396798D01*
-X50914210Y-23413532D01*
-X51150075Y-23429432D01*
-X51405842Y-23442960D01*
-X51541000Y-23448602D01*
-X51792027Y-23460561D01*
-X52032006Y-23478160D01*
-X52278279Y-23503535D01*
-X52548187Y-23538823D01*
-X52859074Y-23586159D01*
-X53228283Y-23647680D01*
-X53403666Y-23678045D01*
-X53890084Y-23762343D01*
-X54304619Y-23832415D01*
-X54658617Y-23889558D01*
-X54963428Y-23935066D01*
-X55230400Y-23970233D01*
-X55470881Y-23996356D01*
-X55696219Y-24014728D01*
-X55917763Y-24026644D01*
-X56146860Y-24033400D01*
-X56394858Y-24036290D01*
-X56546879Y-24036703D01*
-X56809242Y-24037436D01*
-X57040351Y-24039218D01*
-X57227762Y-24041860D01*
-X57359033Y-24045170D01*
-X57421721Y-24048959D01*
-X57425296Y-24050131D01*
-X57385918Y-24083654D01*
-X57275375Y-24131289D01*
-X57105271Y-24189319D01*
-X56887211Y-24254029D01*
-X56632797Y-24321701D01*
-X56405678Y-24376683D01*
-X55753166Y-24527866D01*
-X53170833Y-24495351D01*
-X52547235Y-24487482D01*
-X52002547Y-24480664D01*
-X51531016Y-24474963D01*
-X51126890Y-24470444D01*
-X50784418Y-24467173D01*
-X50497848Y-24465215D01*
-X50261428Y-24464635D01*
-X50069406Y-24465500D01*
-X49916031Y-24467873D01*
-X49795550Y-24471821D01*
-X49702212Y-24477409D01*
-X49630264Y-24484703D01*
-X49573956Y-24493768D01*
-X49527536Y-24504669D01*
-X49485251Y-24517471D01*
-X49441349Y-24532241D01*
-X49424333Y-24537955D01*
-X49163897Y-24637244D01*
-X48845669Y-24779878D01*
-X48480982Y-24960313D01*
-X48081171Y-25173005D01*
-X47773333Y-25345629D01*
-X47515099Y-25493177D01*
-X47232199Y-25654335D01*
-X46954425Y-25812159D01*
-X46711570Y-25949705D01*
-X46651500Y-25983623D01*
-X46349913Y-26157030D01*
-X46080326Y-26320413D01*
-X45829082Y-26483668D01*
-X45582528Y-26656695D01*
-X45327009Y-26849392D01*
-X45048871Y-27071657D01*
-X44734458Y-27333388D01*
-X44422552Y-27599381D01*
-X44242985Y-27751547D01*
-X44020124Y-27937171D01*
-X43777074Y-28137183D01*
-X43536942Y-28332513D01*
-X43427719Y-28420437D01*
-X43123918Y-28656736D01*
-X42852107Y-28848451D01*
-X42597009Y-29001143D01*
-X42343341Y-29120374D01*
-X42075824Y-29211708D01*
-X41779177Y-29280705D01*
-X41438121Y-29332929D01*
-X41037376Y-29373941D01*
-X40746000Y-29396625D01*
-X40218244Y-29422880D01*
-X39745235Y-29419144D01*
-X39301559Y-29382684D01*
-X38861804Y-29310771D01*
-X38400558Y-29200672D01*
-X38089539Y-29111093D01*
-X37877515Y-29049881D01*
-X37608954Y-28977057D01*
-X37309959Y-28899439D01*
-X37006629Y-28823846D01*
-X36809000Y-28776561D01*
-X36504671Y-28700828D01*
-X36174599Y-28611314D01*
-X35849018Y-28516687D01*
-X35558161Y-28425610D01*
-X35414545Y-28376859D01*
-X35146556Y-28285838D01*
-X34853727Y-28192496D01*
-X34569360Y-28107107D01*
-X34326755Y-28039943D01*
-X34300867Y-28033301D01*
-X34071489Y-27978361D01*
-X33805537Y-27919906D01*
-X33518842Y-27860878D01*
-X33227232Y-27804216D01*
-X32946539Y-27752860D01*
-X32692592Y-27709750D01*
-X32481222Y-27677825D01*
-X32328257Y-27660026D01*
-X32276433Y-27657325D01*
-X32120483Y-27669996D01*
-X31962148Y-27704662D01*
-X31816819Y-27754346D01*
-X31699888Y-27812073D01*
-X31626746Y-27870867D01*
-X31612784Y-27923752D01*
-X31635762Y-27947704D01*
-X31622713Y-27985037D01*
-X31557446Y-28070390D01*
-X31449582Y-28193950D01*
-X31308741Y-28345904D01*
-X31144545Y-28516442D01*
-X30966614Y-28695749D01*
-X30784568Y-28874015D01*
-X30608027Y-29041426D01*
-X30446613Y-29188171D01*
-X30309946Y-29304437D01*
-X30289666Y-29320623D01*
-X30126820Y-29455109D01*
-X29952015Y-29608666D01*
-X29845166Y-29707986D01*
-X29712670Y-29827414D01*
-X29587753Y-29916115D01*
-X29448185Y-29984810D01*
-X29271734Y-30044222D01*
-X29036169Y-30105071D01*
-X29007831Y-30111807D01*
-X28216485Y-30257639D01*
-X27422027Y-30320665D01*
-X27203828Y-30323667D01*
-X26615098Y-30323667D01*
-X25880632Y-30072472D01*
-X25617511Y-29979375D01*
-X25397944Y-29895466D01*
-X25232202Y-29825012D01*
-X25130556Y-29772280D01*
-X25103833Y-29749717D01*
-X25053322Y-29668251D01*
-X24982206Y-29557717D01*
-X24966249Y-29533366D01*
-X24896165Y-29415021D01*
-X24878576Y-29329574D01*
-X24747790Y-29329574D01*
-X24721130Y-29464124D01*
-X24712022Y-29554509D01*
-X24691839Y-29836834D01*
-X25623857Y-30143750D01*
-X26555876Y-30450667D01*
-X27205688Y-30449892D01*
-X27205688Y-30449892D01*
-G37*
-X27205688Y-30449892D02*
-X27917537Y-30422771D01*
-X28572250Y-30342456D01*
-X29067705Y-30237544D01*
-X29318704Y-30166716D01*
-X29514025Y-30091079D01*
-X29681975Y-29995099D01*
-X29850860Y-29863240D01*
-X30002480Y-29724508D01*
-X30172340Y-29570412D01*
-X30362792Y-29408923D01*
-X30536853Y-29271241D01*
-X30561648Y-29252817D01*
-X30686209Y-29149998D01*
-X30848871Y-28999161D01*
-X31032839Y-28816748D01*
-X31221319Y-28619201D01*
-X31324001Y-28506501D01*
-X31502853Y-28307761D01*
-X31637116Y-28163481D01*
-X31737825Y-28064292D01*
-X31816012Y-28000821D01*
-X31882714Y-27963698D01*
-X31948963Y-27943551D01*
-X31996732Y-27935161D01*
-X32154516Y-27898512D01*
-X32306595Y-27843619D01*
-X32332668Y-27831183D01*
-X32392907Y-27802386D01*
-X32449397Y-27785488D01*
-X32517632Y-27781825D01*
-X32613105Y-27792732D01*
-X32751310Y-27819545D01*
-X32947741Y-27863601D01*
-X33089558Y-27896443D01*
-X33487415Y-27991719D01*
-X33879795Y-28092014D01*
-X34283394Y-28202028D01*
-X34714909Y-28326461D01*
-X35191035Y-28470010D01*
-X35728468Y-28637377D01*
-X35782360Y-28654384D01*
-X36492216Y-28870939D01*
-X37157371Y-29058362D01*
-X37772681Y-29215514D01*
-X38333002Y-29341259D01*
-X38833190Y-29434458D01*
-X39268102Y-29493975D01*
-X39632593Y-29518672D01*
-X39693938Y-29519334D01*
-X39870566Y-29514161D01*
-X40111711Y-29499868D01*
-X40397936Y-29478295D01*
-X40709806Y-29451281D01*
-X41027881Y-29420663D01*
-X41332726Y-29388282D01*
-X41604903Y-29355977D01*
-X41824976Y-29325586D01*
-X41931333Y-29307643D01*
-X42082767Y-29286758D01*
-X42216570Y-29281549D01*
-X42272780Y-29287175D01*
-X42376226Y-29282112D01*
-X42537220Y-29232057D01*
-X42738447Y-29146059D01*
-X42913221Y-29059307D01*
-X43061538Y-28968520D01*
-X43206510Y-28856740D01*
-X43371249Y-28707005D01*
-X43476500Y-28604458D01*
-X43664011Y-28424667D01*
-X43871646Y-28234515D01*
-X44070635Y-28059947D01*
-X44196166Y-27955442D01*
-X44391290Y-27797761D01*
-X44612127Y-27618110D01*
-X44819242Y-27448595D01*
-X44873500Y-27403945D01*
-X45029748Y-27278931D01*
-X45175330Y-27169110D01*
-X45289661Y-27089696D01*
-X45335358Y-27062636D01*
-X45416661Y-27009703D01*
-X45542129Y-26914110D01*
-X45693419Y-26790306D01*
-X45825054Y-26676837D01*
-X46040427Y-26500222D01*
-X46265154Y-26338980D01*
-X46469105Y-26214423D01*
-X46506196Y-26195061D01*
-X46703891Y-26092995D01*
-X46928425Y-25973004D01*
-X47133518Y-25859848D01*
-X47146767Y-25852361D01*
-X47825093Y-25492215D01*
-X48584095Y-25134542D01*
-X49185698Y-24876327D01*
-X49950751Y-24559846D01*
-X51793625Y-24609735D01*
-X52241004Y-24620559D01*
-X52713229Y-24629675D01*
-X53192321Y-24636891D01*
-X53660302Y-24642014D01*
-X54099193Y-24644849D01*
-X54491016Y-24645203D01*
-X54817792Y-24642884D01*
-X54843000Y-24642543D01*
-X55195204Y-24637346D01*
-X55475791Y-24632099D01*
-X55697804Y-24625654D01*
-X55874283Y-24616861D01*
-X56018272Y-24604571D01*
-X56142812Y-24587637D01*
-X56260946Y-24564908D01*
-X56385716Y-24535237D01*
-X56530164Y-24497474D01*
-X56550066Y-24492177D01*
-X56783037Y-24423927D01*
-X57021519Y-24343616D01*
-X57233637Y-24262492D01*
-X57357774Y-24207131D01*
-X57542338Y-24108300D01*
-X57704712Y-24007326D01*
-X57831954Y-23913849D01*
-X57911122Y-23837511D01*
-X57929273Y-23787953D01*
-X57926201Y-23783692D01*
-X57875438Y-23781117D01*
-X57763116Y-23798403D01*
-X57609071Y-23832100D01*
-X57524034Y-23853646D01*
-X57211620Y-23913156D01*
-X56832867Y-23947147D01*
-X56401849Y-23956590D01*
-X55932641Y-23942460D01*
-X55439319Y-23905729D01*
-X54935959Y-23847371D01*
-X54436635Y-23768358D01*
-X53955422Y-23669663D01*
-X53615333Y-23583441D01*
-X53378221Y-23519607D01*
-X53170912Y-23469690D01*
-X52975821Y-23431363D01*
-X52775367Y-23402301D01*
-X52551966Y-23380176D01*
-X52288035Y-23362663D01*
-X51965990Y-23347436D01*
-X51773833Y-23339805D01*
-X51480173Y-23326995D01*
-X51207133Y-23312078D01*
-X50968625Y-23296052D01*
-X50778565Y-23279915D01*
-X50650865Y-23264664D01*
-X50609946Y-23256348D01*
-X50472984Y-23231929D01*
-X50277928Y-23218387D01*
-X50050589Y-23215280D01*
-X49816780Y-23222167D01*
-X49602311Y-23238606D01*
-X49432996Y-23264155D01*
-X49382000Y-23277356D01*
-X49224526Y-23308888D01*
-X48991917Y-23329302D01*
-X48693063Y-23337869D01*
-X48641166Y-23338090D01*
-X48440224Y-23344093D01*
-X48173489Y-23360755D01*
-X47852525Y-23386693D01*
-X47488897Y-23420520D01*
-X47094169Y-23460854D01*
-X46679905Y-23506308D01*
-X46257671Y-23555498D01*
-X45839030Y-23607040D01*
-X45435547Y-23659548D01*
-X45058786Y-23711639D01*
-X44720312Y-23761926D01*
-X44431689Y-23809027D01*
-X44204482Y-23851555D01*
-X44052184Y-23887568D01*
-X43973646Y-23903550D01*
-X43894947Y-23898382D01*
-X43794637Y-23866460D01*
-X43651265Y-23802181D01*
-X43565351Y-23760404D01*
-X43373389Y-23675124D01*
-X43172606Y-23600644D01*
-X43000202Y-23550463D01*
-X42968500Y-23543783D01*
-X42852119Y-23530513D01*
-X42655206Y-23518978D01*
-X42381879Y-23509282D01*
-X42036257Y-23501529D01*
-X41622458Y-23495824D01*
-X41144601Y-23492269D01*
-X40888197Y-23491343D01*
-X40443351Y-23490497D01*
-X40075512Y-23490532D01*
-X39777026Y-23491712D01*
-X39540237Y-23494302D01*
-X39357488Y-23498564D01*
-X39221123Y-23504762D01*
-X39123487Y-23513159D01*
-X39056924Y-23524020D01*
-X39013777Y-23537607D01*
-X38986390Y-23554184D01*
-X38983197Y-23556920D01*
-X38971472Y-23569086D01*
-X38961815Y-23579794D01*
-X38949039Y-23589066D01*
-X38927961Y-23596921D01*
-X38893395Y-23603378D01*
-X38840157Y-23608459D01*
-X38763061Y-23612184D01*
-X38656924Y-23614571D01*
-X38516559Y-23615643D01*
-X38336782Y-23615418D01*
-X38112408Y-23613916D01*
-X37838252Y-23611159D01*
-X37509129Y-23607166D01*
-X37119855Y-23601957D01*
-X36665244Y-23595553D01*
-X36140112Y-23587972D01*
-X35539274Y-23579237D01*
-X35052166Y-23572175D01*
-X34669421Y-23567825D01*
-X34301852Y-23565884D01*
-X33961167Y-23566255D01*
-X33659073Y-23568839D01*
-X33407277Y-23573542D01*
-X33217487Y-23580264D01*
-X33106571Y-23588282D01*
-X32936320Y-23606397D01*
-X32826385Y-23610053D01*
-X32753186Y-23596202D01*
-X32693145Y-23561797D01*
-X32653249Y-23529702D01*
-X32523034Y-23455885D01*
-X32356223Y-23408424D01*
-X32334010Y-23405117D01*
-X32247254Y-23399179D01*
-X32086281Y-23393596D01*
-X31861539Y-23388528D01*
-X31583479Y-23384132D01*
-X31262549Y-23380567D01*
-X30909198Y-23377991D01*
-X30533876Y-23376563D01*
-X30437833Y-23376404D01*
-X30045152Y-23375839D01*
-X29660272Y-23375126D01*
-X29295750Y-23374300D01*
-X28964146Y-23373395D01*
-X28678019Y-23372447D01*
-X28449929Y-23371489D01*
-X28292434Y-23370557D01*
-X28278833Y-23370448D01*
-X28029149Y-23372091D01*
-X27754528Y-23379771D01*
-X27499587Y-23392048D01*
-X27402054Y-23398775D01*
-X27206084Y-23416639D01*
-X27079385Y-23435810D01*
-X27006598Y-23460070D01*
-X26972363Y-23493201D01*
-X26967177Y-23505865D01*
-X26957984Y-23600432D01*
-X26998414Y-23686050D01*
-X27094975Y-23766514D01*
-X27254175Y-23845620D01*
-X27482519Y-23927164D01*
-X27786516Y-24014943D01*
-X27883744Y-24040551D01*
-X28129177Y-24109348D01*
-X28385611Y-24189874D01*
-X28620380Y-24271425D01*
-X28780516Y-24334418D01*
-X29141043Y-24489299D01*
-X28999736Y-24686566D01*
-X28923264Y-24807913D01*
-X28815800Y-25000456D01*
-X28680495Y-25257913D01*
-X28520501Y-25574004D01*
-X28338972Y-25942449D01*
-X28139058Y-26356966D01*
-X27923913Y-26811275D01*
-X27795872Y-27085202D01*
-X27441297Y-27847237D01*
-X27013398Y-28045316D01*
-X26785855Y-28143608D01*
-X26516681Y-28249245D01*
-X26245837Y-28346956D01*
-X26087711Y-28399021D01*
-X25778941Y-28509165D01*
-X25488377Y-28638370D01*
-X25230157Y-28778508D01*
-X25018419Y-28921450D01*
-X24867304Y-29059067D01*
-X24814197Y-29131176D01*
-X24759113Y-29272421D01*
-X24747790Y-29329574D01*
-X24878576Y-29329574D01*
-X24914086Y-29243022D01*
-X24972883Y-29160917D01*
-X25078888Y-29055010D01*
-X25241035Y-28945120D01*
-X25465907Y-28827831D01*
-X25760085Y-28699726D01*
-X26130154Y-28557388D01*
-X26148951Y-28550500D01*
-X26516912Y-28413195D01*
-X26813268Y-28295695D01*
-X27047420Y-28193181D01*
-X27228769Y-28100837D01*
-X27366719Y-28013846D01*
-X27470671Y-27927390D01*
-X27550027Y-27836651D01*
-X27554771Y-27830198D01*
-X27642934Y-27704457D01*
-X27715885Y-27586483D01*
-X27782771Y-27457541D01*
-X27852740Y-27298899D01*
-X27934942Y-27091822D01*
-X28003161Y-26912010D01*
-X28057878Y-26767650D01*
-X28107358Y-26642642D01*
-X28157318Y-26525938D01*
-X28213479Y-26406489D01*
-X28281560Y-26273244D01*
-X28367280Y-26115155D01*
-X28476359Y-25921172D01*
-X28614516Y-25680245D01*
-X28787469Y-25381325D01*
-X28861702Y-25253339D01*
-X29018723Y-24986491D01*
-X29140833Y-24788235D01*
-X29232445Y-24652183D01*
-X29297975Y-24571946D01*
-X29341836Y-24541137D01*
-X29353864Y-24541095D01*
-X29442120Y-24562547D01*
-X29560269Y-24587929D01*
-X29562403Y-24588360D01*
-X29659280Y-24620521D01*
-X29682352Y-24667218D01*
-X29678639Y-24680120D01*
-X29629322Y-24862458D01*
-X29635766Y-25037146D01*
-X29654597Y-25121566D01*
-X29700581Y-25263961D01*
-X29767499Y-25430687D01*
-X29846405Y-25603868D01*
-X29928353Y-25765628D01*
-X30004395Y-25898092D01*
-X30065586Y-25983384D01*
-X30097089Y-26005667D01*
-X30112729Y-25978118D01*
-X30092602Y-25891771D01*
-X30034971Y-25741073D01*
-X29961748Y-25572792D01*
-X29879501Y-25375810D01*
-X29810856Y-25185682D01*
-X29764456Y-25027851D01*
-X29749662Y-24948375D01*
-X29745091Y-24825788D01*
-X29769883Y-24740574D01*
-X29839040Y-24654992D01*
-X29883793Y-24610946D01*
-X29971574Y-24520322D01*
-X30026425Y-24451568D01*
-X30035666Y-24431029D01*
-X30003653Y-24400059D01*
-X29931630Y-24401889D01*
-X29855626Y-24432078D01*
-X29827121Y-24456740D01*
-X29793648Y-24480101D01*
-X29736770Y-24483864D01*
-X29641363Y-24465475D01*
-X29492298Y-24422378D01*
-X29345687Y-24375408D01*
-X29128485Y-24304695D01*
-X28865407Y-24219405D01*
-X28591208Y-24130789D01*
-X28363500Y-24057445D01*
-X28052687Y-23954395D01*
-X27779338Y-23857577D01*
-X27551676Y-23770356D01*
-X27377922Y-23696100D01*
-X27266298Y-23638173D01*
-X27225028Y-23599942D01*
-X27227894Y-23592535D01*
-X27295052Y-23568591D01*
-X27432725Y-23546861D01*
-X27626776Y-23528006D01*
-X27863070Y-23512685D01*
-X28127468Y-23501560D01*
-X28405835Y-23495289D01*
-X28684032Y-23494534D01*
-X28947924Y-23499955D01*
-X29083166Y-23505879D01*
-X29316546Y-23515052D01*
-X29613934Y-23521485D01*
-X29954710Y-23525035D01*
-X30318254Y-23525558D01*
-X30683947Y-23522912D01*
-X30945833Y-23518789D01*
-X31383062Y-23511143D01*
-X31741845Y-23508381D01*
-X32028337Y-23512136D01*
-X32248696Y-23524044D01*
-X32409080Y-23545737D01*
-X32515645Y-23578851D01*
-X32574548Y-23625019D01*
-X32583763Y-23657255D01*
-X39174451Y-23657255D01*
-X39197248Y-23639795D01*
-X39243166Y-23626963D01*
-X39331691Y-23616825D01*
-X39493313Y-23609713D01*
-X39716524Y-23605424D01*
-X39989816Y-23603753D01*
-X40301681Y-23604496D01*
-X40640611Y-23607450D01*
-X40995098Y-23612410D01*
-X41353635Y-23619174D01*
-X41704713Y-23627535D01*
-X42036824Y-23637292D01*
-X42338461Y-23648240D01*
-X42598115Y-23660174D01*
-X42804278Y-23672892D01*
-X42945442Y-23686189D01*
-X42989739Y-23693351D01*
-X43159917Y-23735526D01*
-X43319619Y-23783677D01*
-X43413073Y-23818630D01*
-X43482099Y-23850505D01*
-X43503698Y-23868328D01*
-X43468285Y-23874118D01*
-X43366275Y-23869893D01*
-X43217722Y-23859771D01*
-X43022199Y-23853705D01*
-X42763239Y-23856851D01*
-X42461415Y-23867896D01*
-X42137299Y-23885526D01*
-X41811465Y-23908430D01*
-X41504486Y-23935296D01*
-X41236934Y-23964810D01*
-X41029383Y-23995661D01*
-X41000000Y-24001251D01*
-X40823823Y-24043375D01*
-X40662058Y-24094039D01*
-X40548565Y-24142578D01*
-X40545204Y-24144501D01*
-X40407909Y-24224472D01*
-X40100704Y-24060433D01*
-X39899782Y-23961568D01*
-X39667985Y-23859880D01*
-X39455398Y-23777335D01*
-X39454833Y-23777136D01*
-X39293758Y-23719195D01*
-X39203459Y-23681478D01*
-X39174451Y-23657255D01*
-X32583763Y-23657255D01*
-X32591946Y-23685876D01*
-X32573997Y-23763056D01*
-X32526858Y-23858192D01*
-X32485373Y-23927392D01*
-X32412783Y-24048416D01*
-X32381075Y-24124235D01*
-X32385331Y-24180854D01*
-X32420629Y-24244275D01*
-X32424349Y-24249966D01*
-X32507775Y-24346506D01*
-X32590309Y-24393325D01*
-X32647788Y-24381323D01*
-X32643148Y-24334611D01*
-X32603629Y-24277510D01*
-X32551878Y-24208067D01*
-X32540414Y-24140622D01*
-X32572274Y-24051525D01*
-X32649750Y-23918326D01*
-X32766166Y-23730752D01*
-X33253000Y-23704040D01*
-X33385768Y-23699790D01*
-X33593768Y-23697108D01*
-X33867580Y-23695955D01*
-X34197783Y-23696289D01*
-X34574960Y-23698072D01*
-X34989688Y-23701263D01*
-X35432549Y-23705820D01*
-X35894123Y-23711705D01*
-X36237500Y-23716816D01*
-X36789684Y-23725928D01*
-X37263827Y-23734670D01*
-X37666550Y-23743291D01*
-X38004477Y-23752045D01*
-X38284230Y-23761180D01*
-X38512431Y-23770949D01*
-X38695702Y-23781603D01*
-X38840668Y-23793393D01*
-X38953949Y-23806569D01*
-X39042169Y-23821383D01*
-X39052666Y-23823553D01*
-X39409280Y-23908548D01*
-X39708461Y-24004307D01*
-X39977437Y-24122612D01*
-X40243434Y-24275247D01*
-X40500757Y-24450251D01*
-X40712402Y-24591607D01*
-X40917953Y-24710026D01*
-X41101710Y-24797876D01*
-X41247974Y-24847523D01*
-X41331178Y-24853970D01*
-X41330942Y-24827389D01*
-X41262191Y-24764600D01*
-X41130582Y-24670225D01*
-X41010583Y-24591961D01*
-X40852637Y-24490544D01*
-X40725186Y-24406301D01*
-X40642776Y-24349003D01*
-X40619000Y-24328859D01*
-X40653026Y-24302715D01*
-X40739225Y-24253434D01*
-X40792268Y-24225660D01*
-X40966697Y-24153727D01*
-X41184421Y-24096049D01*
-X41454731Y-24051229D01*
-X41786921Y-24017874D01*
-X42190280Y-23994589D01*
-X42378668Y-23987621D01*
-X42814681Y-23980828D01*
-X43175527Y-23992352D01*
-X43469121Y-24024202D01*
-X43703375Y-24078387D01*
-X43886205Y-24156915D01*
-X44025525Y-24261796D01*
-X44129248Y-24395039D01*
-X44153190Y-24438090D01*
-X44217367Y-24527487D01*
-X44304285Y-24609656D01*
-X44390503Y-24666595D01*
-X44452582Y-24680300D01*
-X44461529Y-24674916D01*
-X44450072Y-24632769D01*
-X44392247Y-24554763D01*
-X44352023Y-24510768D01*
-X44270702Y-24415986D01*
-X44222771Y-24339088D01*
-X44217333Y-24318515D01*
-X44188264Y-24259180D01*
-X44115299Y-24173761D01*
-X44080898Y-24140614D01*
-X44003347Y-24065893D01*
-X43965403Y-24021412D01*
-X43965639Y-24016000D01*
-X44012923Y-24010402D01*
-X44128556Y-23995010D01*
-X44297056Y-23971932D01*
-X44502945Y-23943274D01*
-X44590913Y-23930915D01*
-X45239966Y-23840929D01*
-X45852188Y-23758891D01*
-X46421750Y-23685480D01*
-X46942821Y-23621369D01*
-X47409570Y-23567236D01*
-X47816165Y-23523755D01*
-X48156777Y-23491603D01*
-X48425574Y-23471455D01*
-X48616725Y-23463988D01*
-X48620000Y-23463971D01*
-X48846031Y-23457897D01*
-X49070156Y-23443088D01*
-X49261793Y-23421991D01*
-X49360833Y-23404658D01*
-X49606183Y-23366460D01*
-X49899342Y-23347008D01*
-X50205404Y-23346979D01*
-X50489462Y-23367053D01*
-X50588500Y-23380765D01*
-X50719826Y-23396798D01*
-X50914210Y-23413532D01*
-X51150075Y-23429432D01*
-X51405842Y-23442960D01*
-X51541000Y-23448602D01*
-X51792027Y-23460561D01*
-X52032006Y-23478160D01*
-X52278279Y-23503535D01*
-X52548187Y-23538823D01*
-X52859074Y-23586159D01*
-X53228283Y-23647680D01*
-X53403666Y-23678045D01*
-X53890084Y-23762343D01*
-X54304619Y-23832415D01*
-X54658617Y-23889558D01*
-X54963428Y-23935066D01*
-X55230400Y-23970233D01*
-X55470881Y-23996356D01*
-X55696219Y-24014728D01*
-X55917763Y-24026644D01*
-X56146860Y-24033400D01*
-X56394858Y-24036290D01*
-X56546879Y-24036703D01*
-X56809242Y-24037436D01*
-X57040351Y-24039218D01*
-X57227762Y-24041860D01*
-X57359033Y-24045170D01*
-X57421721Y-24048959D01*
-X57425296Y-24050131D01*
-X57385918Y-24083654D01*
-X57275375Y-24131289D01*
-X57105271Y-24189319D01*
-X56887211Y-24254029D01*
-X56632797Y-24321701D01*
-X56405678Y-24376683D01*
-X55753166Y-24527866D01*
-X53170833Y-24495351D01*
-X52547235Y-24487482D01*
-X52002547Y-24480664D01*
-X51531016Y-24474963D01*
-X51126890Y-24470444D01*
-X50784418Y-24467173D01*
-X50497848Y-24465215D01*
-X50261428Y-24464635D01*
-X50069406Y-24465500D01*
-X49916031Y-24467873D01*
-X49795550Y-24471821D01*
-X49702212Y-24477409D01*
-X49630264Y-24484703D01*
-X49573956Y-24493768D01*
-X49527536Y-24504669D01*
-X49485251Y-24517471D01*
-X49441349Y-24532241D01*
-X49424333Y-24537955D01*
-X49163897Y-24637244D01*
-X48845669Y-24779878D01*
-X48480982Y-24960313D01*
-X48081171Y-25173005D01*
-X47773333Y-25345629D01*
-X47515099Y-25493177D01*
-X47232199Y-25654335D01*
-X46954425Y-25812159D01*
-X46711570Y-25949705D01*
-X46651500Y-25983623D01*
-X46349913Y-26157030D01*
-X46080326Y-26320413D01*
-X45829082Y-26483668D01*
-X45582528Y-26656695D01*
-X45327009Y-26849392D01*
-X45048871Y-27071657D01*
-X44734458Y-27333388D01*
-X44422552Y-27599381D01*
-X44242985Y-27751547D01*
-X44020124Y-27937171D01*
-X43777074Y-28137183D01*
-X43536942Y-28332513D01*
-X43427719Y-28420437D01*
-X43123918Y-28656736D01*
-X42852107Y-28848451D01*
-X42597009Y-29001143D01*
-X42343341Y-29120374D01*
-X42075824Y-29211708D01*
-X41779177Y-29280705D01*
-X41438121Y-29332929D01*
-X41037376Y-29373941D01*
-X40746000Y-29396625D01*
-X40218244Y-29422880D01*
-X39745235Y-29419144D01*
-X39301559Y-29382684D01*
-X38861804Y-29310771D01*
-X38400558Y-29200672D01*
-X38089539Y-29111093D01*
-X37877515Y-29049881D01*
-X37608954Y-28977057D01*
-X37309959Y-28899439D01*
-X37006629Y-28823846D01*
-X36809000Y-28776561D01*
-X36504671Y-28700828D01*
-X36174599Y-28611314D01*
-X35849018Y-28516687D01*
-X35558161Y-28425610D01*
-X35414545Y-28376859D01*
-X35146556Y-28285838D01*
-X34853727Y-28192496D01*
-X34569360Y-28107107D01*
-X34326755Y-28039943D01*
-X34300867Y-28033301D01*
-X34071489Y-27978361D01*
-X33805537Y-27919906D01*
-X33518842Y-27860878D01*
-X33227232Y-27804216D01*
-X32946539Y-27752860D01*
-X32692592Y-27709750D01*
-X32481222Y-27677825D01*
-X32328257Y-27660026D01*
-X32276433Y-27657325D01*
-X32120483Y-27669996D01*
-X31962148Y-27704662D01*
-X31816819Y-27754346D01*
-X31699888Y-27812073D01*
-X31626746Y-27870867D01*
-X31612784Y-27923752D01*
-X31635762Y-27947704D01*
-X31622713Y-27985037D01*
-X31557446Y-28070390D01*
-X31449582Y-28193950D01*
-X31308741Y-28345904D01*
-X31144545Y-28516442D01*
-X30966614Y-28695749D01*
-X30784568Y-28874015D01*
-X30608027Y-29041426D01*
-X30446613Y-29188171D01*
-X30309946Y-29304437D01*
-X30289666Y-29320623D01*
-X30126820Y-29455109D01*
-X29952015Y-29608666D01*
-X29845166Y-29707986D01*
-X29712670Y-29827414D01*
-X29587753Y-29916115D01*
-X29448185Y-29984810D01*
-X29271734Y-30044222D01*
-X29036169Y-30105071D01*
-X29007831Y-30111807D01*
-X28216485Y-30257639D01*
-X27422027Y-30320665D01*
-X27203828Y-30323667D01*
-X26615098Y-30323667D01*
-X25880632Y-30072472D01*
-X25617511Y-29979375D01*
-X25397944Y-29895466D01*
-X25232202Y-29825012D01*
-X25130556Y-29772280D01*
-X25103833Y-29749717D01*
-X25053322Y-29668251D01*
-X24982206Y-29557717D01*
-X24966249Y-29533366D01*
-X24896165Y-29415021D01*
-X24878576Y-29329574D01*
-X24747790Y-29329574D01*
-X24721130Y-29464124D01*
-X24712022Y-29554509D01*
-X24691839Y-29836834D01*
-X25623857Y-30143750D01*
-X26555876Y-30450667D01*
-X27205688Y-30449892D01*
-M02*
diff --git a/pcb/gerber/OtterPill-B_Silkscreen.gbo b/pcb/gerber/OtterPill-B_Silkscreen.gbo
new file mode 100644
index 0000000..25946b4
--- /dev/null
+++ b/pcb/gerber/OtterPill-B_Silkscreen.gbo
@@ -0,0 +1,1924 @@
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-4013-gfd874d834)*
+G04 #@! TF.CreationDate,2020-10-14T12:43:32+02:00*
+G04 #@! TF.ProjectId,OtterPill,4f747465-7250-4696-9c6c-2e6b69636164,rev?*
+G04 #@! TF.SameCoordinates,Original*
+G04 #@! TF.FileFunction,Legend,Bot*
+G04 #@! TF.FilePolarity,Positive*
+%FSLAX46Y46*%
+G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
+G04 Created by KiCad (PCBNEW (5.99.0-4013-gfd874d834)) date 2020-10-14 12:43:32*
+%MOMM*%
+%LPD*%
+G01*
+G04 APERTURE LIST*
+G04 #@! TA.AperFunction,Profile*
+%ADD10C,0.050000*%
+G04 #@! TD*
+%ADD11C,0.300000*%
+%ADD12C,0.150000*%
+%ADD13C,0.112500*%
+%ADD14C,0.100000*%
+%ADD15C,0.120000*%
+%ADD16C,0.200000*%
+G04 APERTURE END LIST*
+D10*
+X225200000Y-48500000D02*
+X225200000Y-88500000D01*
+X140200000Y-93500000D02*
+G75*
+G02*
+X135200000Y-88500000I0J5000000D01*
+G01*
+X220200000Y-43500000D02*
+G75*
+G02*
+X225200000Y-48500000I0J-5000000D01*
+G01*
+X225200000Y-88500000D02*
+G75*
+G02*
+X220200000Y-93500000I-5000000J0D01*
+G01*
+X140200000Y-43500000D02*
+X220200000Y-43500000D01*
+X135200000Y-48500000D02*
+G75*
+G02*
+X140200000Y-43500000I5000000J0D01*
+G01*
+X135200000Y-88500000D02*
+X135200000Y-48500000D01*
+X220200000Y-93500000D02*
+X140200000Y-93500000D01*
+D11*
+X205733333Y-85284761D02*
+X205733333Y-83951428D01*
+X205733333Y-84141904D02*
+X205638095Y-84046666D01*
+X205447619Y-83951428D01*
+X205161904Y-83951428D01*
+X204971428Y-84046666D01*
+X204876190Y-84237142D01*
+X204876190Y-85284761D01*
+X204876190Y-84237142D02*
+X204780952Y-84046666D01*
+X204590476Y-83951428D01*
+X204304761Y-83951428D01*
+X204114285Y-84046666D01*
+X204019047Y-84237142D01*
+X204019047Y-85284761D01*
+X203066666Y-85284761D02*
+X203066666Y-83951428D01*
+X203066666Y-83284761D02*
+X203161904Y-83380000D01*
+X203066666Y-83475238D01*
+X202971428Y-83380000D01*
+X203066666Y-83284761D01*
+X203066666Y-83475238D01*
+X202114285Y-83951428D02*
+X202114285Y-85284761D01*
+X202114285Y-84141904D02*
+X202019047Y-84046666D01*
+X201828571Y-83951428D01*
+X201542857Y-83951428D01*
+X201352380Y-84046666D01*
+X201257142Y-84237142D01*
+X201257142Y-85284761D01*
+X200304761Y-85284761D02*
+X200304761Y-83951428D01*
+X200304761Y-83284761D02*
+X200400000Y-83380000D01*
+X200304761Y-83475238D01*
+X200209523Y-83380000D01*
+X200304761Y-83284761D01*
+X200304761Y-83475238D01*
+X199352380Y-85284761D02*
+X199352380Y-83284761D01*
+X199161904Y-84522857D02*
+X198590476Y-85284761D01*
+X198590476Y-83951428D02*
+X199352380Y-84713333D01*
+X197733333Y-85284761D02*
+X197733333Y-83284761D01*
+X197733333Y-84046666D02*
+X197542857Y-83951428D01*
+X197161904Y-83951428D01*
+X196971428Y-84046666D01*
+X196876190Y-84141904D01*
+X196780952Y-84332380D01*
+X196780952Y-84903809D01*
+X196876190Y-85094285D01*
+X196971428Y-85189523D01*
+X197161904Y-85284761D01*
+X197542857Y-85284761D01*
+X197733333Y-85189523D01*
+X195066666Y-85284761D02*
+X195066666Y-83284761D01*
+X195066666Y-85189523D02*
+X195257142Y-85284761D01*
+X195638095Y-85284761D01*
+X195828571Y-85189523D01*
+X195923809Y-85094285D01*
+X196019047Y-84903809D01*
+X196019047Y-84332380D01*
+X195923809Y-84141904D01*
+X195828571Y-84046666D01*
+X195638095Y-83951428D01*
+X195257142Y-83951428D01*
+X195066666Y-84046666D01*
+X215495238Y-87171428D02*
+X215019047Y-88504761D01*
+X214542857Y-87171428D01*
+X213876190Y-86695238D02*
+X213780952Y-86600000D01*
+X213590476Y-86504761D01*
+X213114285Y-86504761D01*
+X212923809Y-86600000D01*
+X212828571Y-86695238D01*
+X212733333Y-86885714D01*
+X212733333Y-87076190D01*
+X212828571Y-87361904D01*
+X213971428Y-88504761D01*
+X212733333Y-88504761D01*
+X211876190Y-88314285D02*
+X211780952Y-88409523D01*
+X211876190Y-88504761D01*
+X211971428Y-88409523D01*
+X211876190Y-88314285D01*
+X211876190Y-88504761D01*
+X210542857Y-86504761D02*
+X210352380Y-86504761D01*
+X210161904Y-86600000D01*
+X210066666Y-86695238D01*
+X209971428Y-86885714D01*
+X209876190Y-87266666D01*
+X209876190Y-87742857D01*
+X209971428Y-88123809D01*
+X210066666Y-88314285D01*
+X210161904Y-88409523D01*
+X210352380Y-88504761D01*
+X210542857Y-88504761D01*
+X210733333Y-88409523D01*
+X210828571Y-88314285D01*
+X210923809Y-88123809D01*
+X211019047Y-87742857D01*
+X211019047Y-87266666D01*
+X210923809Y-86885714D01*
+X210828571Y-86695238D01*
+X210733333Y-86600000D01*
+X210542857Y-86504761D01*
+X206923809Y-89266666D02*
+X207019047Y-89171428D01*
+X207209523Y-88885714D01*
+X207304761Y-88695238D01*
+X207400000Y-88409523D01*
+X207495238Y-87933333D01*
+X207495238Y-87552380D01*
+X207400000Y-87076190D01*
+X207304761Y-86790476D01*
+X207209523Y-86600000D01*
+X207019047Y-86314285D01*
+X206923809Y-86219047D01*
+X205304761Y-88409523D02*
+X205495238Y-88504761D01*
+X205876190Y-88504761D01*
+X206066666Y-88409523D01*
+X206161904Y-88314285D01*
+X206257142Y-88123809D01*
+X206257142Y-87552380D01*
+X206161904Y-87361904D01*
+X206066666Y-87266666D01*
+X205876190Y-87171428D01*
+X205495238Y-87171428D01*
+X205304761Y-87266666D01*
+X204638095Y-89266666D02*
+X204542857Y-89171428D01*
+X204352380Y-88885714D01*
+X204257142Y-88695238D01*
+X204161904Y-88409523D01*
+X204066666Y-87933333D01*
+X204066666Y-87552380D01*
+X204161904Y-87076190D01*
+X204257142Y-86790476D01*
+X204352380Y-86600000D01*
+X204542857Y-86314285D01*
+X204638095Y-86219047D01*
+X201685714Y-86695238D02*
+X201590476Y-86600000D01*
+X201400000Y-86504761D01*
+X200923809Y-86504761D01*
+X200733333Y-86600000D01*
+X200638095Y-86695238D01*
+X200542857Y-86885714D01*
+X200542857Y-87076190D01*
+X200638095Y-87361904D01*
+X201780952Y-88504761D01*
+X200542857Y-88504761D01*
+X199304761Y-86504761D02*
+X199114285Y-86504761D01*
+X198923809Y-86600000D01*
+X198828571Y-86695238D01*
+X198733333Y-86885714D01*
+X198638095Y-87266666D01*
+X198638095Y-87742857D01*
+X198733333Y-88123809D01*
+X198828571Y-88314285D01*
+X198923809Y-88409523D01*
+X199114285Y-88504761D01*
+X199304761Y-88504761D01*
+X199495238Y-88409523D01*
+X199590476Y-88314285D01*
+X199685714Y-88123809D01*
+X199780952Y-87742857D01*
+X199780952Y-87266666D01*
+X199685714Y-86885714D01*
+X199590476Y-86695238D01*
+X199495238Y-86600000D01*
+X199304761Y-86504761D01*
+X197876190Y-86695238D02*
+X197780952Y-86600000D01*
+X197590476Y-86504761D01*
+X197114285Y-86504761D01*
+X196923809Y-86600000D01*
+X196828571Y-86695238D01*
+X196733333Y-86885714D01*
+X196733333Y-87076190D01*
+X196828571Y-87361904D01*
+X197971428Y-88504761D01*
+X196733333Y-88504761D01*
+X195495238Y-86504761D02*
+X195304761Y-86504761D01*
+X195114285Y-86600000D01*
+X195019047Y-86695238D01*
+X194923809Y-86885714D01*
+X194828571Y-87266666D01*
+X194828571Y-87742857D01*
+X194923809Y-88123809D01*
+X195019047Y-88314285D01*
+X195114285Y-88409523D01*
+X195304761Y-88504761D01*
+X195495238Y-88504761D01*
+X195685714Y-88409523D01*
+X195780952Y-88314285D01*
+X195876190Y-88123809D01*
+X195971428Y-87742857D01*
+X195971428Y-87266666D01*
+X195876190Y-86885714D01*
+X195780952Y-86695238D01*
+X195685714Y-86600000D01*
+X195495238Y-86504761D01*
+X192447619Y-87171428D02*
+X192447619Y-88885714D01*
+X192542857Y-89076190D01*
+X192733333Y-89171428D01*
+X192828571Y-89171428D01*
+X192447619Y-86504761D02*
+X192542857Y-86600000D01*
+X192447619Y-86695238D01*
+X192352380Y-86600000D01*
+X192447619Y-86504761D01*
+X192447619Y-86695238D01*
+X190638095Y-88504761D02*
+X190638095Y-87457142D01*
+X190733333Y-87266666D01*
+X190923809Y-87171428D01*
+X191304761Y-87171428D01*
+X191495238Y-87266666D01*
+X190638095Y-88409523D02*
+X190828571Y-88504761D01*
+X191304761Y-88504761D01*
+X191495238Y-88409523D01*
+X191590476Y-88219047D01*
+X191590476Y-88028571D01*
+X191495238Y-87838095D01*
+X191304761Y-87742857D01*
+X190828571Y-87742857D01*
+X190638095Y-87647619D01*
+X189780952Y-88409523D02*
+X189590476Y-88504761D01*
+X189209523Y-88504761D01*
+X189019047Y-88409523D01*
+X188923809Y-88219047D01*
+X188923809Y-88123809D01*
+X189019047Y-87933333D01*
+X189209523Y-87838095D01*
+X189495238Y-87838095D01*
+X189685714Y-87742857D01*
+X189780952Y-87552380D01*
+X189780952Y-87457142D01*
+X189685714Y-87266666D01*
+X189495238Y-87171428D01*
+X189209523Y-87171428D01*
+X189019047Y-87266666D01*
+X187304761Y-88409523D02*
+X187495238Y-88504761D01*
+X187876190Y-88504761D01*
+X188066666Y-88409523D01*
+X188161904Y-88219047D01*
+X188161904Y-87457142D01*
+X188066666Y-87266666D01*
+X187876190Y-87171428D01*
+X187495238Y-87171428D01*
+X187304761Y-87266666D01*
+X187209523Y-87457142D01*
+X187209523Y-87647619D01*
+X188161904Y-87838095D01*
+X185495238Y-87171428D02*
+X185495238Y-88790476D01*
+X185590476Y-88980952D01*
+X185685714Y-89076190D01*
+X185876190Y-89171428D01*
+X186161904Y-89171428D01*
+X186352380Y-89076190D01*
+X185495238Y-88409523D02*
+X185685714Y-88504761D01*
+X186066666Y-88504761D01*
+X186257142Y-88409523D01*
+X186352380Y-88314285D01*
+X186447619Y-88123809D01*
+X186447619Y-87552380D01*
+X186352380Y-87361904D01*
+X186257142Y-87266666D01*
+X186066666Y-87171428D01*
+X185685714Y-87171428D01*
+X185495238Y-87266666D01*
+X214542857Y-90391428D02*
+X214542857Y-92010476D01*
+X214638095Y-92200952D01*
+X214733333Y-92296190D01*
+X214923809Y-92391428D01*
+X215209523Y-92391428D01*
+X215400000Y-92296190D01*
+X214542857Y-91629523D02*
+X214733333Y-91724761D01*
+X215114285Y-91724761D01*
+X215304761Y-91629523D01*
+X215400000Y-91534285D01*
+X215495238Y-91343809D01*
+X215495238Y-90772380D01*
+X215400000Y-90581904D01*
+X215304761Y-90486666D01*
+X215114285Y-90391428D01*
+X214733333Y-90391428D01*
+X214542857Y-90486666D01*
+X213590476Y-91724761D02*
+X213590476Y-90391428D01*
+X213590476Y-89724761D02*
+X213685714Y-89820000D01*
+X213590476Y-89915238D01*
+X213495238Y-89820000D01*
+X213590476Y-89724761D01*
+X213590476Y-89915238D01*
+X212923809Y-90391428D02*
+X212161904Y-90391428D01*
+X212638095Y-89724761D02*
+X212638095Y-91439047D01*
+X212542857Y-91629523D01*
+X212352380Y-91724761D01*
+X212161904Y-91724761D01*
+X211495238Y-91534285D02*
+X211400000Y-91629523D01*
+X211495238Y-91724761D01*
+X211590476Y-91629523D01*
+X211495238Y-91534285D01*
+X211495238Y-91724761D01*
+X210542857Y-90391428D02*
+X210542857Y-92105714D01*
+X210638095Y-92296190D01*
+X210828571Y-92391428D01*
+X210923809Y-92391428D01*
+X210542857Y-89724761D02*
+X210638095Y-89820000D01*
+X210542857Y-89915238D01*
+X210447619Y-89820000D01*
+X210542857Y-89724761D01*
+X210542857Y-89915238D01*
+X208733333Y-91724761D02*
+X208733333Y-90677142D01*
+X208828571Y-90486666D01*
+X209019047Y-90391428D01*
+X209400000Y-90391428D01*
+X209590476Y-90486666D01*
+X208733333Y-91629523D02*
+X208923809Y-91724761D01*
+X209400000Y-91724761D01*
+X209590476Y-91629523D01*
+X209685714Y-91439047D01*
+X209685714Y-91248571D01*
+X209590476Y-91058095D01*
+X209400000Y-90962857D01*
+X208923809Y-90962857D01*
+X208733333Y-90867619D01*
+X207876190Y-91629523D02*
+X207685714Y-91724761D01*
+X207304761Y-91724761D01*
+X207114285Y-91629523D01*
+X207019047Y-91439047D01*
+X207019047Y-91343809D01*
+X207114285Y-91153333D01*
+X207304761Y-91058095D01*
+X207590476Y-91058095D01*
+X207780952Y-90962857D01*
+X207876190Y-90772380D01*
+X207876190Y-90677142D01*
+X207780952Y-90486666D01*
+X207590476Y-90391428D01*
+X207304761Y-90391428D01*
+X207114285Y-90486666D01*
+X205400000Y-91629523D02*
+X205590476Y-91724761D01*
+X205971428Y-91724761D01*
+X206161904Y-91629523D01*
+X206257142Y-91439047D01*
+X206257142Y-90677142D01*
+X206161904Y-90486666D01*
+X205971428Y-90391428D01*
+X205590476Y-90391428D01*
+X205400000Y-90486666D01*
+X205304761Y-90677142D01*
+X205304761Y-90867619D01*
+X206257142Y-91058095D01*
+X203590476Y-90391428D02*
+X203590476Y-92010476D01*
+X203685714Y-92200952D01*
+X203780952Y-92296190D01*
+X203971428Y-92391428D01*
+X204257142Y-92391428D01*
+X204447619Y-92296190D01*
+X203590476Y-91629523D02*
+X203780952Y-91724761D01*
+X204161904Y-91724761D01*
+X204352380Y-91629523D01*
+X204447619Y-91534285D01*
+X204542857Y-91343809D01*
+X204542857Y-90772380D01*
+X204447619Y-90581904D01*
+X204352380Y-90486666D01*
+X204161904Y-90391428D01*
+X203780952Y-90391428D01*
+X203590476Y-90486666D01*
+X202638095Y-91534285D02*
+X202542857Y-91629523D01*
+X202638095Y-91724761D01*
+X202733333Y-91629523D01*
+X202638095Y-91534285D01*
+X202638095Y-91724761D01*
+X200828571Y-91724761D02*
+X200828571Y-89724761D01*
+X200828571Y-91629523D02*
+X201019047Y-91724761D01*
+X201400000Y-91724761D01*
+X201590476Y-91629523D01*
+X201685714Y-91534285D01*
+X201780952Y-91343809D01*
+X201780952Y-90772380D01*
+X201685714Y-90581904D01*
+X201590476Y-90486666D01*
+X201400000Y-90391428D01*
+X201019047Y-90391428D01*
+X200828571Y-90486666D01*
+X199114285Y-91629523D02*
+X199304761Y-91724761D01*
+X199685714Y-91724761D01*
+X199876190Y-91629523D01*
+X199971428Y-91439047D01*
+X199971428Y-90677142D01*
+X199876190Y-90486666D01*
+X199685714Y-90391428D01*
+X199304761Y-90391428D01*
+X199114285Y-90486666D01*
+X199019047Y-90677142D01*
+X199019047Y-90867619D01*
+X199971428Y-91058095D01*
+X196733333Y-89629523D02*
+X198447619Y-92200952D01*
+X196066666Y-91724761D02*
+X196066666Y-90391428D01*
+X196066666Y-90581904D02*
+X195971428Y-90486666D01*
+X195780952Y-90391428D01*
+X195495238Y-90391428D01*
+X195304761Y-90486666D01*
+X195209523Y-90677142D01*
+X195209523Y-91724761D01*
+X195209523Y-90677142D02*
+X195114285Y-90486666D01*
+X194923809Y-90391428D01*
+X194638095Y-90391428D01*
+X194447619Y-90486666D01*
+X194352380Y-90677142D01*
+X194352380Y-91724761D01*
+X193400000Y-91724761D02*
+X193400000Y-90391428D01*
+X193400000Y-89724761D02*
+X193495238Y-89820000D01*
+X193400000Y-89915238D01*
+X193304761Y-89820000D01*
+X193400000Y-89724761D01*
+X193400000Y-89915238D01*
+X192447619Y-90391428D02*
+X192447619Y-91724761D01*
+X192447619Y-90581904D02*
+X192352380Y-90486666D01*
+X192161904Y-90391428D01*
+X191876190Y-90391428D01*
+X191685714Y-90486666D01*
+X191590476Y-90677142D01*
+X191590476Y-91724761D01*
+X190638095Y-91724761D02*
+X190638095Y-90391428D01*
+X190638095Y-89724761D02*
+X190733333Y-89820000D01*
+X190638095Y-89915238D01*
+X190542857Y-89820000D01*
+X190638095Y-89724761D01*
+X190638095Y-89915238D01*
+X189685714Y-91724761D02*
+X189685714Y-89724761D01*
+X189495238Y-90962857D02*
+X188923809Y-91724761D01*
+X188923809Y-90391428D02*
+X189685714Y-91153333D01*
+X188066666Y-91724761D02*
+X188066666Y-89724761D01*
+X188066666Y-90486666D02*
+X187876190Y-90391428D01*
+X187495238Y-90391428D01*
+X187304761Y-90486666D01*
+X187209523Y-90581904D01*
+X187114285Y-90772380D01*
+X187114285Y-91343809D01*
+X187209523Y-91534285D01*
+X187304761Y-91629523D01*
+X187495238Y-91724761D01*
+X187876190Y-91724761D01*
+X188066666Y-91629523D01*
+X185400000Y-91724761D02*
+X185400000Y-89724761D01*
+X185400000Y-91629523D02*
+X185590476Y-91724761D01*
+X185971428Y-91724761D01*
+X186161904Y-91629523D01*
+X186257142Y-91534285D01*
+X186352380Y-91343809D01*
+X186352380Y-90772380D01*
+X186257142Y-90581904D01*
+X186161904Y-90486666D01*
+X185971428Y-90391428D01*
+X185590476Y-90391428D01*
+X185400000Y-90486666D01*
+D12*
+X188266666Y-70787142D02*
+X188314285Y-70834761D01*
+X188457142Y-70882380D01*
+X188552380Y-70882380D01*
+X188695238Y-70834761D01*
+X188790476Y-70739523D01*
+X188838095Y-70644285D01*
+X188885714Y-70453809D01*
+X188885714Y-70310952D01*
+X188838095Y-70120476D01*
+X188790476Y-70025238D01*
+X188695238Y-69930000D01*
+X188552380Y-69882380D01*
+X188457142Y-69882380D01*
+X188314285Y-69930000D01*
+X188266666Y-69977619D01*
+X187409523Y-69882380D02*
+X187600000Y-69882380D01*
+X187695238Y-69930000D01*
+X187742857Y-69977619D01*
+X187838095Y-70120476D01*
+X187885714Y-70310952D01*
+X187885714Y-70691904D01*
+X187838095Y-70787142D01*
+X187790476Y-70834761D01*
+X187695238Y-70882380D01*
+X187504761Y-70882380D01*
+X187409523Y-70834761D01*
+X187361904Y-70787142D01*
+X187314285Y-70691904D01*
+X187314285Y-70453809D01*
+X187361904Y-70358571D01*
+X187409523Y-70310952D01*
+X187504761Y-70263333D01*
+X187695238Y-70263333D01*
+X187790476Y-70310952D01*
+X187838095Y-70358571D01*
+X187885714Y-70453809D01*
+X208752380Y-51238095D02*
+X209561904Y-51238095D01*
+X209657142Y-51285714D01*
+X209704761Y-51333333D01*
+X209752380Y-51428571D01*
+X209752380Y-51619047D01*
+X209704761Y-51714285D01*
+X209657142Y-51761904D01*
+X209561904Y-51809523D01*
+X208752380Y-51809523D01*
+X208752380Y-52190476D02*
+X208752380Y-52809523D01*
+X209133333Y-52476190D01*
+X209133333Y-52619047D01*
+X209180952Y-52714285D01*
+X209228571Y-52761904D01*
+X209323809Y-52809523D01*
+X209561904Y-52809523D01*
+X209657142Y-52761904D01*
+X209704761Y-52714285D01*
+X209752380Y-52619047D01*
+X209752380Y-52333333D01*
+X209704761Y-52238095D01*
+X209657142Y-52190476D01*
+X191082380Y-45833333D02*
+X190606190Y-45500000D01*
+X191082380Y-45261904D02*
+X190082380Y-45261904D01*
+X190082380Y-45642857D01*
+X190130000Y-45738095D01*
+X190177619Y-45785714D01*
+X190272857Y-45833333D01*
+X190415714Y-45833333D01*
+X190510952Y-45785714D01*
+X190558571Y-45738095D01*
+X190606190Y-45642857D01*
+X190606190Y-45261904D01*
+X190082380Y-46738095D02*
+X190082380Y-46261904D01*
+X190558571Y-46214285D01*
+X190510952Y-46261904D01*
+X190463333Y-46357142D01*
+X190463333Y-46595238D01*
+X190510952Y-46690476D01*
+X190558571Y-46738095D01*
+X190653809Y-46785714D01*
+X190891904Y-46785714D01*
+X190987142Y-46738095D01*
+X191034761Y-46690476D01*
+X191082380Y-46595238D01*
+X191082380Y-46357142D01*
+X191034761Y-46261904D01*
+X190987142Y-46214285D01*
+D13*
+X189630714Y-64115000D02*
+X189652142Y-64093571D01*
+X189673571Y-64029285D01*
+X189673571Y-63986428D01*
+X189652142Y-63922142D01*
+X189609285Y-63879285D01*
+X189566428Y-63857857D01*
+X189480714Y-63836428D01*
+X189416428Y-63836428D01*
+X189330714Y-63857857D01*
+X189287857Y-63879285D01*
+X189245000Y-63922142D01*
+X189223571Y-63986428D01*
+X189223571Y-64029285D01*
+X189245000Y-64093571D01*
+X189266428Y-64115000D01*
+X189373571Y-64500714D02*
+X189673571Y-64500714D01*
+X189202142Y-64393571D02*
+X189523571Y-64286428D01*
+X189523571Y-64565000D01*
+X190729857Y-47725000D02*
+X190708428Y-47660714D01*
+X190708428Y-47553571D01*
+X190729857Y-47510714D01*
+X190751285Y-47489285D01*
+X190794142Y-47467857D01*
+X190837000Y-47467857D01*
+X190879857Y-47489285D01*
+X190901285Y-47510714D01*
+X190922714Y-47553571D01*
+X190944142Y-47639285D01*
+X190965571Y-47682142D01*
+X190987000Y-47703571D01*
+X191029857Y-47725000D01*
+X191072714Y-47725000D01*
+X191115571Y-47703571D01*
+X191137000Y-47682142D01*
+X191158428Y-47639285D01*
+X191158428Y-47532142D01*
+X191137000Y-47467857D01*
+X191158428Y-47317857D02*
+X190708428Y-47210714D01*
+X191029857Y-47125000D01*
+X190708428Y-47039285D01*
+X191158428Y-46932142D01*
+X190708428Y-46525000D02*
+X190708428Y-46782142D01*
+X190708428Y-46653571D02*
+X191158428Y-46653571D01*
+X191094142Y-46696428D01*
+X191051285Y-46739285D01*
+X191029857Y-46782142D01*
+X196620714Y-55655000D02*
+X196642142Y-55633571D01*
+X196663571Y-55569285D01*
+X196663571Y-55526428D01*
+X196642142Y-55462142D01*
+X196599285Y-55419285D01*
+X196556428Y-55397857D01*
+X196470714Y-55376428D01*
+X196406428Y-55376428D01*
+X196320714Y-55397857D01*
+X196277857Y-55419285D01*
+X196235000Y-55462142D01*
+X196213571Y-55526428D01*
+X196213571Y-55569285D01*
+X196235000Y-55633571D01*
+X196256428Y-55655000D01*
+X196663571Y-56083571D02*
+X196663571Y-55826428D01*
+X196663571Y-55955000D02*
+X196213571Y-55955000D01*
+X196277857Y-55912142D01*
+X196320714Y-55869285D01*
+X196342142Y-55826428D01*
+D12*
+X172712857Y-73166666D02*
+X172665238Y-73214285D01*
+X172617619Y-73357142D01*
+X172617619Y-73452380D01*
+X172665238Y-73595238D01*
+X172760476Y-73690476D01*
+X172855714Y-73738095D01*
+X173046190Y-73785714D01*
+X173189047Y-73785714D01*
+X173379523Y-73738095D01*
+X173474761Y-73690476D01*
+X173570000Y-73595238D01*
+X173617619Y-73452380D01*
+X173617619Y-73357142D01*
+X173570000Y-73214285D01*
+X173522380Y-73166666D01*
+X172617619Y-72690476D02*
+X172617619Y-72500000D01*
+X172665238Y-72404761D01*
+X172712857Y-72357142D01*
+X172855714Y-72261904D01*
+X173046190Y-72214285D01*
+X173427142Y-72214285D01*
+X173522380Y-72261904D01*
+X173570000Y-72309523D01*
+X173617619Y-72404761D01*
+X173617619Y-72595238D01*
+X173570000Y-72690476D01*
+X173522380Y-72738095D01*
+X173427142Y-72785714D01*
+X173189047Y-72785714D01*
+X173093809Y-72738095D01*
+X173046190Y-72690476D01*
+X172998571Y-72595238D01*
+X172998571Y-72404761D01*
+X173046190Y-72309523D01*
+X173093809Y-72261904D01*
+X173189047Y-72214285D01*
+X191082380Y-48982142D02*
+X190606190Y-48648809D01*
+X191082380Y-48410714D02*
+X190082380Y-48410714D01*
+X190082380Y-48791666D01*
+X190130000Y-48886904D01*
+X190177619Y-48934523D01*
+X190272857Y-48982142D01*
+X190415714Y-48982142D01*
+X190510952Y-48934523D01*
+X190558571Y-48886904D01*
+X190606190Y-48791666D01*
+X190606190Y-48410714D01*
+X191082380Y-49934523D02*
+X191082380Y-49363095D01*
+X191082380Y-49648809D02*
+X190082380Y-49648809D01*
+X190225238Y-49553571D01*
+X190320476Y-49458333D01*
+X190368095Y-49363095D01*
+X190082380Y-50267857D02*
+X190082380Y-50886904D01*
+X190463333Y-50553571D01*
+X190463333Y-50696428D01*
+X190510952Y-50791666D01*
+X190558571Y-50839285D01*
+X190653809Y-50886904D01*
+X190891904Y-50886904D01*
+X190987142Y-50839285D01*
+X191034761Y-50791666D01*
+X191082380Y-50696428D01*
+X191082380Y-50410714D01*
+X191034761Y-50315476D01*
+X190987142Y-50267857D01*
+X200866666Y-45177142D02*
+X200914285Y-45224761D01*
+X201057142Y-45272380D01*
+X201152380Y-45272380D01*
+X201295238Y-45224761D01*
+X201390476Y-45129523D01*
+X201438095Y-45034285D01*
+X201485714Y-44843809D01*
+X201485714Y-44700952D01*
+X201438095Y-44510476D01*
+X201390476Y-44415238D01*
+X201295238Y-44320000D01*
+X201152380Y-44272380D01*
+X201057142Y-44272380D01*
+X200914285Y-44320000D01*
+X200866666Y-44367619D01*
+X199961904Y-44272380D02*
+X200438095Y-44272380D01*
+X200485714Y-44748571D01*
+X200438095Y-44700952D01*
+X200342857Y-44653333D01*
+X200104761Y-44653333D01*
+X200009523Y-44700952D01*
+X199961904Y-44748571D01*
+X199914285Y-44843809D01*
+X199914285Y-45081904D01*
+X199961904Y-45177142D01*
+X200009523Y-45224761D01*
+X200104761Y-45272380D01*
+X200342857Y-45272380D01*
+X200438095Y-45224761D01*
+X200485714Y-45177142D01*
+D13*
+X199500714Y-50210714D02*
+X199522142Y-50189285D01*
+X199543571Y-50125000D01*
+X199543571Y-50082142D01*
+X199522142Y-50017857D01*
+X199479285Y-49975000D01*
+X199436428Y-49953571D01*
+X199350714Y-49932142D01*
+X199286428Y-49932142D01*
+X199200714Y-49953571D01*
+X199157857Y-49975000D01*
+X199115000Y-50017857D01*
+X199093571Y-50082142D01*
+X199093571Y-50125000D01*
+X199115000Y-50189285D01*
+X199136428Y-50210714D01*
+X199543571Y-50639285D02*
+X199543571Y-50382142D01*
+X199543571Y-50510714D02*
+X199093571Y-50510714D01*
+X199157857Y-50467857D01*
+X199200714Y-50425000D01*
+X199222142Y-50382142D01*
+X199543571Y-51067857D02*
+X199543571Y-50810714D01*
+X199543571Y-50939285D02*
+X199093571Y-50939285D01*
+X199157857Y-50896428D01*
+X199200714Y-50853571D01*
+X199222142Y-50810714D01*
+D14*
+X198156666Y-55512857D02*
+X198175714Y-55531904D01*
+X198232857Y-55550952D01*
+X198270952Y-55550952D01*
+X198328095Y-55531904D01*
+X198366190Y-55493809D01*
+X198385238Y-55455714D01*
+X198404285Y-55379523D01*
+X198404285Y-55322380D01*
+X198385238Y-55246190D01*
+X198366190Y-55208095D01*
+X198328095Y-55170000D01*
+X198270952Y-55150952D01*
+X198232857Y-55150952D01*
+X198175714Y-55170000D01*
+X198156666Y-55189047D01*
+X198004285Y-55189047D02*
+X197985238Y-55170000D01*
+X197947142Y-55150952D01*
+X197851904Y-55150952D01*
+X197813809Y-55170000D01*
+X197794761Y-55189047D01*
+X197775714Y-55227142D01*
+X197775714Y-55265238D01*
+X197794761Y-55322380D01*
+X198023333Y-55550952D01*
+X197775714Y-55550952D01*
+D12*
+X204842857Y-69287142D02*
+X204890476Y-69334761D01*
+X205033333Y-69382380D01*
+X205128571Y-69382380D01*
+X205271428Y-69334761D01*
+X205366666Y-69239523D01*
+X205414285Y-69144285D01*
+X205461904Y-68953809D01*
+X205461904Y-68810952D01*
+X205414285Y-68620476D01*
+X205366666Y-68525238D01*
+X205271428Y-68430000D01*
+X205128571Y-68382380D01*
+X205033333Y-68382380D01*
+X204890476Y-68430000D01*
+X204842857Y-68477619D01*
+X203890476Y-69382380D02*
+X204461904Y-69382380D01*
+X204176190Y-69382380D02*
+X204176190Y-68382380D01*
+X204271428Y-68525238D01*
+X204366666Y-68620476D01*
+X204461904Y-68668095D01*
+X203033333Y-68715714D02*
+X203033333Y-69382380D01*
+X203271428Y-68334761D02*
+X203509523Y-69049047D01*
+X202890476Y-69049047D01*
+X204842857Y-68427142D02*
+X204890476Y-68474761D01*
+X205033333Y-68522380D01*
+X205128571Y-68522380D01*
+X205271428Y-68474761D01*
+X205366666Y-68379523D01*
+X205414285Y-68284285D01*
+X205461904Y-68093809D01*
+X205461904Y-67950952D01*
+X205414285Y-67760476D01*
+X205366666Y-67665238D01*
+X205271428Y-67570000D01*
+X205128571Y-67522380D01*
+X205033333Y-67522380D01*
+X204890476Y-67570000D01*
+X204842857Y-67617619D01*
+X203890476Y-68522380D02*
+X204461904Y-68522380D01*
+X204176190Y-68522380D02*
+X204176190Y-67522380D01*
+X204271428Y-67665238D01*
+X204366666Y-67760476D01*
+X204461904Y-67808095D01*
+X202985714Y-67522380D02*
+X203461904Y-67522380D01*
+X203509523Y-67998571D01*
+X203461904Y-67950952D01*
+X203366666Y-67903333D01*
+X203128571Y-67903333D01*
+X203033333Y-67950952D01*
+X202985714Y-67998571D01*
+X202938095Y-68093809D01*
+X202938095Y-68331904D01*
+X202985714Y-68427142D01*
+X203033333Y-68474761D01*
+X203128571Y-68522380D01*
+X203366666Y-68522380D01*
+X203461904Y-68474761D01*
+X203509523Y-68427142D01*
+X204842857Y-64522380D02*
+X205176190Y-64046190D01*
+X205414285Y-64522380D02*
+X205414285Y-63522380D01*
+X205033333Y-63522380D01*
+X204938095Y-63570000D01*
+X204890476Y-63617619D01*
+X204842857Y-63712857D01*
+X204842857Y-63855714D01*
+X204890476Y-63950952D01*
+X204938095Y-63998571D01*
+X205033333Y-64046190D01*
+X205414285Y-64046190D01*
+X203890476Y-64522380D02*
+X204461904Y-64522380D01*
+X204176190Y-64522380D02*
+X204176190Y-63522380D01*
+X204271428Y-63665238D01*
+X204366666Y-63760476D01*
+X204461904Y-63808095D01*
+X203414285Y-64522380D02*
+X203223809Y-64522380D01*
+X203128571Y-64474761D01*
+X203080952Y-64427142D01*
+X202985714Y-64284285D01*
+X202938095Y-64093809D01*
+X202938095Y-63712857D01*
+X202985714Y-63617619D01*
+X203033333Y-63570000D01*
+X203128571Y-63522380D01*
+X203319047Y-63522380D01*
+X203414285Y-63570000D01*
+X203461904Y-63617619D01*
+X203509523Y-63712857D01*
+X203509523Y-63950952D01*
+X203461904Y-64046190D01*
+X203414285Y-64093809D01*
+X203319047Y-64141428D01*
+X203128571Y-64141428D01*
+X203033333Y-64093809D01*
+X202985714Y-64046190D01*
+X202938095Y-63950952D01*
+X204842857Y-73382380D02*
+X205176190Y-72906190D01*
+X205414285Y-73382380D02*
+X205414285Y-72382380D01*
+X205033333Y-72382380D01*
+X204938095Y-72430000D01*
+X204890476Y-72477619D01*
+X204842857Y-72572857D01*
+X204842857Y-72715714D01*
+X204890476Y-72810952D01*
+X204938095Y-72858571D01*
+X205033333Y-72906190D01*
+X205414285Y-72906190D01*
+X204461904Y-72477619D02*
+X204414285Y-72430000D01*
+X204319047Y-72382380D01*
+X204080952Y-72382380D01*
+X203985714Y-72430000D01*
+X203938095Y-72477619D01*
+X203890476Y-72572857D01*
+X203890476Y-72668095D01*
+X203938095Y-72810952D01*
+X204509523Y-73382380D01*
+X203890476Y-73382380D01*
+X203271428Y-72382380D02*
+X203176190Y-72382380D01*
+X203080952Y-72430000D01*
+X203033333Y-72477619D01*
+X202985714Y-72572857D01*
+X202938095Y-72763333D01*
+X202938095Y-73001428D01*
+X202985714Y-73191904D01*
+X203033333Y-73287142D01*
+X203080952Y-73334761D01*
+X203176190Y-73382380D01*
+X203271428Y-73382380D01*
+X203366666Y-73334761D01*
+X203414285Y-73287142D01*
+X203461904Y-73191904D01*
+X203509523Y-73001428D01*
+X203509523Y-72763333D01*
+X203461904Y-72572857D01*
+X203414285Y-72477619D01*
+X203366666Y-72430000D01*
+X203271428Y-72382380D01*
+X200842857Y-68522380D02*
+X201176190Y-68046190D01*
+X201414285Y-68522380D02*
+X201414285Y-67522380D01*
+X201033333Y-67522380D01*
+X200938095Y-67570000D01*
+X200890476Y-67617619D01*
+X200842857Y-67712857D01*
+X200842857Y-67855714D01*
+X200890476Y-67950952D01*
+X200938095Y-67998571D01*
+X201033333Y-68046190D01*
+X201414285Y-68046190D01*
+X200461904Y-67617619D02*
+X200414285Y-67570000D01*
+X200319047Y-67522380D01*
+X200080952Y-67522380D01*
+X199985714Y-67570000D01*
+X199938095Y-67617619D01*
+X199890476Y-67712857D01*
+X199890476Y-67808095D01*
+X199938095Y-67950952D01*
+X200509523Y-68522380D01*
+X199890476Y-68522380D01*
+X198938095Y-68522380D02*
+X199509523Y-68522380D01*
+X199223809Y-68522380D02*
+X199223809Y-67522380D01*
+X199319047Y-67665238D01*
+X199414285Y-67760476D01*
+X199509523Y-67808095D01*
+X176152380Y-63761904D02*
+X175152380Y-63761904D01*
+X175152380Y-64000000D01*
+X175200000Y-64142857D01*
+X175295238Y-64238095D01*
+X175390476Y-64285714D01*
+X175580952Y-64333333D01*
+X175723809Y-64333333D01*
+X175914285Y-64285714D01*
+X176009523Y-64238095D01*
+X176104761Y-64142857D01*
+X176152380Y-64000000D01*
+X176152380Y-63761904D01*
+X175152380Y-64666666D02*
+X175152380Y-65285714D01*
+X175533333Y-64952380D01*
+X175533333Y-65095238D01*
+X175580952Y-65190476D01*
+X175628571Y-65238095D01*
+X175723809Y-65285714D01*
+X175961904Y-65285714D01*
+X176057142Y-65238095D01*
+X176104761Y-65190476D01*
+X176152380Y-65095238D01*
+X176152380Y-64809523D01*
+X176104761Y-64714285D01*
+X176057142Y-64666666D01*
+X217438095Y-74952380D02*
+X217438095Y-73952380D01*
+X217200000Y-73952380D01*
+X217057142Y-74000000D01*
+X216961904Y-74095238D01*
+X216914285Y-74190476D01*
+X216866666Y-74380952D01*
+X216866666Y-74523809D01*
+X216914285Y-74714285D01*
+X216961904Y-74809523D01*
+X217057142Y-74904761D01*
+X217200000Y-74952380D01*
+X217438095Y-74952380D01*
+X216009523Y-74285714D02*
+X216009523Y-74952380D01*
+X216247619Y-73904761D02*
+X216485714Y-74619047D01*
+X215866666Y-74619047D01*
+X145438095Y-71452380D02*
+X145438095Y-70452380D01*
+X145200000Y-70452380D01*
+X145057142Y-70500000D01*
+X144961904Y-70595238D01*
+X144914285Y-70690476D01*
+X144866666Y-70880952D01*
+X144866666Y-71023809D01*
+X144914285Y-71214285D01*
+X144961904Y-71309523D01*
+X145057142Y-71404761D01*
+X145200000Y-71452380D01*
+X145438095Y-71452380D01*
+X143961904Y-70452380D02*
+X144438095Y-70452380D01*
+X144485714Y-70928571D01*
+X144438095Y-70880952D01*
+X144342857Y-70833333D01*
+X144104761Y-70833333D01*
+X144009523Y-70880952D01*
+X143961904Y-70928571D01*
+X143914285Y-71023809D01*
+X143914285Y-71261904D01*
+X143961904Y-71357142D01*
+X144009523Y-71404761D01*
+X144104761Y-71452380D01*
+X144342857Y-71452380D01*
+X144438095Y-71404761D01*
+X144485714Y-71357142D01*
+X171438095Y-71352380D02*
+X171438095Y-70352380D01*
+X171200000Y-70352380D01*
+X171057142Y-70400000D01*
+X170961904Y-70495238D01*
+X170914285Y-70590476D01*
+X170866666Y-70780952D01*
+X170866666Y-70923809D01*
+X170914285Y-71114285D01*
+X170961904Y-71209523D01*
+X171057142Y-71304761D01*
+X171200000Y-71352380D01*
+X171438095Y-71352380D01*
+X170533333Y-70352380D02*
+X169866666Y-70352380D01*
+X170295238Y-71352380D01*
+X195938095Y-66452380D02*
+X195938095Y-65452380D01*
+X195700000Y-65452380D01*
+X195557142Y-65500000D01*
+X195461904Y-65595238D01*
+X195414285Y-65690476D01*
+X195366666Y-65880952D01*
+X195366666Y-66023809D01*
+X195414285Y-66214285D01*
+X195461904Y-66309523D01*
+X195557142Y-66404761D01*
+X195700000Y-66452380D01*
+X195938095Y-66452380D01*
+X194509523Y-65452380D02*
+X194700000Y-65452380D01*
+X194795238Y-65500000D01*
+X194842857Y-65547619D01*
+X194938095Y-65690476D01*
+X194985714Y-65880952D01*
+X194985714Y-66261904D01*
+X194938095Y-66357142D01*
+X194890476Y-66404761D01*
+X194795238Y-66452380D01*
+X194604761Y-66452380D01*
+X194509523Y-66404761D01*
+X194461904Y-66357142D01*
+X194414285Y-66261904D01*
+X194414285Y-66023809D01*
+X194461904Y-65928571D01*
+X194509523Y-65880952D01*
+X194604761Y-65833333D01*
+X194795238Y-65833333D01*
+X194890476Y-65880952D01*
+X194938095Y-65928571D01*
+X194985714Y-66023809D01*
+X184082380Y-53333333D02*
+X183606190Y-53000000D01*
+X184082380Y-52761904D02*
+X183082380Y-52761904D01*
+X183082380Y-53142857D01*
+X183130000Y-53238095D01*
+X183177619Y-53285714D01*
+X183272857Y-53333333D01*
+X183415714Y-53333333D01*
+X183510952Y-53285714D01*
+X183558571Y-53238095D01*
+X183606190Y-53142857D01*
+X183606190Y-52761904D01*
+X184082380Y-54285714D02*
+X184082380Y-53714285D01*
+X184082380Y-54000000D02*
+X183082380Y-54000000D01*
+X183225238Y-53904761D01*
+X183320476Y-53809523D01*
+X183368095Y-53714285D01*
+X178741666Y-59132380D02*
+X179075000Y-58656190D01*
+X179313095Y-59132380D02*
+X179313095Y-58132380D01*
+X178932142Y-58132380D01*
+X178836904Y-58180000D01*
+X178789285Y-58227619D01*
+X178741666Y-58322857D01*
+X178741666Y-58465714D01*
+X178789285Y-58560952D01*
+X178836904Y-58608571D01*
+X178932142Y-58656190D01*
+X179313095Y-58656190D01*
+X178170238Y-58560952D02*
+X178265476Y-58513333D01*
+X178313095Y-58465714D01*
+X178360714Y-58370476D01*
+X178360714Y-58322857D01*
+X178313095Y-58227619D01*
+X178265476Y-58180000D01*
+X178170238Y-58132380D01*
+X177979761Y-58132380D01*
+X177884523Y-58180000D01*
+X177836904Y-58227619D01*
+X177789285Y-58322857D01*
+X177789285Y-58370476D01*
+X177836904Y-58465714D01*
+X177884523Y-58513333D01*
+X177979761Y-58560952D01*
+X178170238Y-58560952D01*
+X178265476Y-58608571D01*
+X178313095Y-58656190D01*
+X178360714Y-58751428D01*
+X178360714Y-58941904D01*
+X178313095Y-59037142D01*
+X178265476Y-59084761D01*
+X178170238Y-59132380D01*
+X177979761Y-59132380D01*
+X177884523Y-59084761D01*
+X177836904Y-59037142D01*
+X177789285Y-58941904D01*
+X177789285Y-58751428D01*
+X177836904Y-58656190D01*
+X177884523Y-58608571D01*
+X177979761Y-58560952D01*
+X177832380Y-54083333D02*
+X177356190Y-53750000D01*
+X177832380Y-53511904D02*
+X176832380Y-53511904D01*
+X176832380Y-53892857D01*
+X176880000Y-53988095D01*
+X176927619Y-54035714D01*
+X177022857Y-54083333D01*
+X177165714Y-54083333D01*
+X177260952Y-54035714D01*
+X177308571Y-53988095D01*
+X177356190Y-53892857D01*
+X177356190Y-53511904D01*
+X176927619Y-54464285D02*
+X176880000Y-54511904D01*
+X176832380Y-54607142D01*
+X176832380Y-54845238D01*
+X176880000Y-54940476D01*
+X176927619Y-54988095D01*
+X177022857Y-55035714D01*
+X177118095Y-55035714D01*
+X177260952Y-54988095D01*
+X177832380Y-54416666D01*
+X177832380Y-55035714D01*
+X185166666Y-56282380D02*
+X185500000Y-55806190D01*
+X185738095Y-56282380D02*
+X185738095Y-55282380D01*
+X185357142Y-55282380D01*
+X185261904Y-55330000D01*
+X185214285Y-55377619D01*
+X185166666Y-55472857D01*
+X185166666Y-55615714D01*
+X185214285Y-55710952D01*
+X185261904Y-55758571D01*
+X185357142Y-55806190D01*
+X185738095Y-55806190D01*
+X184690476Y-56282380D02*
+X184500000Y-56282380D01*
+X184404761Y-56234761D01*
+X184357142Y-56187142D01*
+X184261904Y-56044285D01*
+X184214285Y-55853809D01*
+X184214285Y-55472857D01*
+X184261904Y-55377619D01*
+X184309523Y-55330000D01*
+X184404761Y-55282380D01*
+X184595238Y-55282380D01*
+X184690476Y-55330000D01*
+X184738095Y-55377619D01*
+X184785714Y-55472857D01*
+X184785714Y-55710952D01*
+X184738095Y-55806190D01*
+X184690476Y-55853809D01*
+X184595238Y-55901428D01*
+X184404761Y-55901428D01*
+X184309523Y-55853809D01*
+X184261904Y-55806190D01*
+X184214285Y-55710952D01*
+X189387142Y-53857142D02*
+X189434761Y-53809523D01*
+X189482380Y-53666666D01*
+X189482380Y-53571428D01*
+X189434761Y-53428571D01*
+X189339523Y-53333333D01*
+X189244285Y-53285714D01*
+X189053809Y-53238095D01*
+X188910952Y-53238095D01*
+X188720476Y-53285714D01*
+X188625238Y-53333333D01*
+X188530000Y-53428571D01*
+X188482380Y-53571428D01*
+X188482380Y-53666666D01*
+X188530000Y-53809523D01*
+X188577619Y-53857142D01*
+X189482380Y-54809523D02*
+X189482380Y-54238095D01*
+X189482380Y-54523809D02*
+X188482380Y-54523809D01*
+X188625238Y-54428571D01*
+X188720476Y-54333333D01*
+X188768095Y-54238095D01*
+X188482380Y-55428571D02*
+X188482380Y-55523809D01*
+X188530000Y-55619047D01*
+X188577619Y-55666666D01*
+X188672857Y-55714285D01*
+X188863333Y-55761904D01*
+X189101428Y-55761904D01*
+X189291904Y-55714285D01*
+X189387142Y-55666666D01*
+X189434761Y-55619047D01*
+X189482380Y-55523809D01*
+X189482380Y-55428571D01*
+X189434761Y-55333333D01*
+X189387142Y-55285714D01*
+X189291904Y-55238095D01*
+X189101428Y-55190476D01*
+X188863333Y-55190476D01*
+X188672857Y-55238095D01*
+X188577619Y-55285714D01*
+X188530000Y-55333333D01*
+X188482380Y-55428571D01*
+X185112857Y-61666666D02*
+X185065238Y-61714285D01*
+X185017619Y-61857142D01*
+X185017619Y-61952380D01*
+X185065238Y-62095238D01*
+X185160476Y-62190476D01*
+X185255714Y-62238095D01*
+X185446190Y-62285714D01*
+X185589047Y-62285714D01*
+X185779523Y-62238095D01*
+X185874761Y-62190476D01*
+X185970000Y-62095238D01*
+X186017619Y-61952380D01*
+X186017619Y-61857142D01*
+X185970000Y-61714285D01*
+X185922380Y-61666666D01*
+X185589047Y-61095238D02*
+X185636666Y-61190476D01*
+X185684285Y-61238095D01*
+X185779523Y-61285714D01*
+X185827142Y-61285714D01*
+X185922380Y-61238095D01*
+X185970000Y-61190476D01*
+X186017619Y-61095238D01*
+X186017619Y-60904761D01*
+X185970000Y-60809523D01*
+X185922380Y-60761904D01*
+X185827142Y-60714285D01*
+X185779523Y-60714285D01*
+X185684285Y-60761904D01*
+X185636666Y-60809523D01*
+X185589047Y-60904761D01*
+X185589047Y-61095238D01*
+X185541428Y-61190476D01*
+X185493809Y-61238095D01*
+X185398571Y-61285714D01*
+X185208095Y-61285714D01*
+X185112857Y-61238095D01*
+X185065238Y-61190476D01*
+X185017619Y-61095238D01*
+X185017619Y-60904761D01*
+X185065238Y-60809523D01*
+X185112857Y-60761904D01*
+X185208095Y-60714285D01*
+X185398571Y-60714285D01*
+X185493809Y-60761904D01*
+X185541428Y-60809523D01*
+X185589047Y-60904761D01*
+X183412857Y-61666666D02*
+X183365238Y-61714285D01*
+X183317619Y-61857142D01*
+X183317619Y-61952380D01*
+X183365238Y-62095238D01*
+X183460476Y-62190476D01*
+X183555714Y-62238095D01*
+X183746190Y-62285714D01*
+X183889047Y-62285714D01*
+X184079523Y-62238095D01*
+X184174761Y-62190476D01*
+X184270000Y-62095238D01*
+X184317619Y-61952380D01*
+X184317619Y-61857142D01*
+X184270000Y-61714285D01*
+X184222380Y-61666666D01*
+X184317619Y-61333333D02*
+X184317619Y-60666666D01*
+X183317619Y-61095238D01*
+D13*
+X176978571Y-55457142D02*
+X177342857Y-55457142D01*
+X177385714Y-55478571D01*
+X177407142Y-55500000D01*
+X177428571Y-55542857D01*
+X177428571Y-55628571D01*
+X177407142Y-55671428D01*
+X177385714Y-55692857D01*
+X177342857Y-55714285D01*
+X176978571Y-55714285D01*
+X177428571Y-56164285D02*
+X177428571Y-55907142D01*
+X177428571Y-56035714D02*
+X176978571Y-56035714D01*
+X177042857Y-55992857D01*
+X177085714Y-55950000D01*
+X177107142Y-55907142D01*
+X177763622Y-73937142D02*
+X178127908Y-73937142D01*
+X178170765Y-73958571D01*
+X178192193Y-73980000D01*
+X178213622Y-74022857D01*
+X178213622Y-74108571D01*
+X178192193Y-74151428D01*
+X178170765Y-74172857D01*
+X178127908Y-74194285D01*
+X177763622Y-74194285D01*
+X177806479Y-74387142D02*
+X177785051Y-74408571D01*
+X177763622Y-74451428D01*
+X177763622Y-74558571D01*
+X177785051Y-74601428D01*
+X177806479Y-74622857D01*
+X177849336Y-74644285D01*
+X177892193Y-74644285D01*
+X177956479Y-74622857D01*
+X178213622Y-74365714D01*
+X178213622Y-74644285D01*
+D12*
+X200842857Y-69382380D02*
+X201176190Y-68906190D01*
+X201414285Y-69382380D02*
+X201414285Y-68382380D01*
+X201033333Y-68382380D01*
+X200938095Y-68430000D01*
+X200890476Y-68477619D01*
+X200842857Y-68572857D01*
+X200842857Y-68715714D01*
+X200890476Y-68810952D01*
+X200938095Y-68858571D01*
+X201033333Y-68906190D01*
+X201414285Y-68906190D01*
+X199890476Y-69382380D02*
+X200461904Y-69382380D01*
+X200176190Y-69382380D02*
+X200176190Y-68382380D01*
+X200271428Y-68525238D01*
+X200366666Y-68620476D01*
+X200461904Y-68668095D01*
+X199319047Y-68810952D02*
+X199414285Y-68763333D01*
+X199461904Y-68715714D01*
+X199509523Y-68620476D01*
+X199509523Y-68572857D01*
+X199461904Y-68477619D01*
+X199414285Y-68430000D01*
+X199319047Y-68382380D01*
+X199128571Y-68382380D01*
+X199033333Y-68430000D01*
+X198985714Y-68477619D01*
+X198938095Y-68572857D01*
+X198938095Y-68620476D01*
+X198985714Y-68715714D01*
+X199033333Y-68763333D01*
+X199128571Y-68810952D01*
+X199319047Y-68810952D01*
+X199414285Y-68858571D01*
+X199461904Y-68906190D01*
+X199509523Y-69001428D01*
+X199509523Y-69191904D01*
+X199461904Y-69287142D01*
+X199414285Y-69334761D01*
+X199319047Y-69382380D01*
+X199128571Y-69382380D01*
+X199033333Y-69334761D01*
+X198985714Y-69287142D01*
+X198938095Y-69191904D01*
+X198938095Y-69001428D01*
+X198985714Y-68906190D01*
+X199033333Y-68858571D01*
+X199128571Y-68810952D01*
+X174995238Y-83009523D02*
+X174947619Y-82866666D01*
+X174947619Y-82628571D01*
+X174995238Y-82533333D01*
+X175042857Y-82485714D01*
+X175138095Y-82438095D01*
+X175233333Y-82438095D01*
+X175328571Y-82485714D01*
+X175376190Y-82533333D01*
+X175423809Y-82628571D01*
+X175471428Y-82819047D01*
+X175519047Y-82914285D01*
+X175566666Y-82961904D01*
+X175661904Y-83009523D01*
+X175757142Y-83009523D01*
+X175852380Y-82961904D01*
+X175900000Y-82914285D01*
+X175947619Y-82819047D01*
+X175947619Y-82580952D01*
+X175900000Y-82438095D01*
+X175947619Y-82104761D02*
+X174947619Y-81866666D01*
+X175661904Y-81676190D01*
+X174947619Y-81485714D01*
+X175947619Y-81247619D01*
+X174947619Y-80342857D02*
+X174947619Y-80914285D01*
+X174947619Y-80628571D02*
+X175947619Y-80628571D01*
+X175804761Y-80723809D01*
+X175709523Y-80819047D01*
+X175661904Y-80914285D01*
+X175852380Y-79961904D02*
+X175900000Y-79914285D01*
+X175947619Y-79819047D01*
+X175947619Y-79580952D01*
+X175900000Y-79485714D01*
+X175852380Y-79438095D01*
+X175757142Y-79390476D01*
+X175661904Y-79390476D01*
+X175519047Y-79438095D01*
+X174947619Y-80009523D01*
+X174947619Y-79390476D01*
+X178047619Y-83047619D02*
+X178047619Y-82952380D01*
+X178000000Y-82857142D01*
+X177952380Y-82809523D01*
+X177857142Y-82761904D01*
+X177666666Y-82714285D01*
+X177428571Y-82714285D01*
+X177238095Y-82761904D01*
+X177142857Y-82809523D01*
+X177095238Y-82857142D01*
+X177047619Y-82952380D01*
+X177047619Y-83047619D01*
+X177095238Y-83142857D01*
+X177142857Y-83190476D01*
+X177238095Y-83238095D01*
+X177428571Y-83285714D01*
+X177666666Y-83285714D01*
+X177857142Y-83238095D01*
+X177952380Y-83190476D01*
+X178000000Y-83142857D01*
+X178047619Y-83047619D01*
+X183547619Y-82761904D02*
+X183547619Y-83238095D01*
+X183071428Y-83285714D01*
+X183119047Y-83238095D01*
+X183166666Y-83142857D01*
+X183166666Y-82904761D01*
+X183119047Y-82809523D01*
+X183071428Y-82761904D01*
+X182976190Y-82714285D01*
+X182738095Y-82714285D01*
+X182642857Y-82761904D01*
+X182595238Y-82809523D01*
+X182547619Y-82904761D01*
+X182547619Y-83142857D01*
+X182595238Y-83238095D01*
+X182642857Y-83285714D01*
+D15*
+X187928733Y-69510000D02*
+X188271267Y-69510000D01*
+X187928733Y-68490000D02*
+X188271267Y-68490000D01*
+X201390000Y-53850000D02*
+X201390000Y-50090000D01*
+X208210000Y-50090000D02*
+X206950000Y-50090000D01*
+X201390000Y-50090000D02*
+X202650000Y-50090000D01*
+X208210000Y-56100000D02*
+X208210000Y-50090000D01*
+X188690000Y-46171267D02*
+X188690000Y-45828733D01*
+X189710000Y-46171267D02*
+X189710000Y-45828733D01*
+X187841422Y-66490000D02*
+X188358578Y-66490000D01*
+X187841422Y-67910000D02*
+X188358578Y-67910000D01*
+X193900000Y-45550000D02*
+X193900000Y-49950000D01*
+X190500000Y-45550000D02*
+X190620000Y-45550000D01*
+X190620000Y-49950000D02*
+X190500000Y-49950000D01*
+X190500000Y-49950000D02*
+X190500000Y-45550000D01*
+X193900000Y-49950000D02*
+X193780000Y-49950000D01*
+X191630000Y-45550000D02*
+X192770000Y-45550000D01*
+X193780000Y-45550000D02*
+X193900000Y-45550000D01*
+X192770000Y-49950000D02*
+X191630000Y-49950000D01*
+X197160000Y-53491422D02*
+X197160000Y-54008578D01*
+X195740000Y-53491422D02*
+X195740000Y-54008578D01*
+X188000000Y-47100000D02*
+X186600000Y-47100000D01*
+X186600000Y-47100000D02*
+X186600000Y-48500000D01*
+X188000000Y-48500000D02*
+X188000000Y-47100000D01*
+X186600000Y-48500000D02*
+X188000000Y-48500000D01*
+X173990000Y-72828733D02*
+X173990000Y-73171267D01*
+X175010000Y-72828733D02*
+X175010000Y-73171267D01*
+X188690000Y-49796267D02*
+X188690000Y-49453733D01*
+X189710000Y-49796267D02*
+X189710000Y-49453733D01*
+X200871267Y-45740000D02*
+X200528733Y-45740000D01*
+X200871267Y-46760000D02*
+X200528733Y-46760000D01*
+X200958578Y-47540000D02*
+X200441422Y-47540000D01*
+X200958578Y-48960000D02*
+X200441422Y-48960000D01*
+X218300000Y-66800000D02*
+X224300000Y-66800000D01*
+X224300000Y-69340000D02*
+X224300000Y-68580000D01*
+X218300000Y-71460000D02*
+X224300000Y-71460000D01*
+X224300000Y-64260000D02*
+X224300000Y-63500000D01*
+X215242929Y-68580000D02*
+X215640000Y-68580000D01*
+X215242929Y-69340000D02*
+X215640000Y-69340000D01*
+X218300000Y-64260000D02*
+X224300000Y-64260000D01*
+X218300000Y-71820000D02*
+X224300000Y-71820000D01*
+X224300000Y-68580000D02*
+X218300000Y-68580000D01*
+X218300000Y-71220000D02*
+X224300000Y-71220000D01*
+X218300000Y-62550000D02*
+X218300000Y-72830000D01*
+X215640000Y-70230000D02*
+X218300000Y-70230000D01*
+X224300000Y-71120000D02*
+X218300000Y-71120000D01*
+X218300000Y-71700000D02*
+X224300000Y-71700000D01*
+X215310000Y-71880000D02*
+X215640000Y-71880000D01*
+X215640000Y-65150000D02*
+X218300000Y-65150000D01*
+X215242929Y-63500000D02*
+X215640000Y-63500000D01*
+X215310000Y-71120000D02*
+X215640000Y-71120000D01*
+X215242929Y-66800000D02*
+X215640000Y-66800000D01*
+X218300000Y-69340000D02*
+X224300000Y-69340000D01*
+X218300000Y-71580000D02*
+X224300000Y-71580000D01*
+X215640000Y-62550000D02*
+X218300000Y-62550000D01*
+X224300000Y-63500000D02*
+X218300000Y-63500000D01*
+X215242929Y-66040000D02*
+X215640000Y-66040000D01*
+X218300000Y-71340000D02*
+X224300000Y-71340000D01*
+X215640000Y-72830000D02*
+X215640000Y-62550000D01*
+X224300000Y-66040000D02*
+X218300000Y-66040000D01*
+X218300000Y-72830000D02*
+X215640000Y-72830000D01*
+X224300000Y-71880000D02*
+X224300000Y-71120000D01*
+X215242929Y-64260000D02*
+X215640000Y-64260000D01*
+X215640000Y-67690000D02*
+X218300000Y-67690000D01*
+X224300000Y-66800000D02*
+X224300000Y-66040000D01*
+X218300000Y-71880000D02*
+X224300000Y-71880000D01*
+X197940000Y-53587221D02*
+X197940000Y-53912779D01*
+X198960000Y-53587221D02*
+X198960000Y-53912779D01*
+X204028733Y-66990000D02*
+X204371267Y-66990000D01*
+X204028733Y-68010000D02*
+X204371267Y-68010000D01*
+X204371267Y-68990000D02*
+X204028733Y-68990000D01*
+X204371267Y-70010000D02*
+X204028733Y-70010000D01*
+X204371267Y-66010000D02*
+X204028733Y-66010000D01*
+X204371267Y-64990000D02*
+X204028733Y-64990000D01*
+X204028733Y-72010000D02*
+X204371267Y-72010000D01*
+X204028733Y-70990000D02*
+X204371267Y-70990000D01*
+X200371267Y-68990000D02*
+X200028733Y-68990000D01*
+X200371267Y-70010000D02*
+X200028733Y-70010000D01*
+X171620000Y-63740000D02*
+X171620000Y-65200000D01*
+X171620000Y-63740000D02*
+X172550000Y-63740000D01*
+X174780000Y-63740000D02*
+X174780000Y-65900000D01*
+X174780000Y-63740000D02*
+X173850000Y-63740000D01*
+X215940000Y-75420000D02*
+X218100000Y-75420000D01*
+X215940000Y-78580000D02*
+X217400000Y-78580000D01*
+X215940000Y-78580000D02*
+X215940000Y-77650000D01*
+X215940000Y-75420000D02*
+X215940000Y-76350000D01*
+X145460000Y-70080000D02*
+X145460000Y-69150000D01*
+X145460000Y-66920000D02*
+X145460000Y-67850000D01*
+X145460000Y-70080000D02*
+X143300000Y-70080000D01*
+X145460000Y-66920000D02*
+X144000000Y-66920000D01*
+X171460000Y-66820000D02*
+X171460000Y-67750000D01*
+X171460000Y-69980000D02*
+X169300000Y-69980000D01*
+X171460000Y-69980000D02*
+X171460000Y-69050000D01*
+X171460000Y-66820000D02*
+X170000000Y-66820000D01*
+X194440000Y-70080000D02*
+X195900000Y-70080000D01*
+X194440000Y-70080000D02*
+X194440000Y-69150000D01*
+X194440000Y-66920000D02*
+X194440000Y-67850000D01*
+X194440000Y-66920000D02*
+X196600000Y-66920000D01*
+X181690000Y-53671267D02*
+X181690000Y-53328733D01*
+X182710000Y-53671267D02*
+X182710000Y-53328733D01*
+X178403733Y-56740000D02*
+X178746267Y-56740000D01*
+X178403733Y-57760000D02*
+X178746267Y-57760000D01*
+X175440000Y-54421267D02*
+X175440000Y-54078733D01*
+X176460000Y-54421267D02*
+X176460000Y-54078733D01*
+X184828733Y-54910000D02*
+X185171267Y-54910000D01*
+X184828733Y-53890000D02*
+X185171267Y-53890000D01*
+X187090000Y-54671267D02*
+X187090000Y-54328733D01*
+X188110000Y-54671267D02*
+X188110000Y-54328733D01*
+X186390000Y-61328733D02*
+X186390000Y-61671267D01*
+X187410000Y-61328733D02*
+X187410000Y-61671267D01*
+X185710000Y-61328733D02*
+X185710000Y-61671267D01*
+X184690000Y-61328733D02*
+X184690000Y-61671267D01*
+D12*
+X175700000Y-48500000D02*
+X175700000Y-46500000D01*
+X184700000Y-44500000D02*
+X184700000Y-42805000D01*
+X175700000Y-51000000D02*
+X176200000Y-51000000D01*
+X184700000Y-42805000D02*
+X175700000Y-42805000D01*
+X175700000Y-42805000D02*
+X175700000Y-44500000D01*
+X184200000Y-51000000D02*
+X184700000Y-51000000D01*
+X184700000Y-46500000D02*
+X184700000Y-48500000D01*
+D15*
+X180560000Y-53350000D02*
+X180560000Y-55800000D01*
+X177340000Y-55150000D02*
+X177340000Y-53350000D01*
+X179881802Y-63712887D02*
+X180200000Y-63394689D01*
+X185305311Y-68500000D02*
+X184987113Y-68181802D01*
+X175094689Y-68500000D02*
+X175412887Y-68818198D01*
+X175412887Y-68181802D02*
+X175094689Y-68500000D01*
+X179881802Y-73287113D02*
+X178969634Y-74199281D01*
+X184987113Y-68818198D02*
+X185305311Y-68500000D01*
+X180200000Y-63394689D02*
+X180518198Y-63712887D01*
+X180200000Y-73605311D02*
+X179881802Y-73287113D01*
+X180518198Y-73287113D02*
+X180200000Y-73605311D01*
+X200028733Y-68010000D02*
+X200371267Y-68010000D01*
+X200028733Y-66990000D02*
+X200371267Y-66990000D01*
+D16*
+X179500000Y-83500000D02*
+X179400000Y-83700000D01*
+X179400000Y-83700000D02*
+X180000000Y-83700000D01*
+X177000000Y-79300000D02*
+X176500000Y-79300000D01*
+X180900000Y-79300000D02*
+X182000000Y-79300000D01*
+X178400000Y-86700000D02*
+X179500000Y-86700000D01*
+X183900000Y-86700000D02*
+X183900000Y-79300000D01*
+X178400000Y-79300000D02*
+X179500000Y-79300000D01*
+X176500000Y-79300000D02*
+X176500000Y-86700000D01*
+X183900000Y-79300000D02*
+X183400000Y-79300000D01*
+X179000000Y-84000000D02*
+X179600000Y-83100000D01*
+X176500000Y-86700000D02*
+X177000000Y-86700000D01*
+X181500000Y-82100000D02*
+X179000000Y-84000000D01*
+X179600000Y-83100000D02*
+X179500000Y-83500000D01*
+X183400000Y-86700000D02*
+X183900000Y-86700000D01*
+X179100000Y-83900000D02*
+X180000000Y-83700000D01*
+X180900000Y-86700000D02*
+X182000000Y-86700000D01*
+X181762050Y-83000000D02*
+G75*
+G03*
+X181762050Y-83000000I-1562050J0D01*
+G01*
+M02*
diff --git a/pcb/gerber/OtterPill-Edge_Cuts.gko b/pcb/gerber/OtterPill-Edge_Cuts.gko
deleted file mode 100644
index 246e421..0000000
--- a/pcb/gerber/OtterPill-Edge_Cuts.gko
+++ /dev/null
@@ -1,39 +0,0 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.4+dfsg1-1*
-G04 #@! TF.CreationDate,2019-11-16T02:43:21+01:00*
-G04 #@! TF.ProjectId,OtterPill,4f747465-7250-4696-9c6c-2e6b69636164,rev?*
-G04 #@! TF.SameCoordinates,Original*
-G04 #@! TF.FileFunction,Profile,NP*
-%FSLAX46Y46*%
-G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.4+dfsg1-1) date 2019-11-16 02:43:21*
-%MOMM*%
-%LPD*%
-G04 APERTURE LIST*
-%ADD10C,0.050000*%
-G04 APERTURE END LIST*
-D10*
-X63200000Y-37100000D02*
-G75*
-G02X62900000Y-37400000I-300000J0D01*
-G01*
-X62900000Y-19800000D02*
-G75*
-G02X63200000Y-20100000I0J-300000D01*
-G01*
-X20000000Y-20100000D02*
-G75*
-G02X20300000Y-19800000I300000J0D01*
-G01*
-X20300000Y-37400000D02*
-G75*
-G02X20000000Y-37100000I0J300000D01*
-G01*
-X20000000Y-37100000D02*
-X20000000Y-20100000D01*
-X62900000Y-37400000D02*
-X20300000Y-37400000D01*
-X63200000Y-20100000D02*
-X63200000Y-37100000D01*
-X20300000Y-19800000D02*
-X62900000Y-19800000D01*
-M02*
diff --git a/pcb/gerber/OtterPill-Edge_Cuts.gm1 b/pcb/gerber/OtterPill-Edge_Cuts.gm1
new file mode 100644
index 0000000..0007106
--- /dev/null
+++ b/pcb/gerber/OtterPill-Edge_Cuts.gm1
@@ -0,0 +1,46 @@
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-4013-gfd874d834)*
+G04 #@! TF.CreationDate,2020-10-14T12:43:33+02:00*
+G04 #@! TF.ProjectId,OtterPill,4f747465-7250-4696-9c6c-2e6b69636164,rev?*
+G04 #@! TF.SameCoordinates,Original*
+G04 #@! TF.FileFunction,Profile,NP*
+%FSLAX46Y46*%
+G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
+G04 Created by KiCad (PCBNEW (5.99.0-4013-gfd874d834)) date 2020-10-14 12:43:33*
+%MOMM*%
+%LPD*%
+G01*
+G04 APERTURE LIST*
+G04 #@! TA.AperFunction,Profile*
+%ADD10C,0.050000*%
+G04 #@! TD*
+G04 APERTURE END LIST*
+D10*
+X225200000Y-48500000D02*
+X225200000Y-88500000D01*
+X140200000Y-93500000D02*
+G75*
+G02*
+X135200000Y-88500000I0J5000000D01*
+G01*
+X220200000Y-43500000D02*
+G75*
+G02*
+X225200000Y-48500000I0J-5000000D01*
+G01*
+X225200000Y-88500000D02*
+G75*
+G02*
+X220200000Y-93500000I-5000000J0D01*
+G01*
+X140200000Y-43500000D02*
+X220200000Y-43500000D01*
+X135200000Y-48500000D02*
+G75*
+G02*
+X140200000Y-43500000I5000000J0D01*
+G01*
+X135200000Y-88500000D02*
+X135200000Y-48500000D01*
+X220200000Y-93500000D02*
+X140200000Y-93500000D01*
+M02*
diff --git a/pcb/gerber/OtterPill-F_Cu.gtl b/pcb/gerber/OtterPill-F_Cu.gtl
index e3024be..f69a6ab 100644
--- a/pcb/gerber/OtterPill-F_Cu.gtl
+++ b/pcb/gerber/OtterPill-F_Cu.gtl
@@ -1,16353 +1,11481 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.4+dfsg1-1*
-G04 #@! TF.CreationDate,2019-11-16T02:43:21+01:00*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-4013-gfd874d834)*
+G04 #@! TF.CreationDate,2020-10-14T12:43:30+02:00*
G04 #@! TF.ProjectId,OtterPill,4f747465-7250-4696-9c6c-2e6b69636164,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L1,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.4+dfsg1-1) date 2019-11-16 02:43:21*
+G04 Created by KiCad (PCBNEW (5.99.0-4013-gfd874d834)) date 2020-10-14 12:43:30*
%MOMM*%
%LPD*%
+G01*
G04 APERTURE LIST*
+G04 Aperture macros list*
+%AMRoundRect*
+0 Rectangle with rounded corners*
+0 $1 Rounding radius*
+0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
+0 Add a 4 corners polygon primitive as box body*
+4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
+0 Add four circle primitives for the rounded corners*
+1,1,$1+$1,$2,$3,0*
+1,1,$1+$1,$4,$5,0*
+1,1,$1+$1,$6,$7,0*
+1,1,$1+$1,$8,$9,0*
+0 Add four rect primitives between the rounded corners*
+20,1,$1+$1,$2,$3,$4,$5,0*
+20,1,$1+$1,$4,$5,$6,$7,0*
+20,1,$1+$1,$6,$7,$8,$9,0*
+20,1,$1+$1,$8,$9,$2,$3,0*%
+G04 Aperture macros list end*
+G04 #@! TA.AperFunction,Profile*
%ADD10C,0.050000*%
-%ADD11C,0.100000*%
-%ADD12C,0.875000*%
-%ADD13O,1.700000X1.700000*%
-%ADD14R,1.700000X1.700000*%
-%ADD15C,0.590000*%
-%ADD16C,1.250000*%
-%ADD17C,0.975000*%
-%ADD18C,0.300000*%
-%ADD19R,1.050000X0.650000*%
-%ADD20C,0.250000*%
-%ADD21C,1.450000*%
-%ADD22R,1.060000X0.650000*%
-%ADD23O,2.100000X1.000000*%
-%ADD24O,1.600000X1.000000*%
-%ADD25R,1.450000X0.600000*%
-%ADD26R,1.450000X0.300000*%
-%ADD27C,0.600000*%
-%ADD28C,0.200000*%
-%ADD29C,0.400000*%
-%ADD30C,0.157000*%
-%ADD31C,0.600000*%
-%ADD32C,0.800000*%
-%ADD33C,0.254000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD11RoundRect,0.237500X-0.287500X-0.237500X0.287500X-0.237500X0.287500X0.237500X-0.287500X0.237500X0*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+%ADD12RoundRect,0.237500X0.287500X0.237500X-0.287500X0.237500X-0.287500X-0.237500X0.287500X-0.237500X0*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD13O,2.400000X2.000000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD14C,2.400000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD15O,4.500000X3.500000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD16C,2.200000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD17R,1.700000X1.700000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD18O,1.700000X1.700000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD19O,1.000000X2.100000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ComponentPad*
+%ADD20O,1.000000X1.600000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,ViaPad*
+%ADD21C,0.600000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,Conductor*
+%ADD22C,0.200000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,Conductor*
+%ADD23C,0.600000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,Conductor*
+%ADD24C,0.400000*%
+G04 #@! TD*
+G04 #@! TA.AperFunction,Conductor*
+%ADD25C,0.800000*%
+G04 #@! TD*
G04 APERTURE END LIST*
D10*
-X63200000Y-37100000D02*
+X225200000Y-48500000D02*
+X225200000Y-88500000D01*
+X140200000Y-93500000D02*
G75*
-G02X62900000Y-37400000I-300000J0D01*
+G02*
+X135200000Y-88500000I0J5000000D01*
G01*
-X62900000Y-19800000D02*
+X220200000Y-43500000D02*
G75*
-G02X63200000Y-20100000I0J-300000D01*
+G02*
+X225200000Y-48500000I0J-5000000D01*
G01*
-X20000000Y-20100000D02*
+X225200000Y-88500000D02*
G75*
-G02X20300000Y-19800000I300000J0D01*
+G02*
+X220200000Y-93500000I-5000000J0D01*
G01*
-X20300000Y-37400000D02*
+X140200000Y-43500000D02*
+X220200000Y-43500000D01*
+X135200000Y-48500000D02*
G75*
-G02X20000000Y-37100000I0J300000D01*
+G02*
+X140200000Y-43500000I5000000J0D01*
G01*
-X20000000Y-37100000D02*
-X20000000Y-20100000D01*
-X62900000Y-37400000D02*
-X20300000Y-37400000D01*
-X63200000Y-20100000D02*
-X63200000Y-37100000D01*
-X20300000Y-19800000D02*
-X62900000Y-19800000D01*
+X135200000Y-88500000D02*
+X135200000Y-48500000D01*
+X220200000Y-93500000D02*
+X140200000Y-93500000D01*
D11*
-G36*
-X51457691Y-28116053D02*
-G01*
-X51478926Y-28119203D01*
-X51499750Y-28124419D01*
-X51519962Y-28131651D01*
-X51539368Y-28140830D01*
-X51557781Y-28151866D01*
-X51575024Y-28164654D01*
-X51590930Y-28179070D01*
-X51605346Y-28194976D01*
-X51618134Y-28212219D01*
-X51629170Y-28230632D01*
-X51638349Y-28250038D01*
-X51645581Y-28270250D01*
-X51650797Y-28291074D01*
-X51653947Y-28312309D01*
-X51655000Y-28333750D01*
-X51655000Y-28771250D01*
-X51653947Y-28792691D01*
-X51650797Y-28813926D01*
-X51645581Y-28834750D01*
-X51638349Y-28854962D01*
-X51629170Y-28874368D01*
-X51618134Y-28892781D01*
-X51605346Y-28910024D01*
-X51590930Y-28925930D01*
-X51575024Y-28940346D01*
-X51557781Y-28953134D01*
-X51539368Y-28964170D01*
-X51519962Y-28973349D01*
-X51499750Y-28980581D01*
-X51478926Y-28985797D01*
-X51457691Y-28988947D01*
-X51436250Y-28990000D01*
-X50923750Y-28990000D01*
-X50902309Y-28988947D01*
-X50881074Y-28985797D01*
-X50860250Y-28980581D01*
-X50840038Y-28973349D01*
-X50820632Y-28964170D01*
-X50802219Y-28953134D01*
-X50784976Y-28940346D01*
-X50769070Y-28925930D01*
-X50754654Y-28910024D01*
-X50741866Y-28892781D01*
-X50730830Y-28874368D01*
-X50721651Y-28854962D01*
-X50714419Y-28834750D01*
-X50709203Y-28813926D01*
-X50706053Y-28792691D01*
-X50705000Y-28771250D01*
-X50705000Y-28333750D01*
-X50706053Y-28312309D01*
-X50709203Y-28291074D01*
-X50714419Y-28270250D01*
-X50721651Y-28250038D01*
-X50730830Y-28230632D01*
-X50741866Y-28212219D01*
-X50754654Y-28194976D01*
-X50769070Y-28179070D01*
-X50784976Y-28164654D01*
-X50802219Y-28151866D01*
-X50820632Y-28140830D01*
-X50840038Y-28131651D01*
-X50860250Y-28124419D01*
-X50881074Y-28119203D01*
-X50902309Y-28116053D01*
-X50923750Y-28115000D01*
-X51436250Y-28115000D01*
-X51457691Y-28116053D01*
-X51457691Y-28116053D01*
-G37*
+X147825000Y-69500000D03*
+X149575000Y-69500000D03*
D12*
-X51180000Y-28552500D03*
+X149575000Y-67500000D03*
+X147825000Y-67500000D03*
D11*
-G36*
-X51457691Y-29691053D02*
-G01*
-X51478926Y-29694203D01*
-X51499750Y-29699419D01*
-X51519962Y-29706651D01*
-X51539368Y-29715830D01*
-X51557781Y-29726866D01*
-X51575024Y-29739654D01*
-X51590930Y-29754070D01*
-X51605346Y-29769976D01*
-X51618134Y-29787219D01*
-X51629170Y-29805632D01*
-X51638349Y-29825038D01*
-X51645581Y-29845250D01*
-X51650797Y-29866074D01*
-X51653947Y-29887309D01*
-X51655000Y-29908750D01*
-X51655000Y-30346250D01*
-X51653947Y-30367691D01*
-X51650797Y-30388926D01*
-X51645581Y-30409750D01*
-X51638349Y-30429962D01*
-X51629170Y-30449368D01*
-X51618134Y-30467781D01*
-X51605346Y-30485024D01*
-X51590930Y-30500930D01*
-X51575024Y-30515346D01*
-X51557781Y-30528134D01*
-X51539368Y-30539170D01*
-X51519962Y-30548349D01*
-X51499750Y-30555581D01*
-X51478926Y-30560797D01*
-X51457691Y-30563947D01*
-X51436250Y-30565000D01*
-X50923750Y-30565000D01*
-X50902309Y-30563947D01*
-X50881074Y-30560797D01*
-X50860250Y-30555581D01*
-X50840038Y-30548349D01*
-X50820632Y-30539170D01*
-X50802219Y-30528134D01*
-X50784976Y-30515346D01*
-X50769070Y-30500930D01*
-X50754654Y-30485024D01*
-X50741866Y-30467781D01*
-X50730830Y-30449368D01*
-X50721651Y-30429962D01*
-X50714419Y-30409750D01*
-X50709203Y-30388926D01*
-X50706053Y-30367691D01*
-X50705000Y-30346250D01*
-X50705000Y-29908750D01*
-X50706053Y-29887309D01*
-X50709203Y-29866074D01*
-X50714419Y-29845250D01*
-X50721651Y-29825038D01*
-X50730830Y-29805632D01*
-X50741866Y-29787219D01*
-X50754654Y-29769976D01*
-X50769070Y-29754070D01*
-X50784976Y-29739654D01*
-X50802219Y-29726866D01*
-X50820632Y-29715830D01*
-X50840038Y-29706651D01*
-X50860250Y-29699419D01*
-X50881074Y-29694203D01*
-X50902309Y-29691053D01*
-X50923750Y-29690000D01*
-X51436250Y-29690000D01*
-X51457691Y-29691053D01*
-X51457691Y-29691053D01*
-G37*
+X144125000Y-69500000D03*
+X145875000Y-69500000D03*
+D12*
+X149575000Y-71500000D03*
+X147825000Y-71500000D03*
+D11*
+X147825000Y-65500000D03*
+X149575000Y-65500000D03*
D12*
-X51180000Y-30127500D03*
+X145875000Y-67500000D03*
+X144125000Y-67500000D03*
D13*
-X59200000Y-32470000D03*
-X59200000Y-29930000D03*
-X59200000Y-27390000D03*
+X152700000Y-66000000D03*
+X152700000Y-68500000D03*
+X152700000Y-71000000D03*
D14*
-X59200000Y-24850000D03*
-D11*
-G36*
-X50016958Y-33280710D02*
-G01*
-X50031276Y-33282834D01*
-X50045317Y-33286351D01*
-X50058946Y-33291228D01*
-X50072031Y-33297417D01*
-X50084447Y-33304858D01*
-X50096073Y-33313481D01*
-X50106798Y-33323202D01*
-X50116519Y-33333927D01*
-X50125142Y-33345553D01*
-X50132583Y-33357969D01*
-X50138772Y-33371054D01*
-X50143649Y-33384683D01*
-X50147166Y-33398724D01*
-X50149290Y-33413042D01*
-X50150000Y-33427500D01*
-X50150000Y-33722500D01*
-X50149290Y-33736958D01*
-X50147166Y-33751276D01*
-X50143649Y-33765317D01*
-X50138772Y-33778946D01*
-X50132583Y-33792031D01*
-X50125142Y-33804447D01*
-X50116519Y-33816073D01*
-X50106798Y-33826798D01*
-X50096073Y-33836519D01*
-X50084447Y-33845142D01*
-X50072031Y-33852583D01*
-X50058946Y-33858772D01*
-X50045317Y-33863649D01*
-X50031276Y-33867166D01*
-X50016958Y-33869290D01*
-X50002500Y-33870000D01*
-X49657500Y-33870000D01*
-X49643042Y-33869290D01*
-X49628724Y-33867166D01*
-X49614683Y-33863649D01*
-X49601054Y-33858772D01*
-X49587969Y-33852583D01*
-X49575553Y-33845142D01*
-X49563927Y-33836519D01*
-X49553202Y-33826798D01*
-X49543481Y-33816073D01*
-X49534858Y-33804447D01*
-X49527417Y-33792031D01*
-X49521228Y-33778946D01*
-X49516351Y-33765317D01*
-X49512834Y-33751276D01*
-X49510710Y-33736958D01*
-X49510000Y-33722500D01*
-X49510000Y-33427500D01*
-X49510710Y-33413042D01*
-X49512834Y-33398724D01*
-X49516351Y-33384683D01*
-X49521228Y-33371054D01*
-X49527417Y-33357969D01*
-X49534858Y-33345553D01*
-X49543481Y-33333927D01*
-X49553202Y-33323202D01*
-X49563927Y-33313481D01*
-X49575553Y-33304858D01*
-X49587969Y-33297417D01*
-X49601054Y-33291228D01*
-X49614683Y-33286351D01*
-X49628724Y-33282834D01*
-X49643042Y-33280710D01*
-X49657500Y-33280000D01*
-X50002500Y-33280000D01*
-X50016958Y-33280710D01*
-X50016958Y-33280710D01*
-G37*
-D15*
-X49830000Y-33575000D03*
-D11*
-G36*
-X50016958Y-32310710D02*
-G01*
-X50031276Y-32312834D01*
-X50045317Y-32316351D01*
-X50058946Y-32321228D01*
-X50072031Y-32327417D01*
-X50084447Y-32334858D01*
-X50096073Y-32343481D01*
-X50106798Y-32353202D01*
-X50116519Y-32363927D01*
-X50125142Y-32375553D01*
-X50132583Y-32387969D01*
-X50138772Y-32401054D01*
-X50143649Y-32414683D01*
-X50147166Y-32428724D01*
-X50149290Y-32443042D01*
-X50150000Y-32457500D01*
-X50150000Y-32752500D01*
-X50149290Y-32766958D01*
-X50147166Y-32781276D01*
-X50143649Y-32795317D01*
-X50138772Y-32808946D01*
-X50132583Y-32822031D01*
-X50125142Y-32834447D01*
-X50116519Y-32846073D01*
-X50106798Y-32856798D01*
-X50096073Y-32866519D01*
-X50084447Y-32875142D01*
-X50072031Y-32882583D01*
-X50058946Y-32888772D01*
-X50045317Y-32893649D01*
-X50031276Y-32897166D01*
-X50016958Y-32899290D01*
-X50002500Y-32900000D01*
-X49657500Y-32900000D01*
-X49643042Y-32899290D01*
-X49628724Y-32897166D01*
-X49614683Y-32893649D01*
-X49601054Y-32888772D01*
-X49587969Y-32882583D01*
-X49575553Y-32875142D01*
-X49563927Y-32866519D01*
-X49553202Y-32856798D01*
-X49543481Y-32846073D01*
-X49534858Y-32834447D01*
-X49527417Y-32822031D01*
-X49521228Y-32808946D01*
-X49516351Y-32795317D01*
-X49512834Y-32781276D01*
-X49510710Y-32766958D01*
-X49510000Y-32752500D01*
-X49510000Y-32457500D01*
-X49510710Y-32443042D01*
-X49512834Y-32428724D01*
-X49516351Y-32414683D01*
-X49521228Y-32401054D01*
-X49527417Y-32387969D01*
-X49534858Y-32375553D01*
-X49543481Y-32363927D01*
-X49553202Y-32353202D01*
-X49563927Y-32343481D01*
-X49575553Y-32334858D01*
-X49587969Y-32327417D01*
-X49601054Y-32321228D01*
-X49614683Y-32316351D01*
-X49628724Y-32312834D01*
-X49643042Y-32310710D01*
-X49657500Y-32310000D01*
-X50002500Y-32310000D01*
-X50016958Y-32310710D01*
-X50016958Y-32310710D01*
-G37*
+X167200000Y-66000000D03*
+X167200000Y-71000000D03*
D15*
-X49830000Y-32605000D03*
-D11*
-G36*
-X56919504Y-23076204D02*
-G01*
-X56943773Y-23079804D01*
-X56967571Y-23085765D01*
-X56990671Y-23094030D01*
-X57012849Y-23104520D01*
-X57033893Y-23117133D01*
-X57053598Y-23131747D01*
-X57071777Y-23148223D01*
-X57088253Y-23166402D01*
-X57102867Y-23186107D01*
-X57115480Y-23207151D01*
-X57125970Y-23229329D01*
-X57134235Y-23252429D01*
-X57140196Y-23276227D01*
-X57143796Y-23300496D01*
-X57145000Y-23325000D01*
-X57145000Y-24075000D01*
-X57143796Y-24099504D01*
-X57140196Y-24123773D01*
-X57134235Y-24147571D01*
-X57125970Y-24170671D01*
-X57115480Y-24192849D01*
-X57102867Y-24213893D01*
-X57088253Y-24233598D01*
-X57071777Y-24251777D01*
-X57053598Y-24268253D01*
-X57033893Y-24282867D01*
-X57012849Y-24295480D01*
-X56990671Y-24305970D01*
-X56967571Y-24314235D01*
-X56943773Y-24320196D01*
-X56919504Y-24323796D01*
-X56895000Y-24325000D01*
-X54745000Y-24325000D01*
-X54720496Y-24323796D01*
-X54696227Y-24320196D01*
-X54672429Y-24314235D01*
-X54649329Y-24305970D01*
-X54627151Y-24295480D01*
-X54606107Y-24282867D01*
-X54586402Y-24268253D01*
-X54568223Y-24251777D01*
-X54551747Y-24233598D01*
-X54537133Y-24213893D01*
-X54524520Y-24192849D01*
-X54514030Y-24170671D01*
-X54505765Y-24147571D01*
-X54499804Y-24123773D01*
-X54496204Y-24099504D01*
-X54495000Y-24075000D01*
-X54495000Y-23325000D01*
-X54496204Y-23300496D01*
-X54499804Y-23276227D01*
-X54505765Y-23252429D01*
-X54514030Y-23229329D01*
-X54524520Y-23207151D01*
-X54537133Y-23186107D01*
-X54551747Y-23166402D01*
-X54568223Y-23148223D01*
-X54586402Y-23131747D01*
-X54606107Y-23117133D01*
-X54627151Y-23104520D01*
-X54649329Y-23094030D01*
-X54672429Y-23085765D01*
-X54696227Y-23079804D01*
-X54720496Y-23076204D01*
-X54745000Y-23075000D01*
-X56895000Y-23075000D01*
-X56919504Y-23076204D01*
-X56919504Y-23076204D01*
-G37*
+X160400000Y-75100000D03*
+X160400000Y-61900000D03*
D16*
-X55820000Y-23700000D03*
-D11*
-G36*
-X56919504Y-25876204D02*
-G01*
-X56943773Y-25879804D01*
-X56967571Y-25885765D01*
-X56990671Y-25894030D01*
-X57012849Y-25904520D01*
-X57033893Y-25917133D01*
-X57053598Y-25931747D01*
-X57071777Y-25948223D01*
-X57088253Y-25966402D01*
-X57102867Y-25986107D01*
-X57115480Y-26007151D01*
-X57125970Y-26029329D01*
-X57134235Y-26052429D01*
-X57140196Y-26076227D01*
-X57143796Y-26100496D01*
-X57145000Y-26125000D01*
-X57145000Y-26875000D01*
-X57143796Y-26899504D01*
-X57140196Y-26923773D01*
-X57134235Y-26947571D01*
-X57125970Y-26970671D01*
-X57115480Y-26992849D01*
-X57102867Y-27013893D01*
-X57088253Y-27033598D01*
-X57071777Y-27051777D01*
-X57053598Y-27068253D01*
-X57033893Y-27082867D01*
-X57012849Y-27095480D01*
-X56990671Y-27105970D01*
-X56967571Y-27114235D01*
-X56943773Y-27120196D01*
-X56919504Y-27123796D01*
-X56895000Y-27125000D01*
-X54745000Y-27125000D01*
-X54720496Y-27123796D01*
-X54696227Y-27120196D01*
-X54672429Y-27114235D01*
-X54649329Y-27105970D01*
-X54627151Y-27095480D01*
-X54606107Y-27082867D01*
-X54586402Y-27068253D01*
-X54568223Y-27051777D01*
-X54551747Y-27033598D01*
-X54537133Y-27013893D01*
-X54524520Y-26992849D01*
-X54514030Y-26970671D01*
-X54505765Y-26947571D01*
-X54499804Y-26923773D01*
-X54496204Y-26899504D01*
-X54495000Y-26875000D01*
-X54495000Y-26125000D01*
-X54496204Y-26100496D01*
-X54499804Y-26076227D01*
-X54505765Y-26052429D01*
-X54514030Y-26029329D01*
-X54524520Y-26007151D01*
-X54537133Y-25986107D01*
-X54551747Y-25966402D01*
-X54568223Y-25948223D01*
-X54586402Y-25931747D01*
-X54606107Y-25917133D01*
-X54627151Y-25904520D01*
-X54649329Y-25894030D01*
-X54672429Y-25885765D01*
-X54696227Y-25879804D01*
-X54720496Y-25876204D01*
-X54745000Y-25875000D01*
-X56895000Y-25875000D01*
-X56919504Y-25876204D01*
-X56919504Y-25876204D01*
-G37*
+X145120000Y-75960000D03*
+X147660000Y-82310000D03*
+D13*
+X207700000Y-71000000D03*
+X207700000Y-68500000D03*
+X207700000Y-66000000D03*
+D14*
+X193200000Y-71000000D03*
+X193200000Y-66000000D03*
+D15*
+X200000000Y-61900000D03*
+X200000000Y-75100000D03*
D16*
-X55820000Y-26500000D03*
-D11*
-G36*
-X55170142Y-27526174D02*
-G01*
-X55193803Y-27529684D01*
-X55217007Y-27535496D01*
-X55239529Y-27543554D01*
-X55261153Y-27553782D01*
-X55281670Y-27566079D01*
-X55300883Y-27580329D01*
-X55318607Y-27596393D01*
-X55334671Y-27614117D01*
-X55348921Y-27633330D01*
-X55361218Y-27653847D01*
-X55371446Y-27675471D01*
-X55379504Y-27697993D01*
-X55385316Y-27721197D01*
-X55388826Y-27744858D01*
-X55390000Y-27768750D01*
-X55390000Y-28256250D01*
-X55388826Y-28280142D01*
-X55385316Y-28303803D01*
-X55379504Y-28327007D01*
-X55371446Y-28349529D01*
-X55361218Y-28371153D01*
-X55348921Y-28391670D01*
-X55334671Y-28410883D01*
-X55318607Y-28428607D01*
-X55300883Y-28444671D01*
-X55281670Y-28458921D01*
-X55261153Y-28471218D01*
-X55239529Y-28481446D01*
-X55217007Y-28489504D01*
-X55193803Y-28495316D01*
-X55170142Y-28498826D01*
-X55146250Y-28500000D01*
-X54233750Y-28500000D01*
-X54209858Y-28498826D01*
-X54186197Y-28495316D01*
-X54162993Y-28489504D01*
-X54140471Y-28481446D01*
-X54118847Y-28471218D01*
-X54098330Y-28458921D01*
-X54079117Y-28444671D01*
-X54061393Y-28428607D01*
-X54045329Y-28410883D01*
-X54031079Y-28391670D01*
-X54018782Y-28371153D01*
-X54008554Y-28349529D01*
-X54000496Y-28327007D01*
-X53994684Y-28303803D01*
-X53991174Y-28280142D01*
-X53990000Y-28256250D01*
-X53990000Y-27768750D01*
-X53991174Y-27744858D01*
-X53994684Y-27721197D01*
-X54000496Y-27697993D01*
-X54008554Y-27675471D01*
-X54018782Y-27653847D01*
-X54031079Y-27633330D01*
-X54045329Y-27614117D01*
-X54061393Y-27596393D01*
-X54079117Y-27580329D01*
-X54098330Y-27566079D01*
-X54118847Y-27553782D01*
-X54140471Y-27543554D01*
-X54162993Y-27535496D01*
-X54186197Y-27529684D01*
-X54209858Y-27526174D01*
-X54233750Y-27525000D01*
-X55146250Y-27525000D01*
-X55170142Y-27526174D01*
-X55170142Y-27526174D01*
-G37*
+X215280000Y-61040000D03*
+X212740000Y-54690000D03*
+X145120000Y-55960000D03*
+X147660000Y-62310000D03*
+X195280000Y-61040000D03*
+X192740000Y-54690000D03*
+X165120000Y-55960000D03*
+X167660000Y-62310000D03*
+X195280000Y-81040000D03*
+X192740000Y-74690000D03*
+X215280000Y-81040000D03*
+X212740000Y-74690000D03*
+X165120000Y-75960000D03*
+X167660000Y-82310000D03*
D17*
-X54690000Y-28012500D03*
-D11*
-G36*
-X55170142Y-29401174D02*
-G01*
-X55193803Y-29404684D01*
-X55217007Y-29410496D01*
-X55239529Y-29418554D01*
-X55261153Y-29428782D01*
-X55281670Y-29441079D01*
-X55300883Y-29455329D01*
-X55318607Y-29471393D01*
-X55334671Y-29489117D01*
-X55348921Y-29508330D01*
-X55361218Y-29528847D01*
-X55371446Y-29550471D01*
-X55379504Y-29572993D01*
-X55385316Y-29596197D01*
-X55388826Y-29619858D01*
-X55390000Y-29643750D01*
-X55390000Y-30131250D01*
-X55388826Y-30155142D01*
-X55385316Y-30178803D01*
-X55379504Y-30202007D01*
-X55371446Y-30224529D01*
-X55361218Y-30246153D01*
-X55348921Y-30266670D01*
-X55334671Y-30285883D01*
-X55318607Y-30303607D01*
-X55300883Y-30319671D01*
-X55281670Y-30333921D01*
-X55261153Y-30346218D01*
-X55239529Y-30356446D01*
-X55217007Y-30364504D01*
-X55193803Y-30370316D01*
-X55170142Y-30373826D01*
-X55146250Y-30375000D01*
-X54233750Y-30375000D01*
-X54209858Y-30373826D01*
-X54186197Y-30370316D01*
-X54162993Y-30364504D01*
-X54140471Y-30356446D01*
-X54118847Y-30346218D01*
-X54098330Y-30333921D01*
-X54079117Y-30319671D01*
-X54061393Y-30303607D01*
-X54045329Y-30285883D01*
-X54031079Y-30266670D01*
-X54018782Y-30246153D01*
-X54008554Y-30224529D01*
-X54000496Y-30202007D01*
-X53994684Y-30178803D01*
-X53991174Y-30155142D01*
-X53990000Y-30131250D01*
-X53990000Y-29643750D01*
-X53991174Y-29619858D01*
-X53994684Y-29596197D01*
-X54000496Y-29572993D01*
-X54008554Y-29550471D01*
-X54018782Y-29528847D01*
-X54031079Y-29508330D01*
-X54045329Y-29489117D01*
-X54061393Y-29471393D01*
-X54079117Y-29455329D01*
-X54098330Y-29441079D01*
-X54118847Y-29428782D01*
-X54140471Y-29418554D01*
-X54162993Y-29410496D01*
-X54186197Y-29404684D01*
-X54209858Y-29401174D01*
-X54233750Y-29400000D01*
-X55146250Y-29400000D01*
-X55170142Y-29401174D01*
-X55170142Y-29401174D01*
-G37*
-D17*
-X54690000Y-29887500D03*
-D11*
-G36*
-X52476958Y-28430710D02*
-G01*
-X52491276Y-28432834D01*
-X52505317Y-28436351D01*
-X52518946Y-28441228D01*
-X52532031Y-28447417D01*
-X52544447Y-28454858D01*
-X52556073Y-28463481D01*
-X52566798Y-28473202D01*
-X52576519Y-28483927D01*
-X52585142Y-28495553D01*
-X52592583Y-28507969D01*
-X52598772Y-28521054D01*
-X52603649Y-28534683D01*
-X52607166Y-28548724D01*
-X52609290Y-28563042D01*
-X52610000Y-28577500D01*
-X52610000Y-28922500D01*
-X52609290Y-28936958D01*
-X52607166Y-28951276D01*
-X52603649Y-28965317D01*
-X52598772Y-28978946D01*
-X52592583Y-28992031D01*
-X52585142Y-29004447D01*
-X52576519Y-29016073D01*
-X52566798Y-29026798D01*
-X52556073Y-29036519D01*
-X52544447Y-29045142D01*
-X52532031Y-29052583D01*
-X52518946Y-29058772D01*
-X52505317Y-29063649D01*
-X52491276Y-29067166D01*
-X52476958Y-29069290D01*
-X52462500Y-29070000D01*
-X52167500Y-29070000D01*
-X52153042Y-29069290D01*
-X52138724Y-29067166D01*
-X52124683Y-29063649D01*
-X52111054Y-29058772D01*
-X52097969Y-29052583D01*
-X52085553Y-29045142D01*
-X52073927Y-29036519D01*
-X52063202Y-29026798D01*
-X52053481Y-29016073D01*
-X52044858Y-29004447D01*
-X52037417Y-28992031D01*
-X52031228Y-28978946D01*
-X52026351Y-28965317D01*
-X52022834Y-28951276D01*
-X52020710Y-28936958D01*
-X52020000Y-28922500D01*
-X52020000Y-28577500D01*
-X52020710Y-28563042D01*
-X52022834Y-28548724D01*
-X52026351Y-28534683D01*
-X52031228Y-28521054D01*
-X52037417Y-28507969D01*
-X52044858Y-28495553D01*
-X52053481Y-28483927D01*
-X52063202Y-28473202D01*
-X52073927Y-28463481D01*
-X52085553Y-28454858D01*
-X52097969Y-28447417D01*
-X52111054Y-28441228D01*
-X52124683Y-28436351D01*
-X52138724Y-28432834D01*
-X52153042Y-28430710D01*
-X52167500Y-28430000D01*
-X52462500Y-28430000D01*
-X52476958Y-28430710D01*
-X52476958Y-28430710D01*
-G37*
-D15*
-X52315000Y-28750000D03*
-D11*
-G36*
-X53446958Y-28430710D02*
-G01*
-X53461276Y-28432834D01*
-X53475317Y-28436351D01*
-X53488946Y-28441228D01*
-X53502031Y-28447417D01*
-X53514447Y-28454858D01*
-X53526073Y-28463481D01*
-X53536798Y-28473202D01*
-X53546519Y-28483927D01*
-X53555142Y-28495553D01*
-X53562583Y-28507969D01*
-X53568772Y-28521054D01*
-X53573649Y-28534683D01*
-X53577166Y-28548724D01*
-X53579290Y-28563042D01*
-X53580000Y-28577500D01*
-X53580000Y-28922500D01*
-X53579290Y-28936958D01*
-X53577166Y-28951276D01*
-X53573649Y-28965317D01*
-X53568772Y-28978946D01*
-X53562583Y-28992031D01*
-X53555142Y-29004447D01*
-X53546519Y-29016073D01*
-X53536798Y-29026798D01*
-X53526073Y-29036519D01*
-X53514447Y-29045142D01*
-X53502031Y-29052583D01*
-X53488946Y-29058772D01*
-X53475317Y-29063649D01*
-X53461276Y-29067166D01*
-X53446958Y-29069290D01*
-X53432500Y-29070000D01*
-X53137500Y-29070000D01*
-X53123042Y-29069290D01*
-X53108724Y-29067166D01*
-X53094683Y-29063649D01*
-X53081054Y-29058772D01*
-X53067969Y-29052583D01*
-X53055553Y-29045142D01*
-X53043927Y-29036519D01*
-X53033202Y-29026798D01*
-X53023481Y-29016073D01*
-X53014858Y-29004447D01*
-X53007417Y-28992031D01*
-X53001228Y-28978946D01*
-X52996351Y-28965317D01*
-X52992834Y-28951276D01*
-X52990710Y-28936958D01*
-X52990000Y-28922500D01*
-X52990000Y-28577500D01*
-X52990710Y-28563042D01*
-X52992834Y-28548724D01*
-X52996351Y-28534683D01*
-X53001228Y-28521054D01*
-X53007417Y-28507969D01*
-X53014858Y-28495553D01*
-X53023481Y-28483927D01*
-X53033202Y-28473202D01*
-X53043927Y-28463481D01*
-X53055553Y-28454858D01*
-X53067969Y-28447417D01*
-X53081054Y-28441228D01*
-X53094683Y-28436351D01*
-X53108724Y-28432834D01*
-X53123042Y-28430710D01*
-X53137500Y-28430000D01*
-X53432500Y-28430000D01*
-X53446958Y-28430710D01*
-X53446958Y-28430710D01*
-G37*
-D15*
-X53285000Y-28750000D03*
-D14*
-X21420000Y-21780000D03*
-X21420000Y-35340000D03*
-D11*
-G36*
-X48010652Y-26995031D02*
-G01*
-X48017933Y-26996111D01*
-X48025072Y-26997899D01*
-X48032002Y-27000379D01*
-X48038656Y-27003526D01*
-X48044969Y-27007310D01*
-X48050880Y-27011694D01*
-X48056334Y-27016637D01*
-X48162400Y-27122703D01*
-X48167343Y-27128157D01*
-X48171727Y-27134068D01*
-X48175511Y-27140381D01*
-X48178658Y-27147035D01*
-X48181138Y-27153965D01*
-X48182926Y-27161104D01*
-X48184006Y-27168385D01*
-X48184367Y-27175736D01*
-X48184006Y-27183087D01*
-X48182926Y-27190368D01*
-X48181138Y-27197507D01*
-X48178658Y-27204437D01*
-X48175511Y-27211091D01*
-X48171727Y-27217404D01*
-X48167343Y-27223315D01*
-X48162400Y-27228769D01*
-X47225484Y-28165685D01*
-X47220030Y-28170628D01*
-X47214119Y-28175012D01*
-X47207806Y-28178796D01*
-X47201152Y-28181943D01*
-X47194222Y-28184423D01*
-X47187083Y-28186211D01*
-X47179802Y-28187291D01*
-X47172451Y-28187652D01*
-X47165100Y-28187291D01*
-X47157819Y-28186211D01*
-X47150680Y-28184423D01*
-X47143750Y-28181943D01*
-X47137096Y-28178796D01*
-X47130783Y-28175012D01*
-X47124872Y-28170628D01*
-X47119418Y-28165685D01*
-X47013352Y-28059619D01*
-X47008409Y-28054165D01*
-X47004025Y-28048254D01*
-X47000241Y-28041941D01*
-X46997094Y-28035287D01*
-X46994614Y-28028357D01*
-X46992826Y-28021218D01*
-X46991746Y-28013937D01*
-X46991385Y-28006586D01*
-X46991746Y-27999235D01*
-X46992826Y-27991954D01*
-X46994614Y-27984815D01*
-X46997094Y-27977885D01*
-X47000241Y-27971231D01*
-X47004025Y-27964918D01*
-X47008409Y-27959007D01*
-X47013352Y-27953553D01*
-X47950268Y-27016637D01*
-X47955722Y-27011694D01*
-X47961633Y-27007310D01*
-X47967946Y-27003526D01*
-X47974600Y-27000379D01*
-X47981530Y-26997899D01*
-X47988669Y-26996111D01*
-X47995950Y-26995031D01*
-X48003301Y-26994670D01*
-X48010652Y-26995031D01*
-X48010652Y-26995031D01*
-G37*
-D18*
-X47587876Y-27591161D03*
-D11*
-G36*
-X47657098Y-26641477D02*
-G01*
-X47664379Y-26642557D01*
-X47671518Y-26644345D01*
-X47678448Y-26646825D01*
-X47685102Y-26649972D01*
-X47691415Y-26653756D01*
-X47697326Y-26658140D01*
-X47702780Y-26663083D01*
-X47808846Y-26769149D01*
-X47813789Y-26774603D01*
-X47818173Y-26780514D01*
-X47821957Y-26786827D01*
-X47825104Y-26793481D01*
-X47827584Y-26800411D01*
-X47829372Y-26807550D01*
-X47830452Y-26814831D01*
-X47830813Y-26822182D01*
-X47830452Y-26829533D01*
-X47829372Y-26836814D01*
-X47827584Y-26843953D01*
-X47825104Y-26850883D01*
-X47821957Y-26857537D01*
-X47818173Y-26863850D01*
-X47813789Y-26869761D01*
-X47808846Y-26875215D01*
-X46871930Y-27812131D01*
-X46866476Y-27817074D01*
-X46860565Y-27821458D01*
-X46854252Y-27825242D01*
-X46847598Y-27828389D01*
-X46840668Y-27830869D01*
-X46833529Y-27832657D01*
-X46826248Y-27833737D01*
-X46818897Y-27834098D01*
-X46811546Y-27833737D01*
-X46804265Y-27832657D01*
-X46797126Y-27830869D01*
-X46790196Y-27828389D01*
-X46783542Y-27825242D01*
-X46777229Y-27821458D01*
-X46771318Y-27817074D01*
-X46765864Y-27812131D01*
-X46659798Y-27706065D01*
-X46654855Y-27700611D01*
-X46650471Y-27694700D01*
-X46646687Y-27688387D01*
-X46643540Y-27681733D01*
-X46641060Y-27674803D01*
-X46639272Y-27667664D01*
-X46638192Y-27660383D01*
-X46637831Y-27653032D01*
-X46638192Y-27645681D01*
-X46639272Y-27638400D01*
-X46641060Y-27631261D01*
-X46643540Y-27624331D01*
-X46646687Y-27617677D01*
-X46650471Y-27611364D01*
-X46654855Y-27605453D01*
-X46659798Y-27599999D01*
-X47596714Y-26663083D01*
-X47602168Y-26658140D01*
-X47608079Y-26653756D01*
-X47614392Y-26649972D01*
-X47621046Y-26646825D01*
-X47627976Y-26644345D01*
-X47635115Y-26642557D01*
-X47642396Y-26641477D01*
-X47649747Y-26641116D01*
-X47657098Y-26641477D01*
-X47657098Y-26641477D01*
-G37*
-D18*
-X47234322Y-27237607D03*
-D11*
-G36*
-X47303545Y-26287924D02*
-G01*
-X47310826Y-26289004D01*
-X47317965Y-26290792D01*
-X47324895Y-26293272D01*
-X47331549Y-26296419D01*
-X47337862Y-26300203D01*
-X47343773Y-26304587D01*
-X47349227Y-26309530D01*
-X47455293Y-26415596D01*
-X47460236Y-26421050D01*
-X47464620Y-26426961D01*
-X47468404Y-26433274D01*
-X47471551Y-26439928D01*
-X47474031Y-26446858D01*
-X47475819Y-26453997D01*
-X47476899Y-26461278D01*
-X47477260Y-26468629D01*
-X47476899Y-26475980D01*
-X47475819Y-26483261D01*
-X47474031Y-26490400D01*
-X47471551Y-26497330D01*
-X47468404Y-26503984D01*
-X47464620Y-26510297D01*
-X47460236Y-26516208D01*
-X47455293Y-26521662D01*
-X46518377Y-27458578D01*
-X46512923Y-27463521D01*
-X46507012Y-27467905D01*
-X46500699Y-27471689D01*
-X46494045Y-27474836D01*
-X46487115Y-27477316D01*
-X46479976Y-27479104D01*
-X46472695Y-27480184D01*
-X46465344Y-27480545D01*
-X46457993Y-27480184D01*
-X46450712Y-27479104D01*
-X46443573Y-27477316D01*
-X46436643Y-27474836D01*
-X46429989Y-27471689D01*
-X46423676Y-27467905D01*
-X46417765Y-27463521D01*
-X46412311Y-27458578D01*
-X46306245Y-27352512D01*
-X46301302Y-27347058D01*
-X46296918Y-27341147D01*
-X46293134Y-27334834D01*
-X46289987Y-27328180D01*
-X46287507Y-27321250D01*
-X46285719Y-27314111D01*
-X46284639Y-27306830D01*
-X46284278Y-27299479D01*
-X46284639Y-27292128D01*
-X46285719Y-27284847D01*
-X46287507Y-27277708D01*
-X46289987Y-27270778D01*
-X46293134Y-27264124D01*
-X46296918Y-27257811D01*
-X46301302Y-27251900D01*
-X46306245Y-27246446D01*
-X47243161Y-26309530D01*
-X47248615Y-26304587D01*
-X47254526Y-26300203D01*
-X47260839Y-26296419D01*
-X47267493Y-26293272D01*
-X47274423Y-26290792D01*
-X47281562Y-26289004D01*
-X47288843Y-26287924D01*
-X47296194Y-26287563D01*
-X47303545Y-26287924D01*
-X47303545Y-26287924D01*
-G37*
-D18*
-X46880769Y-26884054D03*
-D11*
-G36*
-X46949991Y-25934370D02*
-G01*
-X46957272Y-25935450D01*
-X46964411Y-25937238D01*
-X46971341Y-25939718D01*
-X46977995Y-25942865D01*
-X46984308Y-25946649D01*
-X46990219Y-25951033D01*
-X46995673Y-25955976D01*
-X47101739Y-26062042D01*
-X47106682Y-26067496D01*
-X47111066Y-26073407D01*
-X47114850Y-26079720D01*
-X47117997Y-26086374D01*
-X47120477Y-26093304D01*
-X47122265Y-26100443D01*
-X47123345Y-26107724D01*
-X47123706Y-26115075D01*
-X47123345Y-26122426D01*
-X47122265Y-26129707D01*
-X47120477Y-26136846D01*
-X47117997Y-26143776D01*
-X47114850Y-26150430D01*
-X47111066Y-26156743D01*
-X47106682Y-26162654D01*
-X47101739Y-26168108D01*
-X46164823Y-27105024D01*
-X46159369Y-27109967D01*
-X46153458Y-27114351D01*
-X46147145Y-27118135D01*
-X46140491Y-27121282D01*
-X46133561Y-27123762D01*
-X46126422Y-27125550D01*
-X46119141Y-27126630D01*
-X46111790Y-27126991D01*
-X46104439Y-27126630D01*
-X46097158Y-27125550D01*
-X46090019Y-27123762D01*
-X46083089Y-27121282D01*
-X46076435Y-27118135D01*
-X46070122Y-27114351D01*
-X46064211Y-27109967D01*
-X46058757Y-27105024D01*
-X45952691Y-26998958D01*
-X45947748Y-26993504D01*
-X45943364Y-26987593D01*
-X45939580Y-26981280D01*
-X45936433Y-26974626D01*
-X45933953Y-26967696D01*
-X45932165Y-26960557D01*
-X45931085Y-26953276D01*
-X45930724Y-26945925D01*
-X45931085Y-26938574D01*
-X45932165Y-26931293D01*
-X45933953Y-26924154D01*
-X45936433Y-26917224D01*
-X45939580Y-26910570D01*
-X45943364Y-26904257D01*
-X45947748Y-26898346D01*
-X45952691Y-26892892D01*
-X46889607Y-25955976D01*
-X46895061Y-25951033D01*
-X46900972Y-25946649D01*
-X46907285Y-25942865D01*
-X46913939Y-25939718D01*
-X46920869Y-25937238D01*
-X46928008Y-25935450D01*
-X46935289Y-25934370D01*
-X46942640Y-25934009D01*
-X46949991Y-25934370D01*
-X46949991Y-25934370D01*
-G37*
-D18*
-X46527215Y-26530500D03*
-D11*
-G36*
-X46596438Y-25580817D02*
-G01*
-X46603719Y-25581897D01*
-X46610858Y-25583685D01*
-X46617788Y-25586165D01*
-X46624442Y-25589312D01*
-X46630755Y-25593096D01*
-X46636666Y-25597480D01*
-X46642120Y-25602423D01*
-X46748186Y-25708489D01*
-X46753129Y-25713943D01*
-X46757513Y-25719854D01*
-X46761297Y-25726167D01*
-X46764444Y-25732821D01*
-X46766924Y-25739751D01*
-X46768712Y-25746890D01*
-X46769792Y-25754171D01*
-X46770153Y-25761522D01*
-X46769792Y-25768873D01*
-X46768712Y-25776154D01*
-X46766924Y-25783293D01*
-X46764444Y-25790223D01*
-X46761297Y-25796877D01*
-X46757513Y-25803190D01*
-X46753129Y-25809101D01*
-X46748186Y-25814555D01*
-X45811270Y-26751471D01*
-X45805816Y-26756414D01*
-X45799905Y-26760798D01*
-X45793592Y-26764582D01*
-X45786938Y-26767729D01*
-X45780008Y-26770209D01*
-X45772869Y-26771997D01*
-X45765588Y-26773077D01*
-X45758237Y-26773438D01*
-X45750886Y-26773077D01*
-X45743605Y-26771997D01*
-X45736466Y-26770209D01*
-X45729536Y-26767729D01*
-X45722882Y-26764582D01*
-X45716569Y-26760798D01*
-X45710658Y-26756414D01*
-X45705204Y-26751471D01*
-X45599138Y-26645405D01*
-X45594195Y-26639951D01*
-X45589811Y-26634040D01*
-X45586027Y-26627727D01*
-X45582880Y-26621073D01*
-X45580400Y-26614143D01*
-X45578612Y-26607004D01*
-X45577532Y-26599723D01*
-X45577171Y-26592372D01*
-X45577532Y-26585021D01*
-X45578612Y-26577740D01*
-X45580400Y-26570601D01*
-X45582880Y-26563671D01*
-X45586027Y-26557017D01*
-X45589811Y-26550704D01*
-X45594195Y-26544793D01*
-X45599138Y-26539339D01*
-X46536054Y-25602423D01*
-X46541508Y-25597480D01*
-X46547419Y-25593096D01*
-X46553732Y-25589312D01*
-X46560386Y-25586165D01*
-X46567316Y-25583685D01*
-X46574455Y-25581897D01*
-X46581736Y-25580817D01*
-X46589087Y-25580456D01*
-X46596438Y-25580817D01*
-X46596438Y-25580817D01*
-G37*
-D18*
-X46173662Y-26176947D03*
-D11*
-G36*
-X46242885Y-25227264D02*
-G01*
-X46250166Y-25228344D01*
-X46257305Y-25230132D01*
-X46264235Y-25232612D01*
-X46270889Y-25235759D01*
-X46277202Y-25239543D01*
-X46283113Y-25243927D01*
-X46288567Y-25248870D01*
-X46394633Y-25354936D01*
-X46399576Y-25360390D01*
-X46403960Y-25366301D01*
-X46407744Y-25372614D01*
-X46410891Y-25379268D01*
-X46413371Y-25386198D01*
-X46415159Y-25393337D01*
-X46416239Y-25400618D01*
-X46416600Y-25407969D01*
-X46416239Y-25415320D01*
-X46415159Y-25422601D01*
-X46413371Y-25429740D01*
-X46410891Y-25436670D01*
-X46407744Y-25443324D01*
-X46403960Y-25449637D01*
-X46399576Y-25455548D01*
-X46394633Y-25461002D01*
-X45457717Y-26397918D01*
-X45452263Y-26402861D01*
-X45446352Y-26407245D01*
-X45440039Y-26411029D01*
-X45433385Y-26414176D01*
-X45426455Y-26416656D01*
-X45419316Y-26418444D01*
-X45412035Y-26419524D01*
-X45404684Y-26419885D01*
-X45397333Y-26419524D01*
-X45390052Y-26418444D01*
-X45382913Y-26416656D01*
-X45375983Y-26414176D01*
-X45369329Y-26411029D01*
-X45363016Y-26407245D01*
-X45357105Y-26402861D01*
-X45351651Y-26397918D01*
-X45245585Y-26291852D01*
-X45240642Y-26286398D01*
-X45236258Y-26280487D01*
-X45232474Y-26274174D01*
-X45229327Y-26267520D01*
-X45226847Y-26260590D01*
-X45225059Y-26253451D01*
-X45223979Y-26246170D01*
-X45223618Y-26238819D01*
-X45223979Y-26231468D01*
-X45225059Y-26224187D01*
-X45226847Y-26217048D01*
-X45229327Y-26210118D01*
-X45232474Y-26203464D01*
-X45236258Y-26197151D01*
-X45240642Y-26191240D01*
-X45245585Y-26185786D01*
-X46182501Y-25248870D01*
-X46187955Y-25243927D01*
-X46193866Y-25239543D01*
-X46200179Y-25235759D01*
-X46206833Y-25232612D01*
-X46213763Y-25230132D01*
-X46220902Y-25228344D01*
-X46228183Y-25227264D01*
-X46235534Y-25226903D01*
-X46242885Y-25227264D01*
-X46242885Y-25227264D01*
-G37*
-D18*
-X45820109Y-25823394D03*
-D11*
-G36*
-X45889331Y-24873710D02*
-G01*
-X45896612Y-24874790D01*
-X45903751Y-24876578D01*
-X45910681Y-24879058D01*
-X45917335Y-24882205D01*
-X45923648Y-24885989D01*
-X45929559Y-24890373D01*
-X45935013Y-24895316D01*
-X46041079Y-25001382D01*
-X46046022Y-25006836D01*
-X46050406Y-25012747D01*
-X46054190Y-25019060D01*
-X46057337Y-25025714D01*
-X46059817Y-25032644D01*
-X46061605Y-25039783D01*
-X46062685Y-25047064D01*
-X46063046Y-25054415D01*
-X46062685Y-25061766D01*
-X46061605Y-25069047D01*
-X46059817Y-25076186D01*
-X46057337Y-25083116D01*
-X46054190Y-25089770D01*
-X46050406Y-25096083D01*
-X46046022Y-25101994D01*
-X46041079Y-25107448D01*
-X45104163Y-26044364D01*
-X45098709Y-26049307D01*
-X45092798Y-26053691D01*
-X45086485Y-26057475D01*
-X45079831Y-26060622D01*
-X45072901Y-26063102D01*
-X45065762Y-26064890D01*
-X45058481Y-26065970D01*
-X45051130Y-26066331D01*
-X45043779Y-26065970D01*
-X45036498Y-26064890D01*
-X45029359Y-26063102D01*
-X45022429Y-26060622D01*
-X45015775Y-26057475D01*
-X45009462Y-26053691D01*
-X45003551Y-26049307D01*
-X44998097Y-26044364D01*
-X44892031Y-25938298D01*
-X44887088Y-25932844D01*
-X44882704Y-25926933D01*
-X44878920Y-25920620D01*
-X44875773Y-25913966D01*
-X44873293Y-25907036D01*
-X44871505Y-25899897D01*
-X44870425Y-25892616D01*
-X44870064Y-25885265D01*
-X44870425Y-25877914D01*
-X44871505Y-25870633D01*
-X44873293Y-25863494D01*
-X44875773Y-25856564D01*
-X44878920Y-25849910D01*
-X44882704Y-25843597D01*
-X44887088Y-25837686D01*
-X44892031Y-25832232D01*
-X45828947Y-24895316D01*
-X45834401Y-24890373D01*
-X45840312Y-24885989D01*
-X45846625Y-24882205D01*
-X45853279Y-24879058D01*
-X45860209Y-24876578D01*
-X45867348Y-24874790D01*
-X45874629Y-24873710D01*
-X45881980Y-24873349D01*
-X45889331Y-24873710D01*
-X45889331Y-24873710D01*
-G37*
-D18*
-X45466555Y-25469840D03*
-D11*
-G36*
-X45535778Y-24520157D02*
-G01*
-X45543059Y-24521237D01*
-X45550198Y-24523025D01*
-X45557128Y-24525505D01*
-X45563782Y-24528652D01*
-X45570095Y-24532436D01*
-X45576006Y-24536820D01*
-X45581460Y-24541763D01*
-X45687526Y-24647829D01*
-X45692469Y-24653283D01*
-X45696853Y-24659194D01*
-X45700637Y-24665507D01*
-X45703784Y-24672161D01*
-X45706264Y-24679091D01*
-X45708052Y-24686230D01*
-X45709132Y-24693511D01*
-X45709493Y-24700862D01*
-X45709132Y-24708213D01*
-X45708052Y-24715494D01*
-X45706264Y-24722633D01*
-X45703784Y-24729563D01*
-X45700637Y-24736217D01*
-X45696853Y-24742530D01*
-X45692469Y-24748441D01*
-X45687526Y-24753895D01*
-X44750610Y-25690811D01*
-X44745156Y-25695754D01*
-X44739245Y-25700138D01*
-X44732932Y-25703922D01*
-X44726278Y-25707069D01*
-X44719348Y-25709549D01*
-X44712209Y-25711337D01*
-X44704928Y-25712417D01*
-X44697577Y-25712778D01*
-X44690226Y-25712417D01*
-X44682945Y-25711337D01*
-X44675806Y-25709549D01*
-X44668876Y-25707069D01*
-X44662222Y-25703922D01*
-X44655909Y-25700138D01*
-X44649998Y-25695754D01*
-X44644544Y-25690811D01*
-X44538478Y-25584745D01*
-X44533535Y-25579291D01*
-X44529151Y-25573380D01*
-X44525367Y-25567067D01*
-X44522220Y-25560413D01*
-X44519740Y-25553483D01*
-X44517952Y-25546344D01*
-X44516872Y-25539063D01*
-X44516511Y-25531712D01*
-X44516872Y-25524361D01*
-X44517952Y-25517080D01*
-X44519740Y-25509941D01*
-X44522220Y-25503011D01*
-X44525367Y-25496357D01*
-X44529151Y-25490044D01*
-X44533535Y-25484133D01*
-X44538478Y-25478679D01*
-X45475394Y-24541763D01*
-X45480848Y-24536820D01*
-X45486759Y-24532436D01*
-X45493072Y-24528652D01*
-X45499726Y-24525505D01*
-X45506656Y-24523025D01*
-X45513795Y-24521237D01*
-X45521076Y-24520157D01*
-X45528427Y-24519796D01*
-X45535778Y-24520157D01*
-X45535778Y-24520157D01*
-G37*
-D18*
-X45113002Y-25116287D03*
-D11*
-G36*
-X45182225Y-24166604D02*
-G01*
-X45189506Y-24167684D01*
-X45196645Y-24169472D01*
-X45203575Y-24171952D01*
-X45210229Y-24175099D01*
-X45216542Y-24178883D01*
-X45222453Y-24183267D01*
-X45227907Y-24188210D01*
-X45333973Y-24294276D01*
-X45338916Y-24299730D01*
-X45343300Y-24305641D01*
-X45347084Y-24311954D01*
-X45350231Y-24318608D01*
-X45352711Y-24325538D01*
-X45354499Y-24332677D01*
-X45355579Y-24339958D01*
-X45355940Y-24347309D01*
-X45355579Y-24354660D01*
-X45354499Y-24361941D01*
-X45352711Y-24369080D01*
-X45350231Y-24376010D01*
-X45347084Y-24382664D01*
-X45343300Y-24388977D01*
-X45338916Y-24394888D01*
-X45333973Y-24400342D01*
-X44397057Y-25337258D01*
-X44391603Y-25342201D01*
-X44385692Y-25346585D01*
-X44379379Y-25350369D01*
-X44372725Y-25353516D01*
-X44365795Y-25355996D01*
-X44358656Y-25357784D01*
-X44351375Y-25358864D01*
-X44344024Y-25359225D01*
-X44336673Y-25358864D01*
-X44329392Y-25357784D01*
-X44322253Y-25355996D01*
-X44315323Y-25353516D01*
-X44308669Y-25350369D01*
-X44302356Y-25346585D01*
-X44296445Y-25342201D01*
-X44290991Y-25337258D01*
-X44184925Y-25231192D01*
-X44179982Y-25225738D01*
-X44175598Y-25219827D01*
-X44171814Y-25213514D01*
-X44168667Y-25206860D01*
-X44166187Y-25199930D01*
-X44164399Y-25192791D01*
-X44163319Y-25185510D01*
-X44162958Y-25178159D01*
-X44163319Y-25170808D01*
-X44164399Y-25163527D01*
-X44166187Y-25156388D01*
-X44168667Y-25149458D01*
-X44171814Y-25142804D01*
-X44175598Y-25136491D01*
-X44179982Y-25130580D01*
-X44184925Y-25125126D01*
-X45121841Y-24188210D01*
-X45127295Y-24183267D01*
-X45133206Y-24178883D01*
-X45139519Y-24175099D01*
-X45146173Y-24171952D01*
-X45153103Y-24169472D01*
-X45160242Y-24167684D01*
-X45167523Y-24166604D01*
-X45174874Y-24166243D01*
-X45182225Y-24166604D01*
-X45182225Y-24166604D01*
-G37*
-D18*
-X44759449Y-24762734D03*
-D11*
-G36*
-X44828671Y-23813050D02*
-G01*
-X44835952Y-23814130D01*
-X44843091Y-23815918D01*
-X44850021Y-23818398D01*
-X44856675Y-23821545D01*
-X44862988Y-23825329D01*
-X44868899Y-23829713D01*
-X44874353Y-23834656D01*
-X44980419Y-23940722D01*
-X44985362Y-23946176D01*
-X44989746Y-23952087D01*
-X44993530Y-23958400D01*
-X44996677Y-23965054D01*
-X44999157Y-23971984D01*
-X45000945Y-23979123D01*
-X45002025Y-23986404D01*
-X45002386Y-23993755D01*
-X45002025Y-24001106D01*
-X45000945Y-24008387D01*
-X44999157Y-24015526D01*
-X44996677Y-24022456D01*
-X44993530Y-24029110D01*
-X44989746Y-24035423D01*
-X44985362Y-24041334D01*
-X44980419Y-24046788D01*
-X44043503Y-24983704D01*
-X44038049Y-24988647D01*
-X44032138Y-24993031D01*
-X44025825Y-24996815D01*
-X44019171Y-24999962D01*
-X44012241Y-25002442D01*
-X44005102Y-25004230D01*
-X43997821Y-25005310D01*
-X43990470Y-25005671D01*
-X43983119Y-25005310D01*
-X43975838Y-25004230D01*
-X43968699Y-25002442D01*
-X43961769Y-24999962D01*
-X43955115Y-24996815D01*
-X43948802Y-24993031D01*
-X43942891Y-24988647D01*
-X43937437Y-24983704D01*
-X43831371Y-24877638D01*
-X43826428Y-24872184D01*
-X43822044Y-24866273D01*
-X43818260Y-24859960D01*
-X43815113Y-24853306D01*
-X43812633Y-24846376D01*
-X43810845Y-24839237D01*
-X43809765Y-24831956D01*
-X43809404Y-24824605D01*
-X43809765Y-24817254D01*
-X43810845Y-24809973D01*
-X43812633Y-24802834D01*
-X43815113Y-24795904D01*
-X43818260Y-24789250D01*
-X43822044Y-24782937D01*
-X43826428Y-24777026D01*
-X43831371Y-24771572D01*
-X44768287Y-23834656D01*
-X44773741Y-23829713D01*
-X44779652Y-23825329D01*
-X44785965Y-23821545D01*
-X44792619Y-23818398D01*
-X44799549Y-23815918D01*
-X44806688Y-23814130D01*
-X44813969Y-23813050D01*
-X44821320Y-23812689D01*
-X44828671Y-23813050D01*
-X44828671Y-23813050D01*
-G37*
-D18*
-X44405895Y-24409180D03*
-D11*
-G36*
-X44475118Y-23459497D02*
-G01*
-X44482399Y-23460577D01*
-X44489538Y-23462365D01*
-X44496468Y-23464845D01*
-X44503122Y-23467992D01*
-X44509435Y-23471776D01*
-X44515346Y-23476160D01*
-X44520800Y-23481103D01*
-X44626866Y-23587169D01*
-X44631809Y-23592623D01*
-X44636193Y-23598534D01*
-X44639977Y-23604847D01*
-X44643124Y-23611501D01*
-X44645604Y-23618431D01*
-X44647392Y-23625570D01*
-X44648472Y-23632851D01*
-X44648833Y-23640202D01*
-X44648472Y-23647553D01*
-X44647392Y-23654834D01*
-X44645604Y-23661973D01*
-X44643124Y-23668903D01*
-X44639977Y-23675557D01*
-X44636193Y-23681870D01*
-X44631809Y-23687781D01*
-X44626866Y-23693235D01*
-X43689950Y-24630151D01*
-X43684496Y-24635094D01*
-X43678585Y-24639478D01*
-X43672272Y-24643262D01*
-X43665618Y-24646409D01*
-X43658688Y-24648889D01*
-X43651549Y-24650677D01*
-X43644268Y-24651757D01*
-X43636917Y-24652118D01*
-X43629566Y-24651757D01*
-X43622285Y-24650677D01*
-X43615146Y-24648889D01*
-X43608216Y-24646409D01*
-X43601562Y-24643262D01*
-X43595249Y-24639478D01*
-X43589338Y-24635094D01*
-X43583884Y-24630151D01*
-X43477818Y-24524085D01*
-X43472875Y-24518631D01*
-X43468491Y-24512720D01*
-X43464707Y-24506407D01*
-X43461560Y-24499753D01*
-X43459080Y-24492823D01*
-X43457292Y-24485684D01*
-X43456212Y-24478403D01*
-X43455851Y-24471052D01*
-X43456212Y-24463701D01*
-X43457292Y-24456420D01*
-X43459080Y-24449281D01*
-X43461560Y-24442351D01*
-X43464707Y-24435697D01*
-X43468491Y-24429384D01*
-X43472875Y-24423473D01*
-X43477818Y-24418019D01*
-X44414734Y-23481103D01*
-X44420188Y-23476160D01*
-X44426099Y-23471776D01*
-X44432412Y-23467992D01*
-X44439066Y-23464845D01*
-X44445996Y-23462365D01*
-X44453135Y-23460577D01*
-X44460416Y-23459497D01*
-X44467767Y-23459136D01*
-X44475118Y-23459497D01*
-X44475118Y-23459497D01*
-G37*
-D18*
-X44052342Y-24055627D03*
-D11*
-G36*
-X44121564Y-23105943D02*
-G01*
-X44128845Y-23107023D01*
-X44135984Y-23108811D01*
-X44142914Y-23111291D01*
-X44149568Y-23114438D01*
-X44155881Y-23118222D01*
-X44161792Y-23122606D01*
-X44167246Y-23127549D01*
-X44273312Y-23233615D01*
-X44278255Y-23239069D01*
-X44282639Y-23244980D01*
-X44286423Y-23251293D01*
-X44289570Y-23257947D01*
-X44292050Y-23264877D01*
-X44293838Y-23272016D01*
-X44294918Y-23279297D01*
-X44295279Y-23286648D01*
-X44294918Y-23293999D01*
-X44293838Y-23301280D01*
-X44292050Y-23308419D01*
-X44289570Y-23315349D01*
-X44286423Y-23322003D01*
-X44282639Y-23328316D01*
-X44278255Y-23334227D01*
-X44273312Y-23339681D01*
-X43336396Y-24276597D01*
-X43330942Y-24281540D01*
-X43325031Y-24285924D01*
-X43318718Y-24289708D01*
-X43312064Y-24292855D01*
-X43305134Y-24295335D01*
-X43297995Y-24297123D01*
-X43290714Y-24298203D01*
-X43283363Y-24298564D01*
-X43276012Y-24298203D01*
-X43268731Y-24297123D01*
-X43261592Y-24295335D01*
-X43254662Y-24292855D01*
-X43248008Y-24289708D01*
-X43241695Y-24285924D01*
-X43235784Y-24281540D01*
-X43230330Y-24276597D01*
-X43124264Y-24170531D01*
-X43119321Y-24165077D01*
-X43114937Y-24159166D01*
-X43111153Y-24152853D01*
-X43108006Y-24146199D01*
-X43105526Y-24139269D01*
-X43103738Y-24132130D01*
-X43102658Y-24124849D01*
-X43102297Y-24117498D01*
-X43102658Y-24110147D01*
-X43103738Y-24102866D01*
-X43105526Y-24095727D01*
-X43108006Y-24088797D01*
-X43111153Y-24082143D01*
-X43114937Y-24075830D01*
-X43119321Y-24069919D01*
-X43124264Y-24064465D01*
-X44061180Y-23127549D01*
-X44066634Y-23122606D01*
-X44072545Y-23118222D01*
-X44078858Y-23114438D01*
-X44085512Y-23111291D01*
-X44092442Y-23108811D01*
-X44099581Y-23107023D01*
-X44106862Y-23105943D01*
-X44114213Y-23105582D01*
-X44121564Y-23105943D01*
-X44121564Y-23105943D01*
-G37*
-D18*
-X43698788Y-23702073D03*
-D11*
-G36*
-X41293138Y-23105943D02*
-G01*
-X41300419Y-23107023D01*
-X41307558Y-23108811D01*
-X41314488Y-23111291D01*
-X41321142Y-23114438D01*
-X41327455Y-23118222D01*
-X41333366Y-23122606D01*
-X41338820Y-23127549D01*
-X42275736Y-24064465D01*
-X42280679Y-24069919D01*
-X42285063Y-24075830D01*
-X42288847Y-24082143D01*
-X42291994Y-24088797D01*
-X42294474Y-24095727D01*
-X42296262Y-24102866D01*
-X42297342Y-24110147D01*
-X42297703Y-24117498D01*
-X42297342Y-24124849D01*
-X42296262Y-24132130D01*
-X42294474Y-24139269D01*
-X42291994Y-24146199D01*
-X42288847Y-24152853D01*
-X42285063Y-24159166D01*
-X42280679Y-24165077D01*
-X42275736Y-24170531D01*
-X42169670Y-24276597D01*
-X42164216Y-24281540D01*
-X42158305Y-24285924D01*
-X42151992Y-24289708D01*
-X42145338Y-24292855D01*
-X42138408Y-24295335D01*
-X42131269Y-24297123D01*
-X42123988Y-24298203D01*
-X42116637Y-24298564D01*
-X42109286Y-24298203D01*
-X42102005Y-24297123D01*
-X42094866Y-24295335D01*
-X42087936Y-24292855D01*
-X42081282Y-24289708D01*
-X42074969Y-24285924D01*
-X42069058Y-24281540D01*
-X42063604Y-24276597D01*
-X41126688Y-23339681D01*
-X41121745Y-23334227D01*
-X41117361Y-23328316D01*
-X41113577Y-23322003D01*
-X41110430Y-23315349D01*
-X41107950Y-23308419D01*
-X41106162Y-23301280D01*
-X41105082Y-23293999D01*
-X41104721Y-23286648D01*
-X41105082Y-23279297D01*
-X41106162Y-23272016D01*
-X41107950Y-23264877D01*
-X41110430Y-23257947D01*
-X41113577Y-23251293D01*
-X41117361Y-23244980D01*
-X41121745Y-23239069D01*
-X41126688Y-23233615D01*
-X41232754Y-23127549D01*
-X41238208Y-23122606D01*
-X41244119Y-23118222D01*
-X41250432Y-23114438D01*
-X41257086Y-23111291D01*
-X41264016Y-23108811D01*
-X41271155Y-23107023D01*
-X41278436Y-23105943D01*
-X41285787Y-23105582D01*
-X41293138Y-23105943D01*
-X41293138Y-23105943D01*
-G37*
-D18*
-X41701212Y-23702073D03*
-D11*
-G36*
-X40939584Y-23459497D02*
-G01*
-X40946865Y-23460577D01*
-X40954004Y-23462365D01*
-X40960934Y-23464845D01*
-X40967588Y-23467992D01*
-X40973901Y-23471776D01*
-X40979812Y-23476160D01*
-X40985266Y-23481103D01*
-X41922182Y-24418019D01*
-X41927125Y-24423473D01*
-X41931509Y-24429384D01*
-X41935293Y-24435697D01*
-X41938440Y-24442351D01*
-X41940920Y-24449281D01*
-X41942708Y-24456420D01*
-X41943788Y-24463701D01*
-X41944149Y-24471052D01*
-X41943788Y-24478403D01*
-X41942708Y-24485684D01*
-X41940920Y-24492823D01*
-X41938440Y-24499753D01*
-X41935293Y-24506407D01*
-X41931509Y-24512720D01*
-X41927125Y-24518631D01*
-X41922182Y-24524085D01*
-X41816116Y-24630151D01*
-X41810662Y-24635094D01*
-X41804751Y-24639478D01*
-X41798438Y-24643262D01*
-X41791784Y-24646409D01*
-X41784854Y-24648889D01*
-X41777715Y-24650677D01*
-X41770434Y-24651757D01*
-X41763083Y-24652118D01*
-X41755732Y-24651757D01*
-X41748451Y-24650677D01*
-X41741312Y-24648889D01*
-X41734382Y-24646409D01*
-X41727728Y-24643262D01*
-X41721415Y-24639478D01*
-X41715504Y-24635094D01*
-X41710050Y-24630151D01*
-X40773134Y-23693235D01*
-X40768191Y-23687781D01*
-X40763807Y-23681870D01*
-X40760023Y-23675557D01*
-X40756876Y-23668903D01*
-X40754396Y-23661973D01*
-X40752608Y-23654834D01*
-X40751528Y-23647553D01*
-X40751167Y-23640202D01*
-X40751528Y-23632851D01*
-X40752608Y-23625570D01*
-X40754396Y-23618431D01*
-X40756876Y-23611501D01*
-X40760023Y-23604847D01*
-X40763807Y-23598534D01*
-X40768191Y-23592623D01*
-X40773134Y-23587169D01*
-X40879200Y-23481103D01*
-X40884654Y-23476160D01*
-X40890565Y-23471776D01*
-X40896878Y-23467992D01*
-X40903532Y-23464845D01*
-X40910462Y-23462365D01*
-X40917601Y-23460577D01*
-X40924882Y-23459497D01*
-X40932233Y-23459136D01*
-X40939584Y-23459497D01*
-X40939584Y-23459497D01*
-G37*
-D18*
-X41347658Y-24055627D03*
-D11*
-G36*
-X40586031Y-23813050D02*
-G01*
-X40593312Y-23814130D01*
-X40600451Y-23815918D01*
-X40607381Y-23818398D01*
-X40614035Y-23821545D01*
-X40620348Y-23825329D01*
-X40626259Y-23829713D01*
-X40631713Y-23834656D01*
-X41568629Y-24771572D01*
-X41573572Y-24777026D01*
-X41577956Y-24782937D01*
-X41581740Y-24789250D01*
-X41584887Y-24795904D01*
-X41587367Y-24802834D01*
-X41589155Y-24809973D01*
-X41590235Y-24817254D01*
-X41590596Y-24824605D01*
-X41590235Y-24831956D01*
-X41589155Y-24839237D01*
-X41587367Y-24846376D01*
-X41584887Y-24853306D01*
-X41581740Y-24859960D01*
-X41577956Y-24866273D01*
-X41573572Y-24872184D01*
-X41568629Y-24877638D01*
-X41462563Y-24983704D01*
-X41457109Y-24988647D01*
-X41451198Y-24993031D01*
-X41444885Y-24996815D01*
-X41438231Y-24999962D01*
-X41431301Y-25002442D01*
-X41424162Y-25004230D01*
-X41416881Y-25005310D01*
-X41409530Y-25005671D01*
-X41402179Y-25005310D01*
-X41394898Y-25004230D01*
-X41387759Y-25002442D01*
-X41380829Y-24999962D01*
-X41374175Y-24996815D01*
-X41367862Y-24993031D01*
-X41361951Y-24988647D01*
-X41356497Y-24983704D01*
-X40419581Y-24046788D01*
-X40414638Y-24041334D01*
-X40410254Y-24035423D01*
-X40406470Y-24029110D01*
-X40403323Y-24022456D01*
-X40400843Y-24015526D01*
-X40399055Y-24008387D01*
-X40397975Y-24001106D01*
-X40397614Y-23993755D01*
-X40397975Y-23986404D01*
-X40399055Y-23979123D01*
-X40400843Y-23971984D01*
-X40403323Y-23965054D01*
-X40406470Y-23958400D01*
-X40410254Y-23952087D01*
-X40414638Y-23946176D01*
-X40419581Y-23940722D01*
-X40525647Y-23834656D01*
-X40531101Y-23829713D01*
-X40537012Y-23825329D01*
-X40543325Y-23821545D01*
-X40549979Y-23818398D01*
-X40556909Y-23815918D01*
-X40564048Y-23814130D01*
-X40571329Y-23813050D01*
-X40578680Y-23812689D01*
-X40586031Y-23813050D01*
-X40586031Y-23813050D01*
-G37*
-D18*
-X40994105Y-24409180D03*
-D11*
-G36*
-X40232477Y-24166604D02*
-G01*
-X40239758Y-24167684D01*
-X40246897Y-24169472D01*
-X40253827Y-24171952D01*
-X40260481Y-24175099D01*
-X40266794Y-24178883D01*
-X40272705Y-24183267D01*
-X40278159Y-24188210D01*
-X41215075Y-25125126D01*
-X41220018Y-25130580D01*
-X41224402Y-25136491D01*
-X41228186Y-25142804D01*
-X41231333Y-25149458D01*
-X41233813Y-25156388D01*
-X41235601Y-25163527D01*
-X41236681Y-25170808D01*
-X41237042Y-25178159D01*
-X41236681Y-25185510D01*
-X41235601Y-25192791D01*
-X41233813Y-25199930D01*
-X41231333Y-25206860D01*
-X41228186Y-25213514D01*
-X41224402Y-25219827D01*
-X41220018Y-25225738D01*
-X41215075Y-25231192D01*
-X41109009Y-25337258D01*
-X41103555Y-25342201D01*
-X41097644Y-25346585D01*
-X41091331Y-25350369D01*
-X41084677Y-25353516D01*
-X41077747Y-25355996D01*
-X41070608Y-25357784D01*
-X41063327Y-25358864D01*
-X41055976Y-25359225D01*
-X41048625Y-25358864D01*
-X41041344Y-25357784D01*
-X41034205Y-25355996D01*
-X41027275Y-25353516D01*
-X41020621Y-25350369D01*
-X41014308Y-25346585D01*
-X41008397Y-25342201D01*
-X41002943Y-25337258D01*
-X40066027Y-24400342D01*
-X40061084Y-24394888D01*
-X40056700Y-24388977D01*
-X40052916Y-24382664D01*
-X40049769Y-24376010D01*
-X40047289Y-24369080D01*
-X40045501Y-24361941D01*
-X40044421Y-24354660D01*
-X40044060Y-24347309D01*
-X40044421Y-24339958D01*
-X40045501Y-24332677D01*
-X40047289Y-24325538D01*
-X40049769Y-24318608D01*
-X40052916Y-24311954D01*
-X40056700Y-24305641D01*
-X40061084Y-24299730D01*
-X40066027Y-24294276D01*
-X40172093Y-24188210D01*
-X40177547Y-24183267D01*
-X40183458Y-24178883D01*
-X40189771Y-24175099D01*
-X40196425Y-24171952D01*
-X40203355Y-24169472D01*
-X40210494Y-24167684D01*
-X40217775Y-24166604D01*
-X40225126Y-24166243D01*
-X40232477Y-24166604D01*
-X40232477Y-24166604D01*
-G37*
-D18*
-X40640551Y-24762734D03*
-D11*
-G36*
-X39878924Y-24520157D02*
-G01*
-X39886205Y-24521237D01*
-X39893344Y-24523025D01*
-X39900274Y-24525505D01*
-X39906928Y-24528652D01*
-X39913241Y-24532436D01*
-X39919152Y-24536820D01*
-X39924606Y-24541763D01*
-X40861522Y-25478679D01*
-X40866465Y-25484133D01*
-X40870849Y-25490044D01*
-X40874633Y-25496357D01*
-X40877780Y-25503011D01*
-X40880260Y-25509941D01*
-X40882048Y-25517080D01*
-X40883128Y-25524361D01*
-X40883489Y-25531712D01*
-X40883128Y-25539063D01*
-X40882048Y-25546344D01*
-X40880260Y-25553483D01*
-X40877780Y-25560413D01*
-X40874633Y-25567067D01*
-X40870849Y-25573380D01*
-X40866465Y-25579291D01*
-X40861522Y-25584745D01*
-X40755456Y-25690811D01*
-X40750002Y-25695754D01*
-X40744091Y-25700138D01*
-X40737778Y-25703922D01*
-X40731124Y-25707069D01*
-X40724194Y-25709549D01*
-X40717055Y-25711337D01*
-X40709774Y-25712417D01*
-X40702423Y-25712778D01*
-X40695072Y-25712417D01*
-X40687791Y-25711337D01*
-X40680652Y-25709549D01*
-X40673722Y-25707069D01*
-X40667068Y-25703922D01*
-X40660755Y-25700138D01*
-X40654844Y-25695754D01*
-X40649390Y-25690811D01*
-X39712474Y-24753895D01*
-X39707531Y-24748441D01*
-X39703147Y-24742530D01*
-X39699363Y-24736217D01*
-X39696216Y-24729563D01*
-X39693736Y-24722633D01*
-X39691948Y-24715494D01*
-X39690868Y-24708213D01*
-X39690507Y-24700862D01*
-X39690868Y-24693511D01*
-X39691948Y-24686230D01*
-X39693736Y-24679091D01*
-X39696216Y-24672161D01*
-X39699363Y-24665507D01*
-X39703147Y-24659194D01*
-X39707531Y-24653283D01*
-X39712474Y-24647829D01*
-X39818540Y-24541763D01*
-X39823994Y-24536820D01*
-X39829905Y-24532436D01*
-X39836218Y-24528652D01*
-X39842872Y-24525505D01*
-X39849802Y-24523025D01*
-X39856941Y-24521237D01*
-X39864222Y-24520157D01*
-X39871573Y-24519796D01*
-X39878924Y-24520157D01*
-X39878924Y-24520157D01*
-G37*
-D18*
-X40286998Y-25116287D03*
-D11*
-G36*
-X39525371Y-24873710D02*
-G01*
-X39532652Y-24874790D01*
-X39539791Y-24876578D01*
-X39546721Y-24879058D01*
-X39553375Y-24882205D01*
-X39559688Y-24885989D01*
-X39565599Y-24890373D01*
-X39571053Y-24895316D01*
-X40507969Y-25832232D01*
-X40512912Y-25837686D01*
-X40517296Y-25843597D01*
-X40521080Y-25849910D01*
-X40524227Y-25856564D01*
-X40526707Y-25863494D01*
-X40528495Y-25870633D01*
-X40529575Y-25877914D01*
-X40529936Y-25885265D01*
-X40529575Y-25892616D01*
-X40528495Y-25899897D01*
-X40526707Y-25907036D01*
-X40524227Y-25913966D01*
-X40521080Y-25920620D01*
-X40517296Y-25926933D01*
-X40512912Y-25932844D01*
-X40507969Y-25938298D01*
-X40401903Y-26044364D01*
-X40396449Y-26049307D01*
-X40390538Y-26053691D01*
-X40384225Y-26057475D01*
-X40377571Y-26060622D01*
-X40370641Y-26063102D01*
-X40363502Y-26064890D01*
-X40356221Y-26065970D01*
-X40348870Y-26066331D01*
-X40341519Y-26065970D01*
-X40334238Y-26064890D01*
-X40327099Y-26063102D01*
-X40320169Y-26060622D01*
-X40313515Y-26057475D01*
-X40307202Y-26053691D01*
-X40301291Y-26049307D01*
-X40295837Y-26044364D01*
-X39358921Y-25107448D01*
-X39353978Y-25101994D01*
-X39349594Y-25096083D01*
-X39345810Y-25089770D01*
-X39342663Y-25083116D01*
-X39340183Y-25076186D01*
-X39338395Y-25069047D01*
-X39337315Y-25061766D01*
-X39336954Y-25054415D01*
-X39337315Y-25047064D01*
-X39338395Y-25039783D01*
-X39340183Y-25032644D01*
-X39342663Y-25025714D01*
-X39345810Y-25019060D01*
-X39349594Y-25012747D01*
-X39353978Y-25006836D01*
-X39358921Y-25001382D01*
-X39464987Y-24895316D01*
-X39470441Y-24890373D01*
-X39476352Y-24885989D01*
-X39482665Y-24882205D01*
-X39489319Y-24879058D01*
-X39496249Y-24876578D01*
-X39503388Y-24874790D01*
-X39510669Y-24873710D01*
-X39518020Y-24873349D01*
-X39525371Y-24873710D01*
-X39525371Y-24873710D01*
-G37*
-D18*
-X39933445Y-25469840D03*
-D11*
-G36*
-X39171817Y-25227264D02*
-G01*
-X39179098Y-25228344D01*
-X39186237Y-25230132D01*
-X39193167Y-25232612D01*
-X39199821Y-25235759D01*
-X39206134Y-25239543D01*
-X39212045Y-25243927D01*
-X39217499Y-25248870D01*
-X40154415Y-26185786D01*
-X40159358Y-26191240D01*
-X40163742Y-26197151D01*
-X40167526Y-26203464D01*
-X40170673Y-26210118D01*
-X40173153Y-26217048D01*
-X40174941Y-26224187D01*
-X40176021Y-26231468D01*
-X40176382Y-26238819D01*
-X40176021Y-26246170D01*
-X40174941Y-26253451D01*
-X40173153Y-26260590D01*
-X40170673Y-26267520D01*
-X40167526Y-26274174D01*
-X40163742Y-26280487D01*
-X40159358Y-26286398D01*
-X40154415Y-26291852D01*
-X40048349Y-26397918D01*
-X40042895Y-26402861D01*
-X40036984Y-26407245D01*
-X40030671Y-26411029D01*
-X40024017Y-26414176D01*
-X40017087Y-26416656D01*
-X40009948Y-26418444D01*
-X40002667Y-26419524D01*
-X39995316Y-26419885D01*
-X39987965Y-26419524D01*
-X39980684Y-26418444D01*
-X39973545Y-26416656D01*
-X39966615Y-26414176D01*
-X39959961Y-26411029D01*
-X39953648Y-26407245D01*
-X39947737Y-26402861D01*
-X39942283Y-26397918D01*
-X39005367Y-25461002D01*
-X39000424Y-25455548D01*
-X38996040Y-25449637D01*
-X38992256Y-25443324D01*
-X38989109Y-25436670D01*
-X38986629Y-25429740D01*
-X38984841Y-25422601D01*
-X38983761Y-25415320D01*
-X38983400Y-25407969D01*
-X38983761Y-25400618D01*
-X38984841Y-25393337D01*
-X38986629Y-25386198D01*
-X38989109Y-25379268D01*
-X38992256Y-25372614D01*
-X38996040Y-25366301D01*
-X39000424Y-25360390D01*
-X39005367Y-25354936D01*
-X39111433Y-25248870D01*
-X39116887Y-25243927D01*
-X39122798Y-25239543D01*
-X39129111Y-25235759D01*
-X39135765Y-25232612D01*
-X39142695Y-25230132D01*
-X39149834Y-25228344D01*
-X39157115Y-25227264D01*
-X39164466Y-25226903D01*
-X39171817Y-25227264D01*
-X39171817Y-25227264D01*
-G37*
-D18*
-X39579891Y-25823394D03*
-D11*
-G36*
-X38818264Y-25580817D02*
-G01*
-X38825545Y-25581897D01*
-X38832684Y-25583685D01*
-X38839614Y-25586165D01*
-X38846268Y-25589312D01*
-X38852581Y-25593096D01*
-X38858492Y-25597480D01*
-X38863946Y-25602423D01*
-X39800862Y-26539339D01*
-X39805805Y-26544793D01*
-X39810189Y-26550704D01*
-X39813973Y-26557017D01*
-X39817120Y-26563671D01*
-X39819600Y-26570601D01*
-X39821388Y-26577740D01*
-X39822468Y-26585021D01*
-X39822829Y-26592372D01*
-X39822468Y-26599723D01*
-X39821388Y-26607004D01*
-X39819600Y-26614143D01*
-X39817120Y-26621073D01*
-X39813973Y-26627727D01*
-X39810189Y-26634040D01*
-X39805805Y-26639951D01*
-X39800862Y-26645405D01*
-X39694796Y-26751471D01*
-X39689342Y-26756414D01*
-X39683431Y-26760798D01*
-X39677118Y-26764582D01*
-X39670464Y-26767729D01*
-X39663534Y-26770209D01*
-X39656395Y-26771997D01*
-X39649114Y-26773077D01*
-X39641763Y-26773438D01*
-X39634412Y-26773077D01*
-X39627131Y-26771997D01*
-X39619992Y-26770209D01*
-X39613062Y-26767729D01*
-X39606408Y-26764582D01*
-X39600095Y-26760798D01*
-X39594184Y-26756414D01*
-X39588730Y-26751471D01*
-X38651814Y-25814555D01*
-X38646871Y-25809101D01*
-X38642487Y-25803190D01*
-X38638703Y-25796877D01*
-X38635556Y-25790223D01*
-X38633076Y-25783293D01*
-X38631288Y-25776154D01*
-X38630208Y-25768873D01*
-X38629847Y-25761522D01*
-X38630208Y-25754171D01*
-X38631288Y-25746890D01*
-X38633076Y-25739751D01*
-X38635556Y-25732821D01*
-X38638703Y-25726167D01*
-X38642487Y-25719854D01*
-X38646871Y-25713943D01*
-X38651814Y-25708489D01*
-X38757880Y-25602423D01*
-X38763334Y-25597480D01*
-X38769245Y-25593096D01*
-X38775558Y-25589312D01*
-X38782212Y-25586165D01*
-X38789142Y-25583685D01*
-X38796281Y-25581897D01*
-X38803562Y-25580817D01*
-X38810913Y-25580456D01*
-X38818264Y-25580817D01*
-X38818264Y-25580817D01*
-G37*
-D18*
-X39226338Y-26176947D03*
-D11*
-G36*
-X38464711Y-25934370D02*
-G01*
-X38471992Y-25935450D01*
-X38479131Y-25937238D01*
-X38486061Y-25939718D01*
-X38492715Y-25942865D01*
-X38499028Y-25946649D01*
-X38504939Y-25951033D01*
-X38510393Y-25955976D01*
-X39447309Y-26892892D01*
-X39452252Y-26898346D01*
-X39456636Y-26904257D01*
-X39460420Y-26910570D01*
-X39463567Y-26917224D01*
-X39466047Y-26924154D01*
-X39467835Y-26931293D01*
-X39468915Y-26938574D01*
-X39469276Y-26945925D01*
-X39468915Y-26953276D01*
-X39467835Y-26960557D01*
-X39466047Y-26967696D01*
-X39463567Y-26974626D01*
-X39460420Y-26981280D01*
-X39456636Y-26987593D01*
-X39452252Y-26993504D01*
-X39447309Y-26998958D01*
-X39341243Y-27105024D01*
-X39335789Y-27109967D01*
-X39329878Y-27114351D01*
-X39323565Y-27118135D01*
-X39316911Y-27121282D01*
-X39309981Y-27123762D01*
-X39302842Y-27125550D01*
-X39295561Y-27126630D01*
-X39288210Y-27126991D01*
-X39280859Y-27126630D01*
-X39273578Y-27125550D01*
-X39266439Y-27123762D01*
-X39259509Y-27121282D01*
-X39252855Y-27118135D01*
-X39246542Y-27114351D01*
-X39240631Y-27109967D01*
-X39235177Y-27105024D01*
-X38298261Y-26168108D01*
-X38293318Y-26162654D01*
-X38288934Y-26156743D01*
-X38285150Y-26150430D01*
-X38282003Y-26143776D01*
-X38279523Y-26136846D01*
-X38277735Y-26129707D01*
-X38276655Y-26122426D01*
-X38276294Y-26115075D01*
-X38276655Y-26107724D01*
-X38277735Y-26100443D01*
-X38279523Y-26093304D01*
-X38282003Y-26086374D01*
-X38285150Y-26079720D01*
-X38288934Y-26073407D01*
-X38293318Y-26067496D01*
-X38298261Y-26062042D01*
-X38404327Y-25955976D01*
-X38409781Y-25951033D01*
-X38415692Y-25946649D01*
-X38422005Y-25942865D01*
-X38428659Y-25939718D01*
-X38435589Y-25937238D01*
-X38442728Y-25935450D01*
-X38450009Y-25934370D01*
-X38457360Y-25934009D01*
-X38464711Y-25934370D01*
-X38464711Y-25934370D01*
-G37*
-D18*
-X38872785Y-26530500D03*
-D11*
-G36*
-X38111157Y-26287924D02*
-G01*
-X38118438Y-26289004D01*
-X38125577Y-26290792D01*
-X38132507Y-26293272D01*
-X38139161Y-26296419D01*
-X38145474Y-26300203D01*
-X38151385Y-26304587D01*
-X38156839Y-26309530D01*
-X39093755Y-27246446D01*
-X39098698Y-27251900D01*
-X39103082Y-27257811D01*
-X39106866Y-27264124D01*
-X39110013Y-27270778D01*
-X39112493Y-27277708D01*
-X39114281Y-27284847D01*
-X39115361Y-27292128D01*
-X39115722Y-27299479D01*
-X39115361Y-27306830D01*
-X39114281Y-27314111D01*
-X39112493Y-27321250D01*
-X39110013Y-27328180D01*
-X39106866Y-27334834D01*
-X39103082Y-27341147D01*
-X39098698Y-27347058D01*
-X39093755Y-27352512D01*
-X38987689Y-27458578D01*
-X38982235Y-27463521D01*
-X38976324Y-27467905D01*
-X38970011Y-27471689D01*
-X38963357Y-27474836D01*
-X38956427Y-27477316D01*
-X38949288Y-27479104D01*
-X38942007Y-27480184D01*
-X38934656Y-27480545D01*
-X38927305Y-27480184D01*
-X38920024Y-27479104D01*
-X38912885Y-27477316D01*
-X38905955Y-27474836D01*
-X38899301Y-27471689D01*
-X38892988Y-27467905D01*
-X38887077Y-27463521D01*
-X38881623Y-27458578D01*
-X37944707Y-26521662D01*
-X37939764Y-26516208D01*
-X37935380Y-26510297D01*
-X37931596Y-26503984D01*
-X37928449Y-26497330D01*
-X37925969Y-26490400D01*
-X37924181Y-26483261D01*
-X37923101Y-26475980D01*
-X37922740Y-26468629D01*
-X37923101Y-26461278D01*
-X37924181Y-26453997D01*
-X37925969Y-26446858D01*
-X37928449Y-26439928D01*
-X37931596Y-26433274D01*
-X37935380Y-26426961D01*
-X37939764Y-26421050D01*
-X37944707Y-26415596D01*
-X38050773Y-26309530D01*
-X38056227Y-26304587D01*
-X38062138Y-26300203D01*
-X38068451Y-26296419D01*
-X38075105Y-26293272D01*
-X38082035Y-26290792D01*
-X38089174Y-26289004D01*
-X38096455Y-26287924D01*
-X38103806Y-26287563D01*
-X38111157Y-26287924D01*
-X38111157Y-26287924D01*
-G37*
-D18*
-X38519231Y-26884054D03*
-D11*
-G36*
-X37757604Y-26641477D02*
-G01*
-X37764885Y-26642557D01*
-X37772024Y-26644345D01*
-X37778954Y-26646825D01*
-X37785608Y-26649972D01*
-X37791921Y-26653756D01*
-X37797832Y-26658140D01*
-X37803286Y-26663083D01*
-X38740202Y-27599999D01*
-X38745145Y-27605453D01*
-X38749529Y-27611364D01*
-X38753313Y-27617677D01*
-X38756460Y-27624331D01*
-X38758940Y-27631261D01*
-X38760728Y-27638400D01*
-X38761808Y-27645681D01*
-X38762169Y-27653032D01*
-X38761808Y-27660383D01*
-X38760728Y-27667664D01*
-X38758940Y-27674803D01*
-X38756460Y-27681733D01*
-X38753313Y-27688387D01*
-X38749529Y-27694700D01*
-X38745145Y-27700611D01*
-X38740202Y-27706065D01*
-X38634136Y-27812131D01*
-X38628682Y-27817074D01*
-X38622771Y-27821458D01*
-X38616458Y-27825242D01*
-X38609804Y-27828389D01*
-X38602874Y-27830869D01*
-X38595735Y-27832657D01*
-X38588454Y-27833737D01*
-X38581103Y-27834098D01*
-X38573752Y-27833737D01*
-X38566471Y-27832657D01*
-X38559332Y-27830869D01*
-X38552402Y-27828389D01*
-X38545748Y-27825242D01*
-X38539435Y-27821458D01*
-X38533524Y-27817074D01*
-X38528070Y-27812131D01*
-X37591154Y-26875215D01*
-X37586211Y-26869761D01*
-X37581827Y-26863850D01*
-X37578043Y-26857537D01*
-X37574896Y-26850883D01*
-X37572416Y-26843953D01*
-X37570628Y-26836814D01*
-X37569548Y-26829533D01*
-X37569187Y-26822182D01*
-X37569548Y-26814831D01*
-X37570628Y-26807550D01*
-X37572416Y-26800411D01*
-X37574896Y-26793481D01*
-X37578043Y-26786827D01*
-X37581827Y-26780514D01*
-X37586211Y-26774603D01*
-X37591154Y-26769149D01*
-X37697220Y-26663083D01*
-X37702674Y-26658140D01*
-X37708585Y-26653756D01*
-X37714898Y-26649972D01*
-X37721552Y-26646825D01*
-X37728482Y-26644345D01*
-X37735621Y-26642557D01*
-X37742902Y-26641477D01*
-X37750253Y-26641116D01*
-X37757604Y-26641477D01*
-X37757604Y-26641477D01*
-G37*
-D18*
-X38165678Y-27237607D03*
-D11*
-G36*
-X37404050Y-26995031D02*
-G01*
-X37411331Y-26996111D01*
-X37418470Y-26997899D01*
-X37425400Y-27000379D01*
-X37432054Y-27003526D01*
-X37438367Y-27007310D01*
-X37444278Y-27011694D01*
-X37449732Y-27016637D01*
-X38386648Y-27953553D01*
-X38391591Y-27959007D01*
-X38395975Y-27964918D01*
-X38399759Y-27971231D01*
-X38402906Y-27977885D01*
-X38405386Y-27984815D01*
-X38407174Y-27991954D01*
-X38408254Y-27999235D01*
-X38408615Y-28006586D01*
-X38408254Y-28013937D01*
-X38407174Y-28021218D01*
-X38405386Y-28028357D01*
-X38402906Y-28035287D01*
-X38399759Y-28041941D01*
-X38395975Y-28048254D01*
-X38391591Y-28054165D01*
-X38386648Y-28059619D01*
-X38280582Y-28165685D01*
-X38275128Y-28170628D01*
-X38269217Y-28175012D01*
-X38262904Y-28178796D01*
-X38256250Y-28181943D01*
-X38249320Y-28184423D01*
-X38242181Y-28186211D01*
-X38234900Y-28187291D01*
-X38227549Y-28187652D01*
-X38220198Y-28187291D01*
-X38212917Y-28186211D01*
-X38205778Y-28184423D01*
-X38198848Y-28181943D01*
-X38192194Y-28178796D01*
-X38185881Y-28175012D01*
-X38179970Y-28170628D01*
-X38174516Y-28165685D01*
-X37237600Y-27228769D01*
-X37232657Y-27223315D01*
-X37228273Y-27217404D01*
-X37224489Y-27211091D01*
-X37221342Y-27204437D01*
-X37218862Y-27197507D01*
-X37217074Y-27190368D01*
-X37215994Y-27183087D01*
-X37215633Y-27175736D01*
-X37215994Y-27168385D01*
-X37217074Y-27161104D01*
-X37218862Y-27153965D01*
-X37221342Y-27147035D01*
-X37224489Y-27140381D01*
-X37228273Y-27134068D01*
-X37232657Y-27128157D01*
-X37237600Y-27122703D01*
-X37343666Y-27016637D01*
-X37349120Y-27011694D01*
-X37355031Y-27007310D01*
-X37361344Y-27003526D01*
-X37367998Y-27000379D01*
-X37374928Y-26997899D01*
-X37382067Y-26996111D01*
-X37389348Y-26995031D01*
-X37396699Y-26994670D01*
-X37404050Y-26995031D01*
-X37404050Y-26995031D01*
-G37*
-D18*
-X37812124Y-27591161D03*
-D11*
-G36*
-X38234900Y-28992607D02*
-G01*
-X38242181Y-28993687D01*
-X38249320Y-28995475D01*
-X38256250Y-28997955D01*
-X38262904Y-29001102D01*
-X38269217Y-29004886D01*
-X38275128Y-29009270D01*
-X38280582Y-29014213D01*
-X38386648Y-29120279D01*
-X38391591Y-29125733D01*
-X38395975Y-29131644D01*
-X38399759Y-29137957D01*
-X38402906Y-29144611D01*
-X38405386Y-29151541D01*
-X38407174Y-29158680D01*
-X38408254Y-29165961D01*
-X38408615Y-29173312D01*
-X38408254Y-29180663D01*
-X38407174Y-29187944D01*
-X38405386Y-29195083D01*
-X38402906Y-29202013D01*
-X38399759Y-29208667D01*
-X38395975Y-29214980D01*
-X38391591Y-29220891D01*
-X38386648Y-29226345D01*
-X37449732Y-30163261D01*
-X37444278Y-30168204D01*
-X37438367Y-30172588D01*
-X37432054Y-30176372D01*
-X37425400Y-30179519D01*
-X37418470Y-30181999D01*
-X37411331Y-30183787D01*
-X37404050Y-30184867D01*
-X37396699Y-30185228D01*
-X37389348Y-30184867D01*
-X37382067Y-30183787D01*
-X37374928Y-30181999D01*
-X37367998Y-30179519D01*
-X37361344Y-30176372D01*
-X37355031Y-30172588D01*
-X37349120Y-30168204D01*
-X37343666Y-30163261D01*
-X37237600Y-30057195D01*
-X37232657Y-30051741D01*
-X37228273Y-30045830D01*
-X37224489Y-30039517D01*
-X37221342Y-30032863D01*
-X37218862Y-30025933D01*
-X37217074Y-30018794D01*
-X37215994Y-30011513D01*
-X37215633Y-30004162D01*
-X37215994Y-29996811D01*
-X37217074Y-29989530D01*
-X37218862Y-29982391D01*
-X37221342Y-29975461D01*
-X37224489Y-29968807D01*
-X37228273Y-29962494D01*
-X37232657Y-29956583D01*
-X37237600Y-29951129D01*
-X38174516Y-29014213D01*
-X38179970Y-29009270D01*
-X38185881Y-29004886D01*
-X38192194Y-29001102D01*
-X38198848Y-28997955D01*
-X38205778Y-28995475D01*
-X38212917Y-28993687D01*
-X38220198Y-28992607D01*
-X38227549Y-28992246D01*
-X38234900Y-28992607D01*
-X38234900Y-28992607D01*
-G37*
-D18*
-X37812124Y-29588737D03*
-D11*
-G36*
-X38588454Y-29346161D02*
-G01*
-X38595735Y-29347241D01*
-X38602874Y-29349029D01*
-X38609804Y-29351509D01*
-X38616458Y-29354656D01*
-X38622771Y-29358440D01*
-X38628682Y-29362824D01*
-X38634136Y-29367767D01*
-X38740202Y-29473833D01*
-X38745145Y-29479287D01*
-X38749529Y-29485198D01*
-X38753313Y-29491511D01*
-X38756460Y-29498165D01*
-X38758940Y-29505095D01*
-X38760728Y-29512234D01*
-X38761808Y-29519515D01*
-X38762169Y-29526866D01*
-X38761808Y-29534217D01*
-X38760728Y-29541498D01*
-X38758940Y-29548637D01*
-X38756460Y-29555567D01*
-X38753313Y-29562221D01*
-X38749529Y-29568534D01*
-X38745145Y-29574445D01*
-X38740202Y-29579899D01*
-X37803286Y-30516815D01*
-X37797832Y-30521758D01*
-X37791921Y-30526142D01*
-X37785608Y-30529926D01*
-X37778954Y-30533073D01*
-X37772024Y-30535553D01*
-X37764885Y-30537341D01*
-X37757604Y-30538421D01*
-X37750253Y-30538782D01*
-X37742902Y-30538421D01*
-X37735621Y-30537341D01*
-X37728482Y-30535553D01*
-X37721552Y-30533073D01*
-X37714898Y-30529926D01*
-X37708585Y-30526142D01*
-X37702674Y-30521758D01*
-X37697220Y-30516815D01*
-X37591154Y-30410749D01*
-X37586211Y-30405295D01*
-X37581827Y-30399384D01*
-X37578043Y-30393071D01*
-X37574896Y-30386417D01*
-X37572416Y-30379487D01*
-X37570628Y-30372348D01*
-X37569548Y-30365067D01*
-X37569187Y-30357716D01*
-X37569548Y-30350365D01*
-X37570628Y-30343084D01*
-X37572416Y-30335945D01*
-X37574896Y-30329015D01*
-X37578043Y-30322361D01*
-X37581827Y-30316048D01*
-X37586211Y-30310137D01*
-X37591154Y-30304683D01*
-X38528070Y-29367767D01*
-X38533524Y-29362824D01*
-X38539435Y-29358440D01*
-X38545748Y-29354656D01*
-X38552402Y-29351509D01*
-X38559332Y-29349029D01*
-X38566471Y-29347241D01*
-X38573752Y-29346161D01*
-X38581103Y-29345800D01*
-X38588454Y-29346161D01*
-X38588454Y-29346161D01*
-G37*
-D18*
-X38165678Y-29942291D03*
-D11*
-G36*
-X38942007Y-29699714D02*
-G01*
-X38949288Y-29700794D01*
-X38956427Y-29702582D01*
-X38963357Y-29705062D01*
-X38970011Y-29708209D01*
-X38976324Y-29711993D01*
-X38982235Y-29716377D01*
-X38987689Y-29721320D01*
-X39093755Y-29827386D01*
-X39098698Y-29832840D01*
-X39103082Y-29838751D01*
-X39106866Y-29845064D01*
-X39110013Y-29851718D01*
-X39112493Y-29858648D01*
-X39114281Y-29865787D01*
-X39115361Y-29873068D01*
-X39115722Y-29880419D01*
-X39115361Y-29887770D01*
-X39114281Y-29895051D01*
-X39112493Y-29902190D01*
-X39110013Y-29909120D01*
-X39106866Y-29915774D01*
-X39103082Y-29922087D01*
-X39098698Y-29927998D01*
-X39093755Y-29933452D01*
-X38156839Y-30870368D01*
-X38151385Y-30875311D01*
-X38145474Y-30879695D01*
-X38139161Y-30883479D01*
-X38132507Y-30886626D01*
-X38125577Y-30889106D01*
-X38118438Y-30890894D01*
-X38111157Y-30891974D01*
-X38103806Y-30892335D01*
-X38096455Y-30891974D01*
-X38089174Y-30890894D01*
-X38082035Y-30889106D01*
-X38075105Y-30886626D01*
-X38068451Y-30883479D01*
-X38062138Y-30879695D01*
-X38056227Y-30875311D01*
-X38050773Y-30870368D01*
-X37944707Y-30764302D01*
-X37939764Y-30758848D01*
-X37935380Y-30752937D01*
-X37931596Y-30746624D01*
-X37928449Y-30739970D01*
-X37925969Y-30733040D01*
-X37924181Y-30725901D01*
-X37923101Y-30718620D01*
-X37922740Y-30711269D01*
-X37923101Y-30703918D01*
-X37924181Y-30696637D01*
-X37925969Y-30689498D01*
-X37928449Y-30682568D01*
-X37931596Y-30675914D01*
-X37935380Y-30669601D01*
-X37939764Y-30663690D01*
-X37944707Y-30658236D01*
-X38881623Y-29721320D01*
-X38887077Y-29716377D01*
-X38892988Y-29711993D01*
-X38899301Y-29708209D01*
-X38905955Y-29705062D01*
-X38912885Y-29702582D01*
-X38920024Y-29700794D01*
-X38927305Y-29699714D01*
-X38934656Y-29699353D01*
-X38942007Y-29699714D01*
-X38942007Y-29699714D01*
-G37*
+X214200000Y-71500000D03*
D18*
-X38519231Y-30295844D03*
-D11*
-G36*
-X39295561Y-30053268D02*
-G01*
-X39302842Y-30054348D01*
-X39309981Y-30056136D01*
-X39316911Y-30058616D01*
-X39323565Y-30061763D01*
-X39329878Y-30065547D01*
-X39335789Y-30069931D01*
-X39341243Y-30074874D01*
-X39447309Y-30180940D01*
-X39452252Y-30186394D01*
-X39456636Y-30192305D01*
-X39460420Y-30198618D01*
-X39463567Y-30205272D01*
-X39466047Y-30212202D01*
-X39467835Y-30219341D01*
-X39468915Y-30226622D01*
-X39469276Y-30233973D01*
-X39468915Y-30241324D01*
-X39467835Y-30248605D01*
-X39466047Y-30255744D01*
-X39463567Y-30262674D01*
-X39460420Y-30269328D01*
-X39456636Y-30275641D01*
-X39452252Y-30281552D01*
-X39447309Y-30287006D01*
-X38510393Y-31223922D01*
-X38504939Y-31228865D01*
-X38499028Y-31233249D01*
-X38492715Y-31237033D01*
-X38486061Y-31240180D01*
-X38479131Y-31242660D01*
-X38471992Y-31244448D01*
-X38464711Y-31245528D01*
-X38457360Y-31245889D01*
-X38450009Y-31245528D01*
-X38442728Y-31244448D01*
-X38435589Y-31242660D01*
-X38428659Y-31240180D01*
-X38422005Y-31237033D01*
-X38415692Y-31233249D01*
-X38409781Y-31228865D01*
-X38404327Y-31223922D01*
-X38298261Y-31117856D01*
-X38293318Y-31112402D01*
-X38288934Y-31106491D01*
-X38285150Y-31100178D01*
-X38282003Y-31093524D01*
-X38279523Y-31086594D01*
-X38277735Y-31079455D01*
-X38276655Y-31072174D01*
-X38276294Y-31064823D01*
-X38276655Y-31057472D01*
-X38277735Y-31050191D01*
-X38279523Y-31043052D01*
-X38282003Y-31036122D01*
-X38285150Y-31029468D01*
-X38288934Y-31023155D01*
-X38293318Y-31017244D01*
-X38298261Y-31011790D01*
-X39235177Y-30074874D01*
-X39240631Y-30069931D01*
-X39246542Y-30065547D01*
-X39252855Y-30061763D01*
-X39259509Y-30058616D01*
-X39266439Y-30056136D01*
-X39273578Y-30054348D01*
-X39280859Y-30053268D01*
-X39288210Y-30052907D01*
-X39295561Y-30053268D01*
-X39295561Y-30053268D01*
-G37*
-D18*
-X38872785Y-30649398D03*
-D11*
-G36*
-X39649114Y-30406821D02*
-G01*
-X39656395Y-30407901D01*
-X39663534Y-30409689D01*
-X39670464Y-30412169D01*
-X39677118Y-30415316D01*
-X39683431Y-30419100D01*
-X39689342Y-30423484D01*
-X39694796Y-30428427D01*
-X39800862Y-30534493D01*
-X39805805Y-30539947D01*
-X39810189Y-30545858D01*
-X39813973Y-30552171D01*
-X39817120Y-30558825D01*
-X39819600Y-30565755D01*
-X39821388Y-30572894D01*
-X39822468Y-30580175D01*
-X39822829Y-30587526D01*
-X39822468Y-30594877D01*
-X39821388Y-30602158D01*
-X39819600Y-30609297D01*
-X39817120Y-30616227D01*
-X39813973Y-30622881D01*
-X39810189Y-30629194D01*
-X39805805Y-30635105D01*
-X39800862Y-30640559D01*
-X38863946Y-31577475D01*
-X38858492Y-31582418D01*
-X38852581Y-31586802D01*
-X38846268Y-31590586D01*
-X38839614Y-31593733D01*
-X38832684Y-31596213D01*
-X38825545Y-31598001D01*
-X38818264Y-31599081D01*
-X38810913Y-31599442D01*
-X38803562Y-31599081D01*
-X38796281Y-31598001D01*
-X38789142Y-31596213D01*
-X38782212Y-31593733D01*
-X38775558Y-31590586D01*
-X38769245Y-31586802D01*
-X38763334Y-31582418D01*
-X38757880Y-31577475D01*
-X38651814Y-31471409D01*
-X38646871Y-31465955D01*
-X38642487Y-31460044D01*
-X38638703Y-31453731D01*
-X38635556Y-31447077D01*
-X38633076Y-31440147D01*
-X38631288Y-31433008D01*
-X38630208Y-31425727D01*
-X38629847Y-31418376D01*
-X38630208Y-31411025D01*
-X38631288Y-31403744D01*
-X38633076Y-31396605D01*
-X38635556Y-31389675D01*
-X38638703Y-31383021D01*
-X38642487Y-31376708D01*
-X38646871Y-31370797D01*
-X38651814Y-31365343D01*
-X39588730Y-30428427D01*
-X39594184Y-30423484D01*
-X39600095Y-30419100D01*
-X39606408Y-30415316D01*
-X39613062Y-30412169D01*
-X39619992Y-30409689D01*
-X39627131Y-30407901D01*
-X39634412Y-30406821D01*
-X39641763Y-30406460D01*
-X39649114Y-30406821D01*
-X39649114Y-30406821D01*
-G37*
-D18*
-X39226338Y-31002951D03*
-D11*
-G36*
-X40002667Y-30760374D02*
-G01*
-X40009948Y-30761454D01*
-X40017087Y-30763242D01*
-X40024017Y-30765722D01*
-X40030671Y-30768869D01*
-X40036984Y-30772653D01*
-X40042895Y-30777037D01*
-X40048349Y-30781980D01*
-X40154415Y-30888046D01*
-X40159358Y-30893500D01*
-X40163742Y-30899411D01*
-X40167526Y-30905724D01*
-X40170673Y-30912378D01*
-X40173153Y-30919308D01*
-X40174941Y-30926447D01*
-X40176021Y-30933728D01*
-X40176382Y-30941079D01*
-X40176021Y-30948430D01*
-X40174941Y-30955711D01*
-X40173153Y-30962850D01*
-X40170673Y-30969780D01*
-X40167526Y-30976434D01*
-X40163742Y-30982747D01*
-X40159358Y-30988658D01*
-X40154415Y-30994112D01*
-X39217499Y-31931028D01*
-X39212045Y-31935971D01*
-X39206134Y-31940355D01*
-X39199821Y-31944139D01*
-X39193167Y-31947286D01*
-X39186237Y-31949766D01*
-X39179098Y-31951554D01*
-X39171817Y-31952634D01*
-X39164466Y-31952995D01*
-X39157115Y-31952634D01*
-X39149834Y-31951554D01*
-X39142695Y-31949766D01*
-X39135765Y-31947286D01*
-X39129111Y-31944139D01*
-X39122798Y-31940355D01*
-X39116887Y-31935971D01*
-X39111433Y-31931028D01*
-X39005367Y-31824962D01*
-X39000424Y-31819508D01*
-X38996040Y-31813597D01*
-X38992256Y-31807284D01*
-X38989109Y-31800630D01*
-X38986629Y-31793700D01*
-X38984841Y-31786561D01*
-X38983761Y-31779280D01*
-X38983400Y-31771929D01*
-X38983761Y-31764578D01*
-X38984841Y-31757297D01*
-X38986629Y-31750158D01*
-X38989109Y-31743228D01*
-X38992256Y-31736574D01*
-X38996040Y-31730261D01*
-X39000424Y-31724350D01*
-X39005367Y-31718896D01*
-X39942283Y-30781980D01*
-X39947737Y-30777037D01*
-X39953648Y-30772653D01*
-X39959961Y-30768869D01*
-X39966615Y-30765722D01*
-X39973545Y-30763242D01*
-X39980684Y-30761454D01*
-X39987965Y-30760374D01*
-X39995316Y-30760013D01*
-X40002667Y-30760374D01*
-X40002667Y-30760374D01*
-G37*
-D18*
-X39579891Y-31356504D03*
-D11*
-G36*
-X40356221Y-31113928D02*
-G01*
-X40363502Y-31115008D01*
-X40370641Y-31116796D01*
-X40377571Y-31119276D01*
-X40384225Y-31122423D01*
-X40390538Y-31126207D01*
-X40396449Y-31130591D01*
-X40401903Y-31135534D01*
-X40507969Y-31241600D01*
-X40512912Y-31247054D01*
-X40517296Y-31252965D01*
-X40521080Y-31259278D01*
-X40524227Y-31265932D01*
-X40526707Y-31272862D01*
-X40528495Y-31280001D01*
-X40529575Y-31287282D01*
-X40529936Y-31294633D01*
-X40529575Y-31301984D01*
-X40528495Y-31309265D01*
-X40526707Y-31316404D01*
-X40524227Y-31323334D01*
-X40521080Y-31329988D01*
-X40517296Y-31336301D01*
-X40512912Y-31342212D01*
-X40507969Y-31347666D01*
-X39571053Y-32284582D01*
-X39565599Y-32289525D01*
-X39559688Y-32293909D01*
-X39553375Y-32297693D01*
-X39546721Y-32300840D01*
-X39539791Y-32303320D01*
-X39532652Y-32305108D01*
-X39525371Y-32306188D01*
-X39518020Y-32306549D01*
-X39510669Y-32306188D01*
-X39503388Y-32305108D01*
-X39496249Y-32303320D01*
-X39489319Y-32300840D01*
-X39482665Y-32297693D01*
-X39476352Y-32293909D01*
-X39470441Y-32289525D01*
-X39464987Y-32284582D01*
-X39358921Y-32178516D01*
-X39353978Y-32173062D01*
-X39349594Y-32167151D01*
-X39345810Y-32160838D01*
-X39342663Y-32154184D01*
-X39340183Y-32147254D01*
-X39338395Y-32140115D01*
-X39337315Y-32132834D01*
-X39336954Y-32125483D01*
-X39337315Y-32118132D01*
-X39338395Y-32110851D01*
-X39340183Y-32103712D01*
-X39342663Y-32096782D01*
-X39345810Y-32090128D01*
-X39349594Y-32083815D01*
-X39353978Y-32077904D01*
-X39358921Y-32072450D01*
-X40295837Y-31135534D01*
-X40301291Y-31130591D01*
-X40307202Y-31126207D01*
-X40313515Y-31122423D01*
-X40320169Y-31119276D01*
-X40327099Y-31116796D01*
-X40334238Y-31115008D01*
-X40341519Y-31113928D01*
-X40348870Y-31113567D01*
-X40356221Y-31113928D01*
-X40356221Y-31113928D01*
-G37*
-D18*
-X39933445Y-31710058D03*
-D11*
-G36*
-X40709774Y-31467481D02*
-G01*
-X40717055Y-31468561D01*
-X40724194Y-31470349D01*
-X40731124Y-31472829D01*
-X40737778Y-31475976D01*
-X40744091Y-31479760D01*
-X40750002Y-31484144D01*
-X40755456Y-31489087D01*
-X40861522Y-31595153D01*
-X40866465Y-31600607D01*
-X40870849Y-31606518D01*
-X40874633Y-31612831D01*
-X40877780Y-31619485D01*
-X40880260Y-31626415D01*
-X40882048Y-31633554D01*
-X40883128Y-31640835D01*
-X40883489Y-31648186D01*
-X40883128Y-31655537D01*
-X40882048Y-31662818D01*
-X40880260Y-31669957D01*
-X40877780Y-31676887D01*
-X40874633Y-31683541D01*
-X40870849Y-31689854D01*
-X40866465Y-31695765D01*
-X40861522Y-31701219D01*
-X39924606Y-32638135D01*
-X39919152Y-32643078D01*
-X39913241Y-32647462D01*
-X39906928Y-32651246D01*
-X39900274Y-32654393D01*
-X39893344Y-32656873D01*
-X39886205Y-32658661D01*
-X39878924Y-32659741D01*
-X39871573Y-32660102D01*
-X39864222Y-32659741D01*
-X39856941Y-32658661D01*
-X39849802Y-32656873D01*
-X39842872Y-32654393D01*
-X39836218Y-32651246D01*
-X39829905Y-32647462D01*
-X39823994Y-32643078D01*
-X39818540Y-32638135D01*
-X39712474Y-32532069D01*
-X39707531Y-32526615D01*
-X39703147Y-32520704D01*
-X39699363Y-32514391D01*
-X39696216Y-32507737D01*
-X39693736Y-32500807D01*
-X39691948Y-32493668D01*
-X39690868Y-32486387D01*
-X39690507Y-32479036D01*
-X39690868Y-32471685D01*
-X39691948Y-32464404D01*
-X39693736Y-32457265D01*
-X39696216Y-32450335D01*
-X39699363Y-32443681D01*
-X39703147Y-32437368D01*
-X39707531Y-32431457D01*
-X39712474Y-32426003D01*
-X40649390Y-31489087D01*
-X40654844Y-31484144D01*
-X40660755Y-31479760D01*
-X40667068Y-31475976D01*
-X40673722Y-31472829D01*
-X40680652Y-31470349D01*
-X40687791Y-31468561D01*
-X40695072Y-31467481D01*
-X40702423Y-31467120D01*
-X40709774Y-31467481D01*
-X40709774Y-31467481D01*
-G37*
-D18*
-X40286998Y-32063611D03*
-D11*
-G36*
-X41063327Y-31821034D02*
-G01*
-X41070608Y-31822114D01*
-X41077747Y-31823902D01*
-X41084677Y-31826382D01*
-X41091331Y-31829529D01*
-X41097644Y-31833313D01*
-X41103555Y-31837697D01*
-X41109009Y-31842640D01*
-X41215075Y-31948706D01*
-X41220018Y-31954160D01*
-X41224402Y-31960071D01*
-X41228186Y-31966384D01*
-X41231333Y-31973038D01*
-X41233813Y-31979968D01*
-X41235601Y-31987107D01*
-X41236681Y-31994388D01*
-X41237042Y-32001739D01*
-X41236681Y-32009090D01*
-X41235601Y-32016371D01*
-X41233813Y-32023510D01*
-X41231333Y-32030440D01*
-X41228186Y-32037094D01*
-X41224402Y-32043407D01*
-X41220018Y-32049318D01*
-X41215075Y-32054772D01*
-X40278159Y-32991688D01*
-X40272705Y-32996631D01*
-X40266794Y-33001015D01*
-X40260481Y-33004799D01*
-X40253827Y-33007946D01*
-X40246897Y-33010426D01*
-X40239758Y-33012214D01*
-X40232477Y-33013294D01*
-X40225126Y-33013655D01*
-X40217775Y-33013294D01*
-X40210494Y-33012214D01*
-X40203355Y-33010426D01*
-X40196425Y-33007946D01*
-X40189771Y-33004799D01*
-X40183458Y-33001015D01*
-X40177547Y-32996631D01*
-X40172093Y-32991688D01*
-X40066027Y-32885622D01*
-X40061084Y-32880168D01*
-X40056700Y-32874257D01*
-X40052916Y-32867944D01*
-X40049769Y-32861290D01*
-X40047289Y-32854360D01*
-X40045501Y-32847221D01*
-X40044421Y-32839940D01*
-X40044060Y-32832589D01*
-X40044421Y-32825238D01*
-X40045501Y-32817957D01*
-X40047289Y-32810818D01*
-X40049769Y-32803888D01*
-X40052916Y-32797234D01*
-X40056700Y-32790921D01*
-X40061084Y-32785010D01*
-X40066027Y-32779556D01*
-X41002943Y-31842640D01*
-X41008397Y-31837697D01*
-X41014308Y-31833313D01*
-X41020621Y-31829529D01*
-X41027275Y-31826382D01*
-X41034205Y-31823902D01*
-X41041344Y-31822114D01*
-X41048625Y-31821034D01*
-X41055976Y-31820673D01*
-X41063327Y-31821034D01*
-X41063327Y-31821034D01*
-G37*
-D18*
-X40640551Y-32417164D03*
-D11*
-G36*
-X41416881Y-32174588D02*
-G01*
-X41424162Y-32175668D01*
-X41431301Y-32177456D01*
-X41438231Y-32179936D01*
-X41444885Y-32183083D01*
-X41451198Y-32186867D01*
-X41457109Y-32191251D01*
-X41462563Y-32196194D01*
-X41568629Y-32302260D01*
-X41573572Y-32307714D01*
-X41577956Y-32313625D01*
-X41581740Y-32319938D01*
-X41584887Y-32326592D01*
-X41587367Y-32333522D01*
-X41589155Y-32340661D01*
-X41590235Y-32347942D01*
-X41590596Y-32355293D01*
-X41590235Y-32362644D01*
-X41589155Y-32369925D01*
-X41587367Y-32377064D01*
-X41584887Y-32383994D01*
-X41581740Y-32390648D01*
-X41577956Y-32396961D01*
-X41573572Y-32402872D01*
-X41568629Y-32408326D01*
-X40631713Y-33345242D01*
-X40626259Y-33350185D01*
-X40620348Y-33354569D01*
-X40614035Y-33358353D01*
-X40607381Y-33361500D01*
-X40600451Y-33363980D01*
-X40593312Y-33365768D01*
-X40586031Y-33366848D01*
-X40578680Y-33367209D01*
-X40571329Y-33366848D01*
-X40564048Y-33365768D01*
-X40556909Y-33363980D01*
-X40549979Y-33361500D01*
-X40543325Y-33358353D01*
-X40537012Y-33354569D01*
-X40531101Y-33350185D01*
-X40525647Y-33345242D01*
-X40419581Y-33239176D01*
-X40414638Y-33233722D01*
-X40410254Y-33227811D01*
-X40406470Y-33221498D01*
-X40403323Y-33214844D01*
-X40400843Y-33207914D01*
-X40399055Y-33200775D01*
-X40397975Y-33193494D01*
-X40397614Y-33186143D01*
-X40397975Y-33178792D01*
-X40399055Y-33171511D01*
-X40400843Y-33164372D01*
-X40403323Y-33157442D01*
-X40406470Y-33150788D01*
-X40410254Y-33144475D01*
-X40414638Y-33138564D01*
-X40419581Y-33133110D01*
-X41356497Y-32196194D01*
-X41361951Y-32191251D01*
-X41367862Y-32186867D01*
-X41374175Y-32183083D01*
-X41380829Y-32179936D01*
-X41387759Y-32177456D01*
-X41394898Y-32175668D01*
-X41402179Y-32174588D01*
-X41409530Y-32174227D01*
-X41416881Y-32174588D01*
-X41416881Y-32174588D01*
-G37*
-D18*
-X40994105Y-32770718D03*
-D11*
-G36*
-X41770434Y-32528141D02*
-G01*
-X41777715Y-32529221D01*
-X41784854Y-32531009D01*
-X41791784Y-32533489D01*
-X41798438Y-32536636D01*
-X41804751Y-32540420D01*
-X41810662Y-32544804D01*
-X41816116Y-32549747D01*
-X41922182Y-32655813D01*
-X41927125Y-32661267D01*
-X41931509Y-32667178D01*
-X41935293Y-32673491D01*
-X41938440Y-32680145D01*
-X41940920Y-32687075D01*
-X41942708Y-32694214D01*
-X41943788Y-32701495D01*
-X41944149Y-32708846D01*
-X41943788Y-32716197D01*
-X41942708Y-32723478D01*
-X41940920Y-32730617D01*
-X41938440Y-32737547D01*
-X41935293Y-32744201D01*
-X41931509Y-32750514D01*
-X41927125Y-32756425D01*
-X41922182Y-32761879D01*
-X40985266Y-33698795D01*
-X40979812Y-33703738D01*
-X40973901Y-33708122D01*
-X40967588Y-33711906D01*
-X40960934Y-33715053D01*
-X40954004Y-33717533D01*
-X40946865Y-33719321D01*
-X40939584Y-33720401D01*
-X40932233Y-33720762D01*
-X40924882Y-33720401D01*
-X40917601Y-33719321D01*
-X40910462Y-33717533D01*
-X40903532Y-33715053D01*
-X40896878Y-33711906D01*
-X40890565Y-33708122D01*
-X40884654Y-33703738D01*
-X40879200Y-33698795D01*
-X40773134Y-33592729D01*
-X40768191Y-33587275D01*
-X40763807Y-33581364D01*
-X40760023Y-33575051D01*
-X40756876Y-33568397D01*
-X40754396Y-33561467D01*
-X40752608Y-33554328D01*
-X40751528Y-33547047D01*
-X40751167Y-33539696D01*
-X40751528Y-33532345D01*
-X40752608Y-33525064D01*
-X40754396Y-33517925D01*
-X40756876Y-33510995D01*
-X40760023Y-33504341D01*
-X40763807Y-33498028D01*
-X40768191Y-33492117D01*
-X40773134Y-33486663D01*
-X41710050Y-32549747D01*
-X41715504Y-32544804D01*
-X41721415Y-32540420D01*
-X41727728Y-32536636D01*
-X41734382Y-32533489D01*
-X41741312Y-32531009D01*
-X41748451Y-32529221D01*
-X41755732Y-32528141D01*
-X41763083Y-32527780D01*
-X41770434Y-32528141D01*
-X41770434Y-32528141D01*
-G37*
-D18*
-X41347658Y-33124271D03*
-D11*
-G36*
-X42123988Y-32881695D02*
-G01*
-X42131269Y-32882775D01*
-X42138408Y-32884563D01*
-X42145338Y-32887043D01*
-X42151992Y-32890190D01*
-X42158305Y-32893974D01*
-X42164216Y-32898358D01*
-X42169670Y-32903301D01*
-X42275736Y-33009367D01*
-X42280679Y-33014821D01*
-X42285063Y-33020732D01*
-X42288847Y-33027045D01*
-X42291994Y-33033699D01*
-X42294474Y-33040629D01*
-X42296262Y-33047768D01*
-X42297342Y-33055049D01*
-X42297703Y-33062400D01*
-X42297342Y-33069751D01*
-X42296262Y-33077032D01*
-X42294474Y-33084171D01*
-X42291994Y-33091101D01*
-X42288847Y-33097755D01*
-X42285063Y-33104068D01*
-X42280679Y-33109979D01*
-X42275736Y-33115433D01*
-X41338820Y-34052349D01*
-X41333366Y-34057292D01*
-X41327455Y-34061676D01*
-X41321142Y-34065460D01*
-X41314488Y-34068607D01*
-X41307558Y-34071087D01*
-X41300419Y-34072875D01*
-X41293138Y-34073955D01*
-X41285787Y-34074316D01*
-X41278436Y-34073955D01*
-X41271155Y-34072875D01*
-X41264016Y-34071087D01*
-X41257086Y-34068607D01*
-X41250432Y-34065460D01*
-X41244119Y-34061676D01*
-X41238208Y-34057292D01*
-X41232754Y-34052349D01*
-X41126688Y-33946283D01*
-X41121745Y-33940829D01*
-X41117361Y-33934918D01*
-X41113577Y-33928605D01*
-X41110430Y-33921951D01*
-X41107950Y-33915021D01*
-X41106162Y-33907882D01*
-X41105082Y-33900601D01*
-X41104721Y-33893250D01*
-X41105082Y-33885899D01*
-X41106162Y-33878618D01*
-X41107950Y-33871479D01*
-X41110430Y-33864549D01*
-X41113577Y-33857895D01*
-X41117361Y-33851582D01*
-X41121745Y-33845671D01*
-X41126688Y-33840217D01*
-X42063604Y-32903301D01*
-X42069058Y-32898358D01*
-X42074969Y-32893974D01*
-X42081282Y-32890190D01*
-X42087936Y-32887043D01*
-X42094866Y-32884563D01*
-X42102005Y-32882775D01*
-X42109286Y-32881695D01*
-X42116637Y-32881334D01*
-X42123988Y-32881695D01*
-X42123988Y-32881695D01*
-G37*
-D18*
-X41701212Y-33477825D03*
-D11*
-G36*
-X43290714Y-32881695D02*
-G01*
-X43297995Y-32882775D01*
-X43305134Y-32884563D01*
-X43312064Y-32887043D01*
-X43318718Y-32890190D01*
-X43325031Y-32893974D01*
-X43330942Y-32898358D01*
-X43336396Y-32903301D01*
-X44273312Y-33840217D01*
-X44278255Y-33845671D01*
-X44282639Y-33851582D01*
-X44286423Y-33857895D01*
-X44289570Y-33864549D01*
-X44292050Y-33871479D01*
-X44293838Y-33878618D01*
-X44294918Y-33885899D01*
-X44295279Y-33893250D01*
-X44294918Y-33900601D01*
-X44293838Y-33907882D01*
-X44292050Y-33915021D01*
-X44289570Y-33921951D01*
-X44286423Y-33928605D01*
-X44282639Y-33934918D01*
-X44278255Y-33940829D01*
-X44273312Y-33946283D01*
-X44167246Y-34052349D01*
-X44161792Y-34057292D01*
-X44155881Y-34061676D01*
-X44149568Y-34065460D01*
-X44142914Y-34068607D01*
-X44135984Y-34071087D01*
-X44128845Y-34072875D01*
-X44121564Y-34073955D01*
-X44114213Y-34074316D01*
-X44106862Y-34073955D01*
-X44099581Y-34072875D01*
-X44092442Y-34071087D01*
-X44085512Y-34068607D01*
-X44078858Y-34065460D01*
-X44072545Y-34061676D01*
-X44066634Y-34057292D01*
-X44061180Y-34052349D01*
-X43124264Y-33115433D01*
-X43119321Y-33109979D01*
-X43114937Y-33104068D01*
-X43111153Y-33097755D01*
-X43108006Y-33091101D01*
-X43105526Y-33084171D01*
-X43103738Y-33077032D01*
-X43102658Y-33069751D01*
-X43102297Y-33062400D01*
-X43102658Y-33055049D01*
-X43103738Y-33047768D01*
-X43105526Y-33040629D01*
-X43108006Y-33033699D01*
-X43111153Y-33027045D01*
-X43114937Y-33020732D01*
-X43119321Y-33014821D01*
-X43124264Y-33009367D01*
-X43230330Y-32903301D01*
-X43235784Y-32898358D01*
-X43241695Y-32893974D01*
-X43248008Y-32890190D01*
-X43254662Y-32887043D01*
-X43261592Y-32884563D01*
-X43268731Y-32882775D01*
-X43276012Y-32881695D01*
-X43283363Y-32881334D01*
-X43290714Y-32881695D01*
-X43290714Y-32881695D01*
-G37*
-D18*
-X43698788Y-33477825D03*
-D11*
-G36*
-X43644268Y-32528141D02*
-G01*
-X43651549Y-32529221D01*
-X43658688Y-32531009D01*
-X43665618Y-32533489D01*
-X43672272Y-32536636D01*
-X43678585Y-32540420D01*
-X43684496Y-32544804D01*
-X43689950Y-32549747D01*
-X44626866Y-33486663D01*
-X44631809Y-33492117D01*
-X44636193Y-33498028D01*
-X44639977Y-33504341D01*
-X44643124Y-33510995D01*
-X44645604Y-33517925D01*
-X44647392Y-33525064D01*
-X44648472Y-33532345D01*
-X44648833Y-33539696D01*
-X44648472Y-33547047D01*
-X44647392Y-33554328D01*
-X44645604Y-33561467D01*
-X44643124Y-33568397D01*
-X44639977Y-33575051D01*
-X44636193Y-33581364D01*
-X44631809Y-33587275D01*
-X44626866Y-33592729D01*
-X44520800Y-33698795D01*
-X44515346Y-33703738D01*
-X44509435Y-33708122D01*
-X44503122Y-33711906D01*
-X44496468Y-33715053D01*
-X44489538Y-33717533D01*
-X44482399Y-33719321D01*
-X44475118Y-33720401D01*
-X44467767Y-33720762D01*
-X44460416Y-33720401D01*
-X44453135Y-33719321D01*
-X44445996Y-33717533D01*
-X44439066Y-33715053D01*
-X44432412Y-33711906D01*
-X44426099Y-33708122D01*
-X44420188Y-33703738D01*
-X44414734Y-33698795D01*
-X43477818Y-32761879D01*
-X43472875Y-32756425D01*
-X43468491Y-32750514D01*
-X43464707Y-32744201D01*
-X43461560Y-32737547D01*
-X43459080Y-32730617D01*
-X43457292Y-32723478D01*
-X43456212Y-32716197D01*
-X43455851Y-32708846D01*
-X43456212Y-32701495D01*
-X43457292Y-32694214D01*
-X43459080Y-32687075D01*
-X43461560Y-32680145D01*
-X43464707Y-32673491D01*
-X43468491Y-32667178D01*
-X43472875Y-32661267D01*
-X43477818Y-32655813D01*
-X43583884Y-32549747D01*
-X43589338Y-32544804D01*
-X43595249Y-32540420D01*
-X43601562Y-32536636D01*
-X43608216Y-32533489D01*
-X43615146Y-32531009D01*
-X43622285Y-32529221D01*
-X43629566Y-32528141D01*
-X43636917Y-32527780D01*
-X43644268Y-32528141D01*
-X43644268Y-32528141D01*
-G37*
-D18*
-X44052342Y-33124271D03*
-D11*
-G36*
-X43997821Y-32174588D02*
-G01*
-X44005102Y-32175668D01*
-X44012241Y-32177456D01*
-X44019171Y-32179936D01*
-X44025825Y-32183083D01*
-X44032138Y-32186867D01*
-X44038049Y-32191251D01*
-X44043503Y-32196194D01*
-X44980419Y-33133110D01*
-X44985362Y-33138564D01*
-X44989746Y-33144475D01*
-X44993530Y-33150788D01*
-X44996677Y-33157442D01*
-X44999157Y-33164372D01*
-X45000945Y-33171511D01*
-X45002025Y-33178792D01*
-X45002386Y-33186143D01*
-X45002025Y-33193494D01*
-X45000945Y-33200775D01*
-X44999157Y-33207914D01*
-X44996677Y-33214844D01*
-X44993530Y-33221498D01*
-X44989746Y-33227811D01*
-X44985362Y-33233722D01*
-X44980419Y-33239176D01*
-X44874353Y-33345242D01*
-X44868899Y-33350185D01*
-X44862988Y-33354569D01*
-X44856675Y-33358353D01*
-X44850021Y-33361500D01*
-X44843091Y-33363980D01*
-X44835952Y-33365768D01*
-X44828671Y-33366848D01*
-X44821320Y-33367209D01*
-X44813969Y-33366848D01*
-X44806688Y-33365768D01*
-X44799549Y-33363980D01*
-X44792619Y-33361500D01*
-X44785965Y-33358353D01*
-X44779652Y-33354569D01*
-X44773741Y-33350185D01*
-X44768287Y-33345242D01*
-X43831371Y-32408326D01*
-X43826428Y-32402872D01*
-X43822044Y-32396961D01*
-X43818260Y-32390648D01*
-X43815113Y-32383994D01*
-X43812633Y-32377064D01*
-X43810845Y-32369925D01*
-X43809765Y-32362644D01*
-X43809404Y-32355293D01*
-X43809765Y-32347942D01*
-X43810845Y-32340661D01*
-X43812633Y-32333522D01*
-X43815113Y-32326592D01*
-X43818260Y-32319938D01*
-X43822044Y-32313625D01*
-X43826428Y-32307714D01*
-X43831371Y-32302260D01*
-X43937437Y-32196194D01*
-X43942891Y-32191251D01*
-X43948802Y-32186867D01*
-X43955115Y-32183083D01*
-X43961769Y-32179936D01*
-X43968699Y-32177456D01*
-X43975838Y-32175668D01*
-X43983119Y-32174588D01*
-X43990470Y-32174227D01*
-X43997821Y-32174588D01*
-X43997821Y-32174588D01*
-G37*
-D18*
-X44405895Y-32770718D03*
-D11*
-G36*
-X44351375Y-31821034D02*
-G01*
-X44358656Y-31822114D01*
-X44365795Y-31823902D01*
-X44372725Y-31826382D01*
-X44379379Y-31829529D01*
-X44385692Y-31833313D01*
-X44391603Y-31837697D01*
-X44397057Y-31842640D01*
-X45333973Y-32779556D01*
-X45338916Y-32785010D01*
-X45343300Y-32790921D01*
-X45347084Y-32797234D01*
-X45350231Y-32803888D01*
-X45352711Y-32810818D01*
-X45354499Y-32817957D01*
-X45355579Y-32825238D01*
-X45355940Y-32832589D01*
-X45355579Y-32839940D01*
-X45354499Y-32847221D01*
-X45352711Y-32854360D01*
-X45350231Y-32861290D01*
-X45347084Y-32867944D01*
-X45343300Y-32874257D01*
-X45338916Y-32880168D01*
-X45333973Y-32885622D01*
-X45227907Y-32991688D01*
-X45222453Y-32996631D01*
-X45216542Y-33001015D01*
-X45210229Y-33004799D01*
-X45203575Y-33007946D01*
-X45196645Y-33010426D01*
-X45189506Y-33012214D01*
-X45182225Y-33013294D01*
-X45174874Y-33013655D01*
-X45167523Y-33013294D01*
-X45160242Y-33012214D01*
-X45153103Y-33010426D01*
-X45146173Y-33007946D01*
-X45139519Y-33004799D01*
-X45133206Y-33001015D01*
-X45127295Y-32996631D01*
-X45121841Y-32991688D01*
-X44184925Y-32054772D01*
-X44179982Y-32049318D01*
-X44175598Y-32043407D01*
-X44171814Y-32037094D01*
-X44168667Y-32030440D01*
-X44166187Y-32023510D01*
-X44164399Y-32016371D01*
-X44163319Y-32009090D01*
-X44162958Y-32001739D01*
-X44163319Y-31994388D01*
-X44164399Y-31987107D01*
-X44166187Y-31979968D01*
-X44168667Y-31973038D01*
-X44171814Y-31966384D01*
-X44175598Y-31960071D01*
-X44179982Y-31954160D01*
-X44184925Y-31948706D01*
-X44290991Y-31842640D01*
-X44296445Y-31837697D01*
-X44302356Y-31833313D01*
-X44308669Y-31829529D01*
-X44315323Y-31826382D01*
-X44322253Y-31823902D01*
-X44329392Y-31822114D01*
-X44336673Y-31821034D01*
-X44344024Y-31820673D01*
-X44351375Y-31821034D01*
-X44351375Y-31821034D01*
-G37*
-D18*
-X44759449Y-32417164D03*
-D11*
-G36*
-X44704928Y-31467481D02*
-G01*
-X44712209Y-31468561D01*
-X44719348Y-31470349D01*
-X44726278Y-31472829D01*
-X44732932Y-31475976D01*
-X44739245Y-31479760D01*
-X44745156Y-31484144D01*
-X44750610Y-31489087D01*
-X45687526Y-32426003D01*
-X45692469Y-32431457D01*
-X45696853Y-32437368D01*
-X45700637Y-32443681D01*
-X45703784Y-32450335D01*
-X45706264Y-32457265D01*
-X45708052Y-32464404D01*
-X45709132Y-32471685D01*
-X45709493Y-32479036D01*
-X45709132Y-32486387D01*
-X45708052Y-32493668D01*
-X45706264Y-32500807D01*
-X45703784Y-32507737D01*
-X45700637Y-32514391D01*
-X45696853Y-32520704D01*
-X45692469Y-32526615D01*
-X45687526Y-32532069D01*
-X45581460Y-32638135D01*
-X45576006Y-32643078D01*
-X45570095Y-32647462D01*
-X45563782Y-32651246D01*
-X45557128Y-32654393D01*
-X45550198Y-32656873D01*
-X45543059Y-32658661D01*
-X45535778Y-32659741D01*
-X45528427Y-32660102D01*
-X45521076Y-32659741D01*
-X45513795Y-32658661D01*
-X45506656Y-32656873D01*
-X45499726Y-32654393D01*
-X45493072Y-32651246D01*
-X45486759Y-32647462D01*
-X45480848Y-32643078D01*
-X45475394Y-32638135D01*
-X44538478Y-31701219D01*
-X44533535Y-31695765D01*
-X44529151Y-31689854D01*
-X44525367Y-31683541D01*
-X44522220Y-31676887D01*
-X44519740Y-31669957D01*
-X44517952Y-31662818D01*
-X44516872Y-31655537D01*
-X44516511Y-31648186D01*
-X44516872Y-31640835D01*
-X44517952Y-31633554D01*
-X44519740Y-31626415D01*
-X44522220Y-31619485D01*
-X44525367Y-31612831D01*
-X44529151Y-31606518D01*
-X44533535Y-31600607D01*
-X44538478Y-31595153D01*
-X44644544Y-31489087D01*
-X44649998Y-31484144D01*
-X44655909Y-31479760D01*
-X44662222Y-31475976D01*
-X44668876Y-31472829D01*
-X44675806Y-31470349D01*
-X44682945Y-31468561D01*
-X44690226Y-31467481D01*
-X44697577Y-31467120D01*
-X44704928Y-31467481D01*
-X44704928Y-31467481D01*
-G37*
-D18*
-X45113002Y-32063611D03*
-D11*
-G36*
-X45058481Y-31113928D02*
-G01*
-X45065762Y-31115008D01*
-X45072901Y-31116796D01*
-X45079831Y-31119276D01*
-X45086485Y-31122423D01*
-X45092798Y-31126207D01*
-X45098709Y-31130591D01*
-X45104163Y-31135534D01*
-X46041079Y-32072450D01*
-X46046022Y-32077904D01*
-X46050406Y-32083815D01*
-X46054190Y-32090128D01*
-X46057337Y-32096782D01*
-X46059817Y-32103712D01*
-X46061605Y-32110851D01*
-X46062685Y-32118132D01*
-X46063046Y-32125483D01*
-X46062685Y-32132834D01*
-X46061605Y-32140115D01*
-X46059817Y-32147254D01*
-X46057337Y-32154184D01*
-X46054190Y-32160838D01*
-X46050406Y-32167151D01*
-X46046022Y-32173062D01*
-X46041079Y-32178516D01*
-X45935013Y-32284582D01*
-X45929559Y-32289525D01*
-X45923648Y-32293909D01*
-X45917335Y-32297693D01*
-X45910681Y-32300840D01*
-X45903751Y-32303320D01*
-X45896612Y-32305108D01*
-X45889331Y-32306188D01*
-X45881980Y-32306549D01*
-X45874629Y-32306188D01*
-X45867348Y-32305108D01*
-X45860209Y-32303320D01*
-X45853279Y-32300840D01*
-X45846625Y-32297693D01*
-X45840312Y-32293909D01*
-X45834401Y-32289525D01*
-X45828947Y-32284582D01*
-X44892031Y-31347666D01*
-X44887088Y-31342212D01*
-X44882704Y-31336301D01*
-X44878920Y-31329988D01*
-X44875773Y-31323334D01*
-X44873293Y-31316404D01*
-X44871505Y-31309265D01*
-X44870425Y-31301984D01*
-X44870064Y-31294633D01*
-X44870425Y-31287282D01*
-X44871505Y-31280001D01*
-X44873293Y-31272862D01*
-X44875773Y-31265932D01*
-X44878920Y-31259278D01*
-X44882704Y-31252965D01*
-X44887088Y-31247054D01*
-X44892031Y-31241600D01*
-X44998097Y-31135534D01*
-X45003551Y-31130591D01*
-X45009462Y-31126207D01*
-X45015775Y-31122423D01*
-X45022429Y-31119276D01*
-X45029359Y-31116796D01*
-X45036498Y-31115008D01*
-X45043779Y-31113928D01*
-X45051130Y-31113567D01*
-X45058481Y-31113928D01*
-X45058481Y-31113928D01*
-G37*
-D18*
-X45466555Y-31710058D03*
-D11*
-G36*
-X45412035Y-30760374D02*
-G01*
-X45419316Y-30761454D01*
-X45426455Y-30763242D01*
-X45433385Y-30765722D01*
-X45440039Y-30768869D01*
-X45446352Y-30772653D01*
-X45452263Y-30777037D01*
-X45457717Y-30781980D01*
-X46394633Y-31718896D01*
-X46399576Y-31724350D01*
-X46403960Y-31730261D01*
-X46407744Y-31736574D01*
-X46410891Y-31743228D01*
-X46413371Y-31750158D01*
-X46415159Y-31757297D01*
-X46416239Y-31764578D01*
-X46416600Y-31771929D01*
-X46416239Y-31779280D01*
-X46415159Y-31786561D01*
-X46413371Y-31793700D01*
-X46410891Y-31800630D01*
-X46407744Y-31807284D01*
-X46403960Y-31813597D01*
-X46399576Y-31819508D01*
-X46394633Y-31824962D01*
-X46288567Y-31931028D01*
-X46283113Y-31935971D01*
-X46277202Y-31940355D01*
-X46270889Y-31944139D01*
-X46264235Y-31947286D01*
-X46257305Y-31949766D01*
-X46250166Y-31951554D01*
-X46242885Y-31952634D01*
-X46235534Y-31952995D01*
-X46228183Y-31952634D01*
-X46220902Y-31951554D01*
-X46213763Y-31949766D01*
-X46206833Y-31947286D01*
-X46200179Y-31944139D01*
-X46193866Y-31940355D01*
-X46187955Y-31935971D01*
-X46182501Y-31931028D01*
-X45245585Y-30994112D01*
-X45240642Y-30988658D01*
-X45236258Y-30982747D01*
-X45232474Y-30976434D01*
-X45229327Y-30969780D01*
-X45226847Y-30962850D01*
-X45225059Y-30955711D01*
-X45223979Y-30948430D01*
-X45223618Y-30941079D01*
-X45223979Y-30933728D01*
-X45225059Y-30926447D01*
-X45226847Y-30919308D01*
-X45229327Y-30912378D01*
-X45232474Y-30905724D01*
-X45236258Y-30899411D01*
-X45240642Y-30893500D01*
-X45245585Y-30888046D01*
-X45351651Y-30781980D01*
-X45357105Y-30777037D01*
-X45363016Y-30772653D01*
-X45369329Y-30768869D01*
-X45375983Y-30765722D01*
-X45382913Y-30763242D01*
-X45390052Y-30761454D01*
-X45397333Y-30760374D01*
-X45404684Y-30760013D01*
-X45412035Y-30760374D01*
-X45412035Y-30760374D01*
-G37*
-D18*
-X45820109Y-31356504D03*
-D11*
-G36*
-X45765588Y-30406821D02*
-G01*
-X45772869Y-30407901D01*
-X45780008Y-30409689D01*
-X45786938Y-30412169D01*
-X45793592Y-30415316D01*
-X45799905Y-30419100D01*
-X45805816Y-30423484D01*
-X45811270Y-30428427D01*
-X46748186Y-31365343D01*
-X46753129Y-31370797D01*
-X46757513Y-31376708D01*
-X46761297Y-31383021D01*
-X46764444Y-31389675D01*
-X46766924Y-31396605D01*
-X46768712Y-31403744D01*
-X46769792Y-31411025D01*
-X46770153Y-31418376D01*
-X46769792Y-31425727D01*
-X46768712Y-31433008D01*
-X46766924Y-31440147D01*
-X46764444Y-31447077D01*
-X46761297Y-31453731D01*
-X46757513Y-31460044D01*
-X46753129Y-31465955D01*
-X46748186Y-31471409D01*
-X46642120Y-31577475D01*
-X46636666Y-31582418D01*
-X46630755Y-31586802D01*
-X46624442Y-31590586D01*
-X46617788Y-31593733D01*
-X46610858Y-31596213D01*
-X46603719Y-31598001D01*
-X46596438Y-31599081D01*
-X46589087Y-31599442D01*
-X46581736Y-31599081D01*
-X46574455Y-31598001D01*
-X46567316Y-31596213D01*
-X46560386Y-31593733D01*
-X46553732Y-31590586D01*
-X46547419Y-31586802D01*
-X46541508Y-31582418D01*
-X46536054Y-31577475D01*
-X45599138Y-30640559D01*
-X45594195Y-30635105D01*
-X45589811Y-30629194D01*
-X45586027Y-30622881D01*
-X45582880Y-30616227D01*
-X45580400Y-30609297D01*
-X45578612Y-30602158D01*
-X45577532Y-30594877D01*
-X45577171Y-30587526D01*
-X45577532Y-30580175D01*
-X45578612Y-30572894D01*
-X45580400Y-30565755D01*
-X45582880Y-30558825D01*
-X45586027Y-30552171D01*
-X45589811Y-30545858D01*
-X45594195Y-30539947D01*
-X45599138Y-30534493D01*
-X45705204Y-30428427D01*
-X45710658Y-30423484D01*
-X45716569Y-30419100D01*
-X45722882Y-30415316D01*
-X45729536Y-30412169D01*
-X45736466Y-30409689D01*
-X45743605Y-30407901D01*
-X45750886Y-30406821D01*
-X45758237Y-30406460D01*
-X45765588Y-30406821D01*
-X45765588Y-30406821D01*
-G37*
-D18*
-X46173662Y-31002951D03*
-D11*
-G36*
-X46119141Y-30053268D02*
-G01*
-X46126422Y-30054348D01*
-X46133561Y-30056136D01*
-X46140491Y-30058616D01*
-X46147145Y-30061763D01*
-X46153458Y-30065547D01*
-X46159369Y-30069931D01*
-X46164823Y-30074874D01*
-X47101739Y-31011790D01*
-X47106682Y-31017244D01*
-X47111066Y-31023155D01*
-X47114850Y-31029468D01*
-X47117997Y-31036122D01*
-X47120477Y-31043052D01*
-X47122265Y-31050191D01*
-X47123345Y-31057472D01*
-X47123706Y-31064823D01*
-X47123345Y-31072174D01*
-X47122265Y-31079455D01*
-X47120477Y-31086594D01*
-X47117997Y-31093524D01*
-X47114850Y-31100178D01*
-X47111066Y-31106491D01*
-X47106682Y-31112402D01*
-X47101739Y-31117856D01*
-X46995673Y-31223922D01*
-X46990219Y-31228865D01*
-X46984308Y-31233249D01*
-X46977995Y-31237033D01*
-X46971341Y-31240180D01*
-X46964411Y-31242660D01*
-X46957272Y-31244448D01*
-X46949991Y-31245528D01*
-X46942640Y-31245889D01*
-X46935289Y-31245528D01*
-X46928008Y-31244448D01*
-X46920869Y-31242660D01*
-X46913939Y-31240180D01*
-X46907285Y-31237033D01*
-X46900972Y-31233249D01*
-X46895061Y-31228865D01*
-X46889607Y-31223922D01*
-X45952691Y-30287006D01*
-X45947748Y-30281552D01*
-X45943364Y-30275641D01*
-X45939580Y-30269328D01*
-X45936433Y-30262674D01*
-X45933953Y-30255744D01*
-X45932165Y-30248605D01*
-X45931085Y-30241324D01*
-X45930724Y-30233973D01*
-X45931085Y-30226622D01*
-X45932165Y-30219341D01*
-X45933953Y-30212202D01*
-X45936433Y-30205272D01*
-X45939580Y-30198618D01*
-X45943364Y-30192305D01*
-X45947748Y-30186394D01*
-X45952691Y-30180940D01*
-X46058757Y-30074874D01*
-X46064211Y-30069931D01*
-X46070122Y-30065547D01*
-X46076435Y-30061763D01*
-X46083089Y-30058616D01*
-X46090019Y-30056136D01*
-X46097158Y-30054348D01*
-X46104439Y-30053268D01*
-X46111790Y-30052907D01*
-X46119141Y-30053268D01*
-X46119141Y-30053268D01*
-G37*
-D18*
-X46527215Y-30649398D03*
-D11*
-G36*
-X46472695Y-29699714D02*
-G01*
-X46479976Y-29700794D01*
-X46487115Y-29702582D01*
-X46494045Y-29705062D01*
-X46500699Y-29708209D01*
-X46507012Y-29711993D01*
-X46512923Y-29716377D01*
-X46518377Y-29721320D01*
-X47455293Y-30658236D01*
-X47460236Y-30663690D01*
-X47464620Y-30669601D01*
-X47468404Y-30675914D01*
-X47471551Y-30682568D01*
-X47474031Y-30689498D01*
-X47475819Y-30696637D01*
-X47476899Y-30703918D01*
-X47477260Y-30711269D01*
-X47476899Y-30718620D01*
-X47475819Y-30725901D01*
-X47474031Y-30733040D01*
-X47471551Y-30739970D01*
-X47468404Y-30746624D01*
-X47464620Y-30752937D01*
-X47460236Y-30758848D01*
-X47455293Y-30764302D01*
-X47349227Y-30870368D01*
-X47343773Y-30875311D01*
-X47337862Y-30879695D01*
-X47331549Y-30883479D01*
-X47324895Y-30886626D01*
-X47317965Y-30889106D01*
-X47310826Y-30890894D01*
-X47303545Y-30891974D01*
-X47296194Y-30892335D01*
-X47288843Y-30891974D01*
-X47281562Y-30890894D01*
-X47274423Y-30889106D01*
-X47267493Y-30886626D01*
-X47260839Y-30883479D01*
-X47254526Y-30879695D01*
-X47248615Y-30875311D01*
-X47243161Y-30870368D01*
-X46306245Y-29933452D01*
-X46301302Y-29927998D01*
-X46296918Y-29922087D01*
-X46293134Y-29915774D01*
-X46289987Y-29909120D01*
-X46287507Y-29902190D01*
-X46285719Y-29895051D01*
-X46284639Y-29887770D01*
-X46284278Y-29880419D01*
-X46284639Y-29873068D01*
-X46285719Y-29865787D01*
-X46287507Y-29858648D01*
-X46289987Y-29851718D01*
-X46293134Y-29845064D01*
-X46296918Y-29838751D01*
-X46301302Y-29832840D01*
-X46306245Y-29827386D01*
-X46412311Y-29721320D01*
-X46417765Y-29716377D01*
-X46423676Y-29711993D01*
-X46429989Y-29708209D01*
-X46436643Y-29705062D01*
-X46443573Y-29702582D01*
-X46450712Y-29700794D01*
-X46457993Y-29699714D01*
-X46465344Y-29699353D01*
-X46472695Y-29699714D01*
-X46472695Y-29699714D01*
-G37*
-D18*
-X46880769Y-30295844D03*
-D11*
-G36*
-X46826248Y-29346161D02*
-G01*
-X46833529Y-29347241D01*
-X46840668Y-29349029D01*
-X46847598Y-29351509D01*
-X46854252Y-29354656D01*
-X46860565Y-29358440D01*
-X46866476Y-29362824D01*
-X46871930Y-29367767D01*
-X47808846Y-30304683D01*
-X47813789Y-30310137D01*
-X47818173Y-30316048D01*
-X47821957Y-30322361D01*
-X47825104Y-30329015D01*
-X47827584Y-30335945D01*
-X47829372Y-30343084D01*
-X47830452Y-30350365D01*
-X47830813Y-30357716D01*
-X47830452Y-30365067D01*
-X47829372Y-30372348D01*
-X47827584Y-30379487D01*
-X47825104Y-30386417D01*
-X47821957Y-30393071D01*
-X47818173Y-30399384D01*
-X47813789Y-30405295D01*
-X47808846Y-30410749D01*
-X47702780Y-30516815D01*
-X47697326Y-30521758D01*
-X47691415Y-30526142D01*
-X47685102Y-30529926D01*
-X47678448Y-30533073D01*
-X47671518Y-30535553D01*
-X47664379Y-30537341D01*
-X47657098Y-30538421D01*
-X47649747Y-30538782D01*
-X47642396Y-30538421D01*
-X47635115Y-30537341D01*
-X47627976Y-30535553D01*
-X47621046Y-30533073D01*
-X47614392Y-30529926D01*
-X47608079Y-30526142D01*
-X47602168Y-30521758D01*
-X47596714Y-30516815D01*
-X46659798Y-29579899D01*
-X46654855Y-29574445D01*
-X46650471Y-29568534D01*
-X46646687Y-29562221D01*
-X46643540Y-29555567D01*
-X46641060Y-29548637D01*
-X46639272Y-29541498D01*
-X46638192Y-29534217D01*
-X46637831Y-29526866D01*
-X46638192Y-29519515D01*
-X46639272Y-29512234D01*
-X46641060Y-29505095D01*
-X46643540Y-29498165D01*
-X46646687Y-29491511D01*
-X46650471Y-29485198D01*
-X46654855Y-29479287D01*
-X46659798Y-29473833D01*
-X46765864Y-29367767D01*
-X46771318Y-29362824D01*
-X46777229Y-29358440D01*
-X46783542Y-29354656D01*
-X46790196Y-29351509D01*
-X46797126Y-29349029D01*
-X46804265Y-29347241D01*
-X46811546Y-29346161D01*
-X46818897Y-29345800D01*
-X46826248Y-29346161D01*
-X46826248Y-29346161D01*
-G37*
-D18*
-X47234322Y-29942291D03*
-D11*
-G36*
-X47179802Y-28992607D02*
-G01*
-X47187083Y-28993687D01*
-X47194222Y-28995475D01*
-X47201152Y-28997955D01*
-X47207806Y-29001102D01*
-X47214119Y-29004886D01*
-X47220030Y-29009270D01*
-X47225484Y-29014213D01*
-X48162400Y-29951129D01*
-X48167343Y-29956583D01*
-X48171727Y-29962494D01*
-X48175511Y-29968807D01*
-X48178658Y-29975461D01*
-X48181138Y-29982391D01*
-X48182926Y-29989530D01*
-X48184006Y-29996811D01*
-X48184367Y-30004162D01*
-X48184006Y-30011513D01*
-X48182926Y-30018794D01*
-X48181138Y-30025933D01*
-X48178658Y-30032863D01*
-X48175511Y-30039517D01*
-X48171727Y-30045830D01*
-X48167343Y-30051741D01*
-X48162400Y-30057195D01*
-X48056334Y-30163261D01*
-X48050880Y-30168204D01*
-X48044969Y-30172588D01*
-X48038656Y-30176372D01*
-X48032002Y-30179519D01*
-X48025072Y-30181999D01*
-X48017933Y-30183787D01*
-X48010652Y-30184867D01*
-X48003301Y-30185228D01*
-X47995950Y-30184867D01*
-X47988669Y-30183787D01*
-X47981530Y-30181999D01*
-X47974600Y-30179519D01*
-X47967946Y-30176372D01*
-X47961633Y-30172588D01*
-X47955722Y-30168204D01*
-X47950268Y-30163261D01*
-X47013352Y-29226345D01*
-X47008409Y-29220891D01*
-X47004025Y-29214980D01*
-X47000241Y-29208667D01*
-X46997094Y-29202013D01*
-X46994614Y-29195083D01*
-X46992826Y-29187944D01*
-X46991746Y-29180663D01*
-X46991385Y-29173312D01*
-X46991746Y-29165961D01*
-X46992826Y-29158680D01*
-X46994614Y-29151541D01*
-X46997094Y-29144611D01*
-X47000241Y-29137957D01*
-X47004025Y-29131644D01*
-X47008409Y-29125733D01*
-X47013352Y-29120279D01*
-X47119418Y-29014213D01*
-X47124872Y-29009270D01*
-X47130783Y-29004886D01*
-X47137096Y-29001102D01*
-X47143750Y-28997955D01*
-X47150680Y-28995475D01*
-X47157819Y-28993687D01*
-X47165100Y-28992607D01*
-X47172451Y-28992246D01*
-X47179802Y-28992607D01*
-X47179802Y-28992607D01*
-G37*
-D18*
-X47587876Y-29588737D03*
-D13*
-X59260000Y-21100000D03*
-X56720000Y-21100000D03*
-X54180000Y-21100000D03*
-X51640000Y-21100000D03*
-X49100000Y-21100000D03*
-X46560000Y-21100000D03*
-X44020000Y-21100000D03*
-X41480000Y-21100000D03*
-X38940000Y-21100000D03*
-X36400000Y-21100000D03*
-X33860000Y-21100000D03*
-X31320000Y-21100000D03*
-X28780000Y-21100000D03*
-X26240000Y-21100000D03*
-D14*
-X23700000Y-21100000D03*
-D13*
-X59260000Y-36100000D03*
-X56720000Y-36100000D03*
-X54180000Y-36100000D03*
-X51640000Y-36100000D03*
-X49100000Y-36100000D03*
-X46560000Y-36100000D03*
-X44020000Y-36100000D03*
-X41480000Y-36100000D03*
-X38940000Y-36100000D03*
-X36400000Y-36100000D03*
-X33860000Y-36100000D03*
-X31320000Y-36100000D03*
-X28780000Y-36100000D03*
-X26240000Y-36100000D03*
-D14*
-X23700000Y-36100000D03*
+X214200000Y-68960000D03*
+X214200000Y-66420000D03*
+X214200000Y-63880000D03*
D19*
-X52625000Y-31437000D03*
-X56775000Y-31437000D03*
-X52625000Y-33587000D03*
-X56775000Y-33587000D03*
-D11*
-G36*
-X33786958Y-31590710D02*
-G01*
-X33801276Y-31592834D01*
-X33815317Y-31596351D01*
-X33828946Y-31601228D01*
-X33842031Y-31607417D01*
-X33854447Y-31614858D01*
-X33866073Y-31623481D01*
-X33876798Y-31633202D01*
-X33886519Y-31643927D01*
-X33895142Y-31655553D01*
-X33902583Y-31667969D01*
-X33908772Y-31681054D01*
-X33913649Y-31694683D01*
-X33917166Y-31708724D01*
-X33919290Y-31723042D01*
-X33920000Y-31737500D01*
-X33920000Y-32032500D01*
-X33919290Y-32046958D01*
-X33917166Y-32061276D01*
-X33913649Y-32075317D01*
-X33908772Y-32088946D01*
-X33902583Y-32102031D01*
-X33895142Y-32114447D01*
-X33886519Y-32126073D01*
-X33876798Y-32136798D01*
-X33866073Y-32146519D01*
-X33854447Y-32155142D01*
-X33842031Y-32162583D01*
-X33828946Y-32168772D01*
-X33815317Y-32173649D01*
-X33801276Y-32177166D01*
-X33786958Y-32179290D01*
-X33772500Y-32180000D01*
-X33427500Y-32180000D01*
-X33413042Y-32179290D01*
-X33398724Y-32177166D01*
-X33384683Y-32173649D01*
-X33371054Y-32168772D01*
-X33357969Y-32162583D01*
-X33345553Y-32155142D01*
-X33333927Y-32146519D01*
-X33323202Y-32136798D01*
-X33313481Y-32126073D01*
-X33304858Y-32114447D01*
-X33297417Y-32102031D01*
-X33291228Y-32088946D01*
-X33286351Y-32075317D01*
-X33282834Y-32061276D01*
-X33280710Y-32046958D01*
-X33280000Y-32032500D01*
-X33280000Y-31737500D01*
-X33280710Y-31723042D01*
-X33282834Y-31708724D01*
-X33286351Y-31694683D01*
-X33291228Y-31681054D01*
-X33297417Y-31667969D01*
-X33304858Y-31655553D01*
-X33313481Y-31643927D01*
-X33323202Y-31633202D01*
-X33333927Y-31623481D01*
-X33345553Y-31614858D01*
-X33357969Y-31607417D01*
-X33371054Y-31601228D01*
-X33384683Y-31596351D01*
-X33398724Y-31592834D01*
-X33413042Y-31590710D01*
-X33427500Y-31590000D01*
-X33772500Y-31590000D01*
-X33786958Y-31590710D01*
-X33786958Y-31590710D01*
-G37*
-D15*
-X33600000Y-31885000D03*
-D11*
-G36*
-X33786958Y-30620710D02*
-G01*
-X33801276Y-30622834D01*
-X33815317Y-30626351D01*
-X33828946Y-30631228D01*
-X33842031Y-30637417D01*
-X33854447Y-30644858D01*
-X33866073Y-30653481D01*
-X33876798Y-30663202D01*
-X33886519Y-30673927D01*
-X33895142Y-30685553D01*
-X33902583Y-30697969D01*
-X33908772Y-30711054D01*
-X33913649Y-30724683D01*
-X33917166Y-30738724D01*
-X33919290Y-30753042D01*
-X33920000Y-30767500D01*
-X33920000Y-31062500D01*
-X33919290Y-31076958D01*
-X33917166Y-31091276D01*
-X33913649Y-31105317D01*
-X33908772Y-31118946D01*
-X33902583Y-31132031D01*
-X33895142Y-31144447D01*
-X33886519Y-31156073D01*
-X33876798Y-31166798D01*
-X33866073Y-31176519D01*
-X33854447Y-31185142D01*
-X33842031Y-31192583D01*
-X33828946Y-31198772D01*
-X33815317Y-31203649D01*
-X33801276Y-31207166D01*
-X33786958Y-31209290D01*
-X33772500Y-31210000D01*
-X33427500Y-31210000D01*
-X33413042Y-31209290D01*
-X33398724Y-31207166D01*
-X33384683Y-31203649D01*
-X33371054Y-31198772D01*
-X33357969Y-31192583D01*
-X33345553Y-31185142D01*
-X33333927Y-31176519D01*
-X33323202Y-31166798D01*
-X33313481Y-31156073D01*
-X33304858Y-31144447D01*
-X33297417Y-31132031D01*
-X33291228Y-31118946D01*
-X33286351Y-31105317D01*
-X33282834Y-31091276D01*
-X33280710Y-31076958D01*
-X33280000Y-31062500D01*
-X33280000Y-30767500D01*
-X33280710Y-30753042D01*
-X33282834Y-30738724D01*
-X33286351Y-30724683D01*
-X33291228Y-30711054D01*
-X33297417Y-30697969D01*
-X33304858Y-30685553D01*
-X33313481Y-30673927D01*
-X33323202Y-30663202D01*
-X33333927Y-30653481D01*
-X33345553Y-30644858D01*
-X33357969Y-30637417D01*
-X33371054Y-30631228D01*
-X33384683Y-30626351D01*
-X33398724Y-30622834D01*
-X33413042Y-30620710D01*
-X33427500Y-30620000D01*
-X33772500Y-30620000D01*
-X33786958Y-30620710D01*
-X33786958Y-30620710D01*
-G37*
-D15*
-X33600000Y-30915000D03*
-D11*
-G36*
-X46475083Y-23769883D02*
-G01*
-X46489401Y-23772007D01*
-X46503442Y-23775524D01*
-X46517071Y-23780401D01*
-X46530156Y-23786590D01*
-X46542572Y-23794031D01*
-X46554198Y-23802654D01*
-X46564923Y-23812375D01*
-X46773519Y-24020971D01*
-X46783240Y-24031696D01*
-X46791863Y-24043322D01*
-X46799304Y-24055738D01*
-X46805493Y-24068823D01*
-X46810370Y-24082452D01*
-X46813887Y-24096493D01*
-X46816011Y-24110811D01*
-X46816721Y-24125269D01*
-X46816011Y-24139727D01*
-X46813887Y-24154045D01*
-X46810370Y-24168086D01*
-X46805493Y-24181715D01*
-X46799304Y-24194800D01*
-X46791863Y-24207216D01*
-X46783240Y-24218842D01*
-X46773519Y-24229567D01*
-X46529567Y-24473519D01*
-X46518842Y-24483240D01*
-X46507216Y-24491863D01*
-X46494800Y-24499304D01*
-X46481715Y-24505493D01*
-X46468086Y-24510370D01*
-X46454045Y-24513887D01*
-X46439727Y-24516011D01*
-X46425269Y-24516721D01*
-X46410811Y-24516011D01*
-X46396493Y-24513887D01*
-X46382452Y-24510370D01*
-X46368823Y-24505493D01*
-X46355738Y-24499304D01*
-X46343322Y-24491863D01*
-X46331696Y-24483240D01*
-X46320971Y-24473519D01*
-X46112375Y-24264923D01*
-X46102654Y-24254198D01*
-X46094031Y-24242572D01*
-X46086590Y-24230156D01*
-X46080401Y-24217071D01*
-X46075524Y-24203442D01*
-X46072007Y-24189401D01*
-X46069883Y-24175083D01*
-X46069173Y-24160625D01*
-X46069883Y-24146167D01*
-X46072007Y-24131849D01*
-X46075524Y-24117808D01*
-X46080401Y-24104179D01*
-X46086590Y-24091094D01*
-X46094031Y-24078678D01*
-X46102654Y-24067052D01*
-X46112375Y-24056327D01*
-X46356327Y-23812375D01*
-X46367052Y-23802654D01*
-X46378678Y-23794031D01*
-X46391094Y-23786590D01*
-X46404179Y-23780401D01*
-X46417808Y-23775524D01*
-X46431849Y-23772007D01*
-X46446167Y-23769883D01*
-X46460625Y-23769173D01*
-X46475083Y-23769883D01*
-X46475083Y-23769883D01*
-G37*
-D15*
-X46442947Y-24142947D03*
-D11*
-G36*
-X45789189Y-23083989D02*
-G01*
-X45803507Y-23086113D01*
-X45817548Y-23089630D01*
-X45831177Y-23094507D01*
-X45844262Y-23100696D01*
-X45856678Y-23108137D01*
-X45868304Y-23116760D01*
-X45879029Y-23126481D01*
-X46087625Y-23335077D01*
-X46097346Y-23345802D01*
-X46105969Y-23357428D01*
-X46113410Y-23369844D01*
-X46119599Y-23382929D01*
-X46124476Y-23396558D01*
-X46127993Y-23410599D01*
-X46130117Y-23424917D01*
-X46130827Y-23439375D01*
-X46130117Y-23453833D01*
-X46127993Y-23468151D01*
-X46124476Y-23482192D01*
-X46119599Y-23495821D01*
-X46113410Y-23508906D01*
-X46105969Y-23521322D01*
-X46097346Y-23532948D01*
-X46087625Y-23543673D01*
-X45843673Y-23787625D01*
-X45832948Y-23797346D01*
-X45821322Y-23805969D01*
-X45808906Y-23813410D01*
-X45795821Y-23819599D01*
-X45782192Y-23824476D01*
-X45768151Y-23827993D01*
-X45753833Y-23830117D01*
-X45739375Y-23830827D01*
-X45724917Y-23830117D01*
-X45710599Y-23827993D01*
-X45696558Y-23824476D01*
-X45682929Y-23819599D01*
-X45669844Y-23813410D01*
-X45657428Y-23805969D01*
-X45645802Y-23797346D01*
-X45635077Y-23787625D01*
-X45426481Y-23579029D01*
-X45416760Y-23568304D01*
-X45408137Y-23556678D01*
-X45400696Y-23544262D01*
-X45394507Y-23531177D01*
-X45389630Y-23517548D01*
-X45386113Y-23503507D01*
-X45383989Y-23489189D01*
-X45383279Y-23474731D01*
-X45383989Y-23460273D01*
-X45386113Y-23445955D01*
-X45389630Y-23431914D01*
-X45394507Y-23418285D01*
-X45400696Y-23405200D01*
-X45408137Y-23392784D01*
-X45416760Y-23381158D01*
-X45426481Y-23370433D01*
-X45670433Y-23126481D01*
-X45681158Y-23116760D01*
-X45692784Y-23108137D01*
-X45705200Y-23100696D01*
-X45718285Y-23094507D01*
-X45731914Y-23089630D01*
-X45745955Y-23086113D01*
-X45760273Y-23083989D01*
-X45774731Y-23083279D01*
-X45789189Y-23083989D01*
-X45789189Y-23083989D01*
-G37*
-D15*
-X45757053Y-23457053D03*
-D11*
-G36*
-X34786958Y-31590710D02*
-G01*
-X34801276Y-31592834D01*
-X34815317Y-31596351D01*
-X34828946Y-31601228D01*
-X34842031Y-31607417D01*
-X34854447Y-31614858D01*
-X34866073Y-31623481D01*
-X34876798Y-31633202D01*
-X34886519Y-31643927D01*
-X34895142Y-31655553D01*
-X34902583Y-31667969D01*
-X34908772Y-31681054D01*
-X34913649Y-31694683D01*
-X34917166Y-31708724D01*
-X34919290Y-31723042D01*
-X34920000Y-31737500D01*
-X34920000Y-32032500D01*
-X34919290Y-32046958D01*
-X34917166Y-32061276D01*
-X34913649Y-32075317D01*
-X34908772Y-32088946D01*
-X34902583Y-32102031D01*
-X34895142Y-32114447D01*
-X34886519Y-32126073D01*
-X34876798Y-32136798D01*
-X34866073Y-32146519D01*
-X34854447Y-32155142D01*
-X34842031Y-32162583D01*
-X34828946Y-32168772D01*
-X34815317Y-32173649D01*
-X34801276Y-32177166D01*
-X34786958Y-32179290D01*
-X34772500Y-32180000D01*
-X34427500Y-32180000D01*
-X34413042Y-32179290D01*
-X34398724Y-32177166D01*
-X34384683Y-32173649D01*
-X34371054Y-32168772D01*
-X34357969Y-32162583D01*
-X34345553Y-32155142D01*
-X34333927Y-32146519D01*
-X34323202Y-32136798D01*
-X34313481Y-32126073D01*
-X34304858Y-32114447D01*
-X34297417Y-32102031D01*
-X34291228Y-32088946D01*
-X34286351Y-32075317D01*
-X34282834Y-32061276D01*
-X34280710Y-32046958D01*
-X34280000Y-32032500D01*
-X34280000Y-31737500D01*
-X34280710Y-31723042D01*
-X34282834Y-31708724D01*
-X34286351Y-31694683D01*
-X34291228Y-31681054D01*
-X34297417Y-31667969D01*
-X34304858Y-31655553D01*
-X34313481Y-31643927D01*
-X34323202Y-31633202D01*
-X34333927Y-31623481D01*
-X34345553Y-31614858D01*
-X34357969Y-31607417D01*
-X34371054Y-31601228D01*
-X34384683Y-31596351D01*
-X34398724Y-31592834D01*
-X34413042Y-31590710D01*
-X34427500Y-31590000D01*
-X34772500Y-31590000D01*
-X34786958Y-31590710D01*
-X34786958Y-31590710D01*
-G37*
-D15*
-X34600000Y-31885000D03*
-D11*
-G36*
-X34786958Y-30620710D02*
-G01*
-X34801276Y-30622834D01*
-X34815317Y-30626351D01*
-X34828946Y-30631228D01*
-X34842031Y-30637417D01*
-X34854447Y-30644858D01*
-X34866073Y-30653481D01*
-X34876798Y-30663202D01*
-X34886519Y-30673927D01*
-X34895142Y-30685553D01*
-X34902583Y-30697969D01*
-X34908772Y-30711054D01*
-X34913649Y-30724683D01*
-X34917166Y-30738724D01*
-X34919290Y-30753042D01*
-X34920000Y-30767500D01*
-X34920000Y-31062500D01*
-X34919290Y-31076958D01*
-X34917166Y-31091276D01*
-X34913649Y-31105317D01*
-X34908772Y-31118946D01*
-X34902583Y-31132031D01*
-X34895142Y-31144447D01*
-X34886519Y-31156073D01*
-X34876798Y-31166798D01*
-X34866073Y-31176519D01*
-X34854447Y-31185142D01*
-X34842031Y-31192583D01*
-X34828946Y-31198772D01*
-X34815317Y-31203649D01*
-X34801276Y-31207166D01*
-X34786958Y-31209290D01*
-X34772500Y-31210000D01*
-X34427500Y-31210000D01*
-X34413042Y-31209290D01*
-X34398724Y-31207166D01*
-X34384683Y-31203649D01*
-X34371054Y-31198772D01*
-X34357969Y-31192583D01*
-X34345553Y-31185142D01*
-X34333927Y-31176519D01*
-X34323202Y-31166798D01*
-X34313481Y-31156073D01*
-X34304858Y-31144447D01*
-X34297417Y-31132031D01*
-X34291228Y-31118946D01*
-X34286351Y-31105317D01*
-X34282834Y-31091276D01*
-X34280710Y-31076958D01*
-X34280000Y-31062500D01*
-X34280000Y-30767500D01*
-X34280710Y-30753042D01*
-X34282834Y-30738724D01*
-X34286351Y-30724683D01*
-X34291228Y-30711054D01*
-X34297417Y-30697969D01*
-X34304858Y-30685553D01*
-X34313481Y-30673927D01*
-X34323202Y-30663202D01*
-X34333927Y-30653481D01*
-X34345553Y-30644858D01*
-X34357969Y-30637417D01*
-X34371054Y-30631228D01*
-X34384683Y-30626351D01*
-X34398724Y-30622834D01*
-X34413042Y-30620710D01*
-X34427500Y-30620000D01*
-X34772500Y-30620000D01*
-X34786958Y-30620710D01*
-X34786958Y-30620710D01*
-G37*
-D15*
-X34600000Y-30915000D03*
-D11*
-G36*
-X36656958Y-27890710D02*
-G01*
-X36671276Y-27892834D01*
-X36685317Y-27896351D01*
-X36698946Y-27901228D01*
-X36712031Y-27907417D01*
-X36724447Y-27914858D01*
-X36736073Y-27923481D01*
-X36746798Y-27933202D01*
-X36756519Y-27943927D01*
-X36765142Y-27955553D01*
-X36772583Y-27967969D01*
-X36778772Y-27981054D01*
-X36783649Y-27994683D01*
-X36787166Y-28008724D01*
-X36789290Y-28023042D01*
-X36790000Y-28037500D01*
-X36790000Y-28332500D01*
-X36789290Y-28346958D01*
-X36787166Y-28361276D01*
-X36783649Y-28375317D01*
-X36778772Y-28388946D01*
-X36772583Y-28402031D01*
-X36765142Y-28414447D01*
-X36756519Y-28426073D01*
-X36746798Y-28436798D01*
-X36736073Y-28446519D01*
-X36724447Y-28455142D01*
-X36712031Y-28462583D01*
-X36698946Y-28468772D01*
-X36685317Y-28473649D01*
-X36671276Y-28477166D01*
-X36656958Y-28479290D01*
-X36642500Y-28480000D01*
-X36297500Y-28480000D01*
-X36283042Y-28479290D01*
-X36268724Y-28477166D01*
-X36254683Y-28473649D01*
-X36241054Y-28468772D01*
-X36227969Y-28462583D01*
-X36215553Y-28455142D01*
-X36203927Y-28446519D01*
-X36193202Y-28436798D01*
-X36183481Y-28426073D01*
-X36174858Y-28414447D01*
-X36167417Y-28402031D01*
-X36161228Y-28388946D01*
-X36156351Y-28375317D01*
-X36152834Y-28361276D01*
-X36150710Y-28346958D01*
-X36150000Y-28332500D01*
-X36150000Y-28037500D01*
-X36150710Y-28023042D01*
-X36152834Y-28008724D01*
-X36156351Y-27994683D01*
-X36161228Y-27981054D01*
-X36167417Y-27967969D01*
-X36174858Y-27955553D01*
-X36183481Y-27943927D01*
-X36193202Y-27933202D01*
-X36203927Y-27923481D01*
-X36215553Y-27914858D01*
-X36227969Y-27907417D01*
-X36241054Y-27901228D01*
-X36254683Y-27896351D01*
-X36268724Y-27892834D01*
-X36283042Y-27890710D01*
-X36297500Y-27890000D01*
-X36642500Y-27890000D01*
-X36656958Y-27890710D01*
-X36656958Y-27890710D01*
-G37*
-D15*
-X36470000Y-28185000D03*
-D11*
-G36*
-X36656958Y-26920710D02*
-G01*
-X36671276Y-26922834D01*
-X36685317Y-26926351D01*
-X36698946Y-26931228D01*
-X36712031Y-26937417D01*
-X36724447Y-26944858D01*
-X36736073Y-26953481D01*
-X36746798Y-26963202D01*
-X36756519Y-26973927D01*
-X36765142Y-26985553D01*
-X36772583Y-26997969D01*
-X36778772Y-27011054D01*
-X36783649Y-27024683D01*
-X36787166Y-27038724D01*
-X36789290Y-27053042D01*
-X36790000Y-27067500D01*
-X36790000Y-27362500D01*
-X36789290Y-27376958D01*
-X36787166Y-27391276D01*
-X36783649Y-27405317D01*
-X36778772Y-27418946D01*
-X36772583Y-27432031D01*
-X36765142Y-27444447D01*
-X36756519Y-27456073D01*
-X36746798Y-27466798D01*
-X36736073Y-27476519D01*
-X36724447Y-27485142D01*
-X36712031Y-27492583D01*
-X36698946Y-27498772D01*
-X36685317Y-27503649D01*
-X36671276Y-27507166D01*
-X36656958Y-27509290D01*
-X36642500Y-27510000D01*
-X36297500Y-27510000D01*
-X36283042Y-27509290D01*
-X36268724Y-27507166D01*
-X36254683Y-27503649D01*
-X36241054Y-27498772D01*
-X36227969Y-27492583D01*
-X36215553Y-27485142D01*
-X36203927Y-27476519D01*
-X36193202Y-27466798D01*
-X36183481Y-27456073D01*
-X36174858Y-27444447D01*
-X36167417Y-27432031D01*
-X36161228Y-27418946D01*
-X36156351Y-27405317D01*
-X36152834Y-27391276D01*
-X36150710Y-27376958D01*
-X36150000Y-27362500D01*
-X36150000Y-27067500D01*
-X36150710Y-27053042D01*
-X36152834Y-27038724D01*
-X36156351Y-27024683D01*
-X36161228Y-27011054D01*
-X36167417Y-26997969D01*
-X36174858Y-26985553D01*
-X36183481Y-26973927D01*
-X36193202Y-26963202D01*
-X36203927Y-26953481D01*
-X36215553Y-26944858D01*
-X36227969Y-26937417D01*
-X36241054Y-26931228D01*
-X36254683Y-26926351D01*
-X36268724Y-26922834D01*
-X36283042Y-26920710D01*
-X36297500Y-26920000D01*
-X36642500Y-26920000D01*
-X36656958Y-26920710D01*
-X36656958Y-26920710D01*
-G37*
-D15*
-X36470000Y-27215000D03*
-D11*
-G36*
-X49106958Y-30445710D02*
-G01*
-X49121276Y-30447834D01*
-X49135317Y-30451351D01*
-X49148946Y-30456228D01*
-X49162031Y-30462417D01*
-X49174447Y-30469858D01*
-X49186073Y-30478481D01*
-X49196798Y-30488202D01*
-X49206519Y-30498927D01*
-X49215142Y-30510553D01*
-X49222583Y-30522969D01*
-X49228772Y-30536054D01*
-X49233649Y-30549683D01*
-X49237166Y-30563724D01*
-X49239290Y-30578042D01*
-X49240000Y-30592500D01*
-X49240000Y-30887500D01*
-X49239290Y-30901958D01*
-X49237166Y-30916276D01*
-X49233649Y-30930317D01*
-X49228772Y-30943946D01*
-X49222583Y-30957031D01*
-X49215142Y-30969447D01*
-X49206519Y-30981073D01*
-X49196798Y-30991798D01*
-X49186073Y-31001519D01*
-X49174447Y-31010142D01*
-X49162031Y-31017583D01*
-X49148946Y-31023772D01*
-X49135317Y-31028649D01*
-X49121276Y-31032166D01*
-X49106958Y-31034290D01*
-X49092500Y-31035000D01*
-X48747500Y-31035000D01*
-X48733042Y-31034290D01*
-X48718724Y-31032166D01*
-X48704683Y-31028649D01*
-X48691054Y-31023772D01*
-X48677969Y-31017583D01*
-X48665553Y-31010142D01*
-X48653927Y-31001519D01*
-X48643202Y-30991798D01*
-X48633481Y-30981073D01*
-X48624858Y-30969447D01*
-X48617417Y-30957031D01*
-X48611228Y-30943946D01*
-X48606351Y-30930317D01*
-X48602834Y-30916276D01*
-X48600710Y-30901958D01*
-X48600000Y-30887500D01*
-X48600000Y-30592500D01*
-X48600710Y-30578042D01*
-X48602834Y-30563724D01*
-X48606351Y-30549683D01*
-X48611228Y-30536054D01*
-X48617417Y-30522969D01*
-X48624858Y-30510553D01*
-X48633481Y-30498927D01*
-X48643202Y-30488202D01*
-X48653927Y-30478481D01*
-X48665553Y-30469858D01*
-X48677969Y-30462417D01*
-X48691054Y-30456228D01*
-X48704683Y-30451351D01*
-X48718724Y-30447834D01*
-X48733042Y-30445710D01*
-X48747500Y-30445000D01*
-X49092500Y-30445000D01*
-X49106958Y-30445710D01*
-X49106958Y-30445710D01*
-G37*
-D15*
-X48920000Y-30740000D03*
-D11*
-G36*
-X49106958Y-29475710D02*
-G01*
-X49121276Y-29477834D01*
-X49135317Y-29481351D01*
-X49148946Y-29486228D01*
-X49162031Y-29492417D01*
-X49174447Y-29499858D01*
-X49186073Y-29508481D01*
-X49196798Y-29518202D01*
-X49206519Y-29528927D01*
-X49215142Y-29540553D01*
-X49222583Y-29552969D01*
-X49228772Y-29566054D01*
-X49233649Y-29579683D01*
-X49237166Y-29593724D01*
-X49239290Y-29608042D01*
-X49240000Y-29622500D01*
-X49240000Y-29917500D01*
-X49239290Y-29931958D01*
-X49237166Y-29946276D01*
-X49233649Y-29960317D01*
-X49228772Y-29973946D01*
-X49222583Y-29987031D01*
-X49215142Y-29999447D01*
-X49206519Y-30011073D01*
-X49196798Y-30021798D01*
-X49186073Y-30031519D01*
-X49174447Y-30040142D01*
-X49162031Y-30047583D01*
-X49148946Y-30053772D01*
-X49135317Y-30058649D01*
-X49121276Y-30062166D01*
-X49106958Y-30064290D01*
-X49092500Y-30065000D01*
-X48747500Y-30065000D01*
-X48733042Y-30064290D01*
-X48718724Y-30062166D01*
-X48704683Y-30058649D01*
-X48691054Y-30053772D01*
-X48677969Y-30047583D01*
-X48665553Y-30040142D01*
-X48653927Y-30031519D01*
-X48643202Y-30021798D01*
-X48633481Y-30011073D01*
-X48624858Y-29999447D01*
-X48617417Y-29987031D01*
-X48611228Y-29973946D01*
-X48606351Y-29960317D01*
-X48602834Y-29946276D01*
-X48600710Y-29931958D01*
-X48600000Y-29917500D01*
-X48600000Y-29622500D01*
-X48600710Y-29608042D01*
-X48602834Y-29593724D01*
-X48606351Y-29579683D01*
-X48611228Y-29566054D01*
-X48617417Y-29552969D01*
-X48624858Y-29540553D01*
-X48633481Y-29528927D01*
-X48643202Y-29518202D01*
-X48653927Y-29508481D01*
-X48665553Y-29499858D01*
-X48677969Y-29492417D01*
-X48691054Y-29486228D01*
-X48704683Y-29481351D01*
-X48718724Y-29477834D01*
-X48733042Y-29475710D01*
-X48747500Y-29475000D01*
-X49092500Y-29475000D01*
-X49106958Y-29475710D01*
-X49106958Y-29475710D01*
-G37*
-D15*
-X48920000Y-29770000D03*
-D11*
-G36*
-X50116958Y-31190710D02*
-G01*
-X50131276Y-31192834D01*
-X50145317Y-31196351D01*
-X50158946Y-31201228D01*
-X50172031Y-31207417D01*
-X50184447Y-31214858D01*
-X50196073Y-31223481D01*
-X50206798Y-31233202D01*
-X50216519Y-31243927D01*
-X50225142Y-31255553D01*
-X50232583Y-31267969D01*
-X50238772Y-31281054D01*
-X50243649Y-31294683D01*
-X50247166Y-31308724D01*
-X50249290Y-31323042D01*
-X50250000Y-31337500D01*
-X50250000Y-31632500D01*
-X50249290Y-31646958D01*
-X50247166Y-31661276D01*
-X50243649Y-31675317D01*
-X50238772Y-31688946D01*
-X50232583Y-31702031D01*
-X50225142Y-31714447D01*
-X50216519Y-31726073D01*
-X50206798Y-31736798D01*
-X50196073Y-31746519D01*
-X50184447Y-31755142D01*
-X50172031Y-31762583D01*
-X50158946Y-31768772D01*
-X50145317Y-31773649D01*
-X50131276Y-31777166D01*
-X50116958Y-31779290D01*
-X50102500Y-31780000D01*
-X49757500Y-31780000D01*
-X49743042Y-31779290D01*
-X49728724Y-31777166D01*
-X49714683Y-31773649D01*
-X49701054Y-31768772D01*
-X49687969Y-31762583D01*
-X49675553Y-31755142D01*
-X49663927Y-31746519D01*
-X49653202Y-31736798D01*
-X49643481Y-31726073D01*
-X49634858Y-31714447D01*
-X49627417Y-31702031D01*
-X49621228Y-31688946D01*
-X49616351Y-31675317D01*
-X49612834Y-31661276D01*
-X49610710Y-31646958D01*
-X49610000Y-31632500D01*
-X49610000Y-31337500D01*
-X49610710Y-31323042D01*
-X49612834Y-31308724D01*
-X49616351Y-31294683D01*
-X49621228Y-31281054D01*
-X49627417Y-31267969D01*
-X49634858Y-31255553D01*
-X49643481Y-31243927D01*
-X49653202Y-31233202D01*
-X49663927Y-31223481D01*
-X49675553Y-31214858D01*
-X49687969Y-31207417D01*
-X49701054Y-31201228D01*
-X49714683Y-31196351D01*
-X49728724Y-31192834D01*
-X49743042Y-31190710D01*
-X49757500Y-31190000D01*
-X50102500Y-31190000D01*
-X50116958Y-31190710D01*
-X50116958Y-31190710D01*
-G37*
-D15*
-X49930000Y-31485000D03*
-D11*
-G36*
-X50116958Y-30220710D02*
-G01*
-X50131276Y-30222834D01*
-X50145317Y-30226351D01*
-X50158946Y-30231228D01*
-X50172031Y-30237417D01*
-X50184447Y-30244858D01*
-X50196073Y-30253481D01*
-X50206798Y-30263202D01*
-X50216519Y-30273927D01*
-X50225142Y-30285553D01*
-X50232583Y-30297969D01*
-X50238772Y-30311054D01*
-X50243649Y-30324683D01*
-X50247166Y-30338724D01*
-X50249290Y-30353042D01*
-X50250000Y-30367500D01*
-X50250000Y-30662500D01*
-X50249290Y-30676958D01*
-X50247166Y-30691276D01*
-X50243649Y-30705317D01*
-X50238772Y-30718946D01*
-X50232583Y-30732031D01*
-X50225142Y-30744447D01*
-X50216519Y-30756073D01*
-X50206798Y-30766798D01*
-X50196073Y-30776519D01*
-X50184447Y-30785142D01*
-X50172031Y-30792583D01*
-X50158946Y-30798772D01*
-X50145317Y-30803649D01*
-X50131276Y-30807166D01*
-X50116958Y-30809290D01*
-X50102500Y-30810000D01*
-X49757500Y-30810000D01*
-X49743042Y-30809290D01*
-X49728724Y-30807166D01*
-X49714683Y-30803649D01*
-X49701054Y-30798772D01*
-X49687969Y-30792583D01*
-X49675553Y-30785142D01*
-X49663927Y-30776519D01*
-X49653202Y-30766798D01*
-X49643481Y-30756073D01*
-X49634858Y-30744447D01*
-X49627417Y-30732031D01*
-X49621228Y-30718946D01*
-X49616351Y-30705317D01*
-X49612834Y-30691276D01*
-X49610710Y-30676958D01*
-X49610000Y-30662500D01*
-X49610000Y-30367500D01*
-X49610710Y-30353042D01*
-X49612834Y-30338724D01*
-X49616351Y-30324683D01*
-X49621228Y-30311054D01*
-X49627417Y-30297969D01*
-X49634858Y-30285553D01*
-X49643481Y-30273927D01*
-X49653202Y-30263202D01*
-X49663927Y-30253481D01*
-X49675553Y-30244858D01*
-X49687969Y-30237417D01*
-X49701054Y-30231228D01*
-X49714683Y-30226351D01*
-X49728724Y-30222834D01*
-X49743042Y-30220710D01*
-X49757500Y-30220000D01*
-X50102500Y-30220000D01*
-X50116958Y-30220710D01*
-X50116958Y-30220710D01*
-G37*
-D15*
-X49930000Y-30515000D03*
-D11*
-G36*
-X53906958Y-24060710D02*
-G01*
-X53921276Y-24062834D01*
-X53935317Y-24066351D01*
-X53948946Y-24071228D01*
-X53962031Y-24077417D01*
-X53974447Y-24084858D01*
-X53986073Y-24093481D01*
-X53996798Y-24103202D01*
-X54006519Y-24113927D01*
-X54015142Y-24125553D01*
-X54022583Y-24137969D01*
-X54028772Y-24151054D01*
-X54033649Y-24164683D01*
-X54037166Y-24178724D01*
-X54039290Y-24193042D01*
-X54040000Y-24207500D01*
-X54040000Y-24502500D01*
-X54039290Y-24516958D01*
-X54037166Y-24531276D01*
-X54033649Y-24545317D01*
-X54028772Y-24558946D01*
-X54022583Y-24572031D01*
-X54015142Y-24584447D01*
-X54006519Y-24596073D01*
-X53996798Y-24606798D01*
-X53986073Y-24616519D01*
-X53974447Y-24625142D01*
-X53962031Y-24632583D01*
-X53948946Y-24638772D01*
-X53935317Y-24643649D01*
-X53921276Y-24647166D01*
-X53906958Y-24649290D01*
-X53892500Y-24650000D01*
-X53547500Y-24650000D01*
-X53533042Y-24649290D01*
-X53518724Y-24647166D01*
-X53504683Y-24643649D01*
-X53491054Y-24638772D01*
-X53477969Y-24632583D01*
-X53465553Y-24625142D01*
-X53453927Y-24616519D01*
-X53443202Y-24606798D01*
-X53433481Y-24596073D01*
-X53424858Y-24584447D01*
-X53417417Y-24572031D01*
-X53411228Y-24558946D01*
-X53406351Y-24545317D01*
-X53402834Y-24531276D01*
-X53400710Y-24516958D01*
-X53400000Y-24502500D01*
-X53400000Y-24207500D01*
-X53400710Y-24193042D01*
-X53402834Y-24178724D01*
-X53406351Y-24164683D01*
-X53411228Y-24151054D01*
-X53417417Y-24137969D01*
-X53424858Y-24125553D01*
-X53433481Y-24113927D01*
-X53443202Y-24103202D01*
-X53453927Y-24093481D01*
-X53465553Y-24084858D01*
-X53477969Y-24077417D01*
-X53491054Y-24071228D01*
-X53504683Y-24066351D01*
-X53518724Y-24062834D01*
-X53533042Y-24060710D01*
-X53547500Y-24060000D01*
-X53892500Y-24060000D01*
-X53906958Y-24060710D01*
-X53906958Y-24060710D01*
-G37*
-D15*
-X53720000Y-24355000D03*
-D11*
-G36*
-X53906958Y-23090710D02*
-G01*
-X53921276Y-23092834D01*
-X53935317Y-23096351D01*
-X53948946Y-23101228D01*
-X53962031Y-23107417D01*
-X53974447Y-23114858D01*
-X53986073Y-23123481D01*
-X53996798Y-23133202D01*
-X54006519Y-23143927D01*
-X54015142Y-23155553D01*
-X54022583Y-23167969D01*
-X54028772Y-23181054D01*
-X54033649Y-23194683D01*
-X54037166Y-23208724D01*
-X54039290Y-23223042D01*
-X54040000Y-23237500D01*
-X54040000Y-23532500D01*
-X54039290Y-23546958D01*
-X54037166Y-23561276D01*
-X54033649Y-23575317D01*
-X54028772Y-23588946D01*
-X54022583Y-23602031D01*
-X54015142Y-23614447D01*
-X54006519Y-23626073D01*
-X53996798Y-23636798D01*
-X53986073Y-23646519D01*
-X53974447Y-23655142D01*
-X53962031Y-23662583D01*
-X53948946Y-23668772D01*
-X53935317Y-23673649D01*
-X53921276Y-23677166D01*
-X53906958Y-23679290D01*
-X53892500Y-23680000D01*
-X53547500Y-23680000D01*
-X53533042Y-23679290D01*
-X53518724Y-23677166D01*
-X53504683Y-23673649D01*
-X53491054Y-23668772D01*
-X53477969Y-23662583D01*
-X53465553Y-23655142D01*
-X53453927Y-23646519D01*
-X53443202Y-23636798D01*
-X53433481Y-23626073D01*
-X53424858Y-23614447D01*
-X53417417Y-23602031D01*
-X53411228Y-23588946D01*
-X53406351Y-23575317D01*
-X53402834Y-23561276D01*
-X53400710Y-23546958D01*
-X53400000Y-23532500D01*
-X53400000Y-23237500D01*
-X53400710Y-23223042D01*
-X53402834Y-23208724D01*
-X53406351Y-23194683D01*
-X53411228Y-23181054D01*
-X53417417Y-23167969D01*
-X53424858Y-23155553D01*
-X53433481Y-23143927D01*
-X53443202Y-23133202D01*
-X53453927Y-23123481D01*
-X53465553Y-23114858D01*
-X53477969Y-23107417D01*
-X53491054Y-23101228D01*
-X53504683Y-23096351D01*
-X53518724Y-23092834D01*
-X53533042Y-23090710D01*
-X53547500Y-23090000D01*
-X53892500Y-23090000D01*
-X53906958Y-23090710D01*
-X53906958Y-23090710D01*
-G37*
-D15*
-X53720000Y-23385000D03*
-D11*
-G36*
-X36876958Y-24990711D02*
-G01*
-X36891276Y-24992835D01*
-X36905317Y-24996352D01*
-X36918946Y-25001229D01*
-X36932031Y-25007418D01*
-X36944447Y-25014859D01*
-X36956073Y-25023482D01*
-X36966798Y-25033203D01*
-X36976519Y-25043928D01*
-X36985142Y-25055554D01*
-X36992583Y-25067970D01*
-X36998772Y-25081055D01*
-X37003649Y-25094684D01*
-X37007166Y-25108725D01*
-X37009290Y-25123043D01*
-X37010000Y-25137501D01*
-X37010000Y-25432501D01*
-X37009290Y-25446959D01*
-X37007166Y-25461277D01*
-X37003649Y-25475318D01*
-X36998772Y-25488947D01*
-X36992583Y-25502032D01*
-X36985142Y-25514448D01*
-X36976519Y-25526074D01*
-X36966798Y-25536799D01*
-X36956073Y-25546520D01*
-X36944447Y-25555143D01*
-X36932031Y-25562584D01*
-X36918946Y-25568773D01*
-X36905317Y-25573650D01*
-X36891276Y-25577167D01*
-X36876958Y-25579291D01*
-X36862500Y-25580001D01*
-X36517500Y-25580001D01*
-X36503042Y-25579291D01*
-X36488724Y-25577167D01*
-X36474683Y-25573650D01*
-X36461054Y-25568773D01*
-X36447969Y-25562584D01*
-X36435553Y-25555143D01*
-X36423927Y-25546520D01*
-X36413202Y-25536799D01*
-X36403481Y-25526074D01*
-X36394858Y-25514448D01*
-X36387417Y-25502032D01*
-X36381228Y-25488947D01*
-X36376351Y-25475318D01*
-X36372834Y-25461277D01*
-X36370710Y-25446959D01*
-X36370000Y-25432501D01*
-X36370000Y-25137501D01*
-X36370710Y-25123043D01*
-X36372834Y-25108725D01*
-X36376351Y-25094684D01*
-X36381228Y-25081055D01*
-X36387417Y-25067970D01*
-X36394858Y-25055554D01*
-X36403481Y-25043928D01*
-X36413202Y-25033203D01*
-X36423927Y-25023482D01*
-X36435553Y-25014859D01*
-X36447969Y-25007418D01*
-X36461054Y-25001229D01*
-X36474683Y-24996352D01*
-X36488724Y-24992835D01*
-X36503042Y-24990711D01*
-X36517500Y-24990001D01*
-X36862500Y-24990001D01*
-X36876958Y-24990711D01*
-X36876958Y-24990711D01*
-G37*
-D15*
-X36690000Y-25285001D03*
-D11*
-G36*
-X36876958Y-25960711D02*
-G01*
-X36891276Y-25962835D01*
-X36905317Y-25966352D01*
-X36918946Y-25971229D01*
-X36932031Y-25977418D01*
-X36944447Y-25984859D01*
-X36956073Y-25993482D01*
-X36966798Y-26003203D01*
-X36976519Y-26013928D01*
-X36985142Y-26025554D01*
-X36992583Y-26037970D01*
-X36998772Y-26051055D01*
-X37003649Y-26064684D01*
-X37007166Y-26078725D01*
-X37009290Y-26093043D01*
-X37010000Y-26107501D01*
-X37010000Y-26402501D01*
-X37009290Y-26416959D01*
-X37007166Y-26431277D01*
-X37003649Y-26445318D01*
-X36998772Y-26458947D01*
-X36992583Y-26472032D01*
-X36985142Y-26484448D01*
-X36976519Y-26496074D01*
-X36966798Y-26506799D01*
-X36956073Y-26516520D01*
-X36944447Y-26525143D01*
-X36932031Y-26532584D01*
-X36918946Y-26538773D01*
-X36905317Y-26543650D01*
-X36891276Y-26547167D01*
-X36876958Y-26549291D01*
-X36862500Y-26550001D01*
-X36517500Y-26550001D01*
-X36503042Y-26549291D01*
-X36488724Y-26547167D01*
-X36474683Y-26543650D01*
-X36461054Y-26538773D01*
-X36447969Y-26532584D01*
-X36435553Y-26525143D01*
-X36423927Y-26516520D01*
-X36413202Y-26506799D01*
-X36403481Y-26496074D01*
-X36394858Y-26484448D01*
-X36387417Y-26472032D01*
-X36381228Y-26458947D01*
-X36376351Y-26445318D01*
-X36372834Y-26431277D01*
-X36370710Y-26416959D01*
-X36370000Y-26402501D01*
-X36370000Y-26107501D01*
-X36370710Y-26093043D01*
-X36372834Y-26078725D01*
-X36376351Y-26064684D01*
-X36381228Y-26051055D01*
-X36387417Y-26037970D01*
-X36394858Y-26025554D01*
-X36403481Y-26013928D01*
-X36413202Y-26003203D01*
-X36423927Y-25993482D01*
-X36435553Y-25984859D01*
-X36447969Y-25977418D01*
-X36461054Y-25971229D01*
-X36474683Y-25966352D01*
-X36488724Y-25962835D01*
-X36503042Y-25960711D01*
-X36517500Y-25960001D01*
-X36862500Y-25960001D01*
-X36876958Y-25960711D01*
-X36876958Y-25960711D01*
-G37*
-D15*
-X36690000Y-26255001D03*
-D11*
-G36*
-X35876958Y-24990711D02*
-G01*
-X35891276Y-24992835D01*
-X35905317Y-24996352D01*
-X35918946Y-25001229D01*
-X35932031Y-25007418D01*
-X35944447Y-25014859D01*
-X35956073Y-25023482D01*
-X35966798Y-25033203D01*
-X35976519Y-25043928D01*
-X35985142Y-25055554D01*
-X35992583Y-25067970D01*
-X35998772Y-25081055D01*
-X36003649Y-25094684D01*
-X36007166Y-25108725D01*
-X36009290Y-25123043D01*
-X36010000Y-25137501D01*
-X36010000Y-25432501D01*
-X36009290Y-25446959D01*
-X36007166Y-25461277D01*
-X36003649Y-25475318D01*
-X35998772Y-25488947D01*
-X35992583Y-25502032D01*
-X35985142Y-25514448D01*
-X35976519Y-25526074D01*
-X35966798Y-25536799D01*
-X35956073Y-25546520D01*
-X35944447Y-25555143D01*
-X35932031Y-25562584D01*
-X35918946Y-25568773D01*
-X35905317Y-25573650D01*
-X35891276Y-25577167D01*
-X35876958Y-25579291D01*
-X35862500Y-25580001D01*
-X35517500Y-25580001D01*
-X35503042Y-25579291D01*
-X35488724Y-25577167D01*
-X35474683Y-25573650D01*
-X35461054Y-25568773D01*
-X35447969Y-25562584D01*
-X35435553Y-25555143D01*
-X35423927Y-25546520D01*
-X35413202Y-25536799D01*
-X35403481Y-25526074D01*
-X35394858Y-25514448D01*
-X35387417Y-25502032D01*
-X35381228Y-25488947D01*
-X35376351Y-25475318D01*
-X35372834Y-25461277D01*
-X35370710Y-25446959D01*
-X35370000Y-25432501D01*
-X35370000Y-25137501D01*
-X35370710Y-25123043D01*
-X35372834Y-25108725D01*
-X35376351Y-25094684D01*
-X35381228Y-25081055D01*
-X35387417Y-25067970D01*
-X35394858Y-25055554D01*
-X35403481Y-25043928D01*
-X35413202Y-25033203D01*
-X35423927Y-25023482D01*
-X35435553Y-25014859D01*
-X35447969Y-25007418D01*
-X35461054Y-25001229D01*
-X35474683Y-24996352D01*
-X35488724Y-24992835D01*
-X35503042Y-24990711D01*
-X35517500Y-24990001D01*
-X35862500Y-24990001D01*
-X35876958Y-24990711D01*
-X35876958Y-24990711D01*
-G37*
-D15*
-X35690000Y-25285001D03*
-D11*
-G36*
-X35876958Y-25960711D02*
-G01*
-X35891276Y-25962835D01*
-X35905317Y-25966352D01*
-X35918946Y-25971229D01*
-X35932031Y-25977418D01*
-X35944447Y-25984859D01*
-X35956073Y-25993482D01*
-X35966798Y-26003203D01*
-X35976519Y-26013928D01*
-X35985142Y-26025554D01*
-X35992583Y-26037970D01*
-X35998772Y-26051055D01*
-X36003649Y-26064684D01*
-X36007166Y-26078725D01*
-X36009290Y-26093043D01*
-X36010000Y-26107501D01*
-X36010000Y-26402501D01*
-X36009290Y-26416959D01*
-X36007166Y-26431277D01*
-X36003649Y-26445318D01*
-X35998772Y-26458947D01*
-X35992583Y-26472032D01*
-X35985142Y-26484448D01*
-X35976519Y-26496074D01*
-X35966798Y-26506799D01*
-X35956073Y-26516520D01*
-X35944447Y-26525143D01*
-X35932031Y-26532584D01*
-X35918946Y-26538773D01*
-X35905317Y-26543650D01*
-X35891276Y-26547167D01*
-X35876958Y-26549291D01*
-X35862500Y-26550001D01*
-X35517500Y-26550001D01*
-X35503042Y-26549291D01*
-X35488724Y-26547167D01*
-X35474683Y-26543650D01*
-X35461054Y-26538773D01*
-X35447969Y-26532584D01*
-X35435553Y-26525143D01*
-X35423927Y-26516520D01*
-X35413202Y-26506799D01*
-X35403481Y-26496074D01*
-X35394858Y-26484448D01*
-X35387417Y-26472032D01*
-X35381228Y-26458947D01*
-X35376351Y-26445318D01*
-X35372834Y-26431277D01*
-X35370710Y-26416959D01*
-X35370000Y-26402501D01*
-X35370000Y-26107501D01*
-X35370710Y-26093043D01*
-X35372834Y-26078725D01*
-X35376351Y-26064684D01*
-X35381228Y-26051055D01*
-X35387417Y-26037970D01*
-X35394858Y-26025554D01*
-X35403481Y-26013928D01*
-X35413202Y-26003203D01*
-X35423927Y-25993482D01*
-X35435553Y-25984859D01*
-X35447969Y-25977418D01*
-X35461054Y-25971229D01*
-X35474683Y-25966352D01*
-X35488724Y-25962835D01*
-X35503042Y-25960711D01*
-X35517500Y-25960001D01*
-X35862500Y-25960001D01*
-X35876958Y-25960711D01*
-X35876958Y-25960711D01*
-G37*
-D15*
-X35690000Y-26255001D03*
-D11*
-G36*
-X32246958Y-30680710D02*
-G01*
-X32261276Y-30682834D01*
-X32275317Y-30686351D01*
-X32288946Y-30691228D01*
-X32302031Y-30697417D01*
-X32314447Y-30704858D01*
-X32326073Y-30713481D01*
-X32336798Y-30723202D01*
-X32346519Y-30733927D01*
-X32355142Y-30745553D01*
-X32362583Y-30757969D01*
-X32368772Y-30771054D01*
-X32373649Y-30784683D01*
-X32377166Y-30798724D01*
-X32379290Y-30813042D01*
-X32380000Y-30827500D01*
-X32380000Y-31172500D01*
-X32379290Y-31186958D01*
-X32377166Y-31201276D01*
-X32373649Y-31215317D01*
-X32368772Y-31228946D01*
-X32362583Y-31242031D01*
-X32355142Y-31254447D01*
-X32346519Y-31266073D01*
-X32336798Y-31276798D01*
-X32326073Y-31286519D01*
-X32314447Y-31295142D01*
-X32302031Y-31302583D01*
-X32288946Y-31308772D01*
-X32275317Y-31313649D01*
-X32261276Y-31317166D01*
-X32246958Y-31319290D01*
-X32232500Y-31320000D01*
-X31937500Y-31320000D01*
-X31923042Y-31319290D01*
-X31908724Y-31317166D01*
-X31894683Y-31313649D01*
-X31881054Y-31308772D01*
-X31867969Y-31302583D01*
-X31855553Y-31295142D01*
-X31843927Y-31286519D01*
-X31833202Y-31276798D01*
-X31823481Y-31266073D01*
-X31814858Y-31254447D01*
-X31807417Y-31242031D01*
-X31801228Y-31228946D01*
-X31796351Y-31215317D01*
-X31792834Y-31201276D01*
-X31790710Y-31186958D01*
-X31790000Y-31172500D01*
-X31790000Y-30827500D01*
-X31790710Y-30813042D01*
-X31792834Y-30798724D01*
-X31796351Y-30784683D01*
-X31801228Y-30771054D01*
-X31807417Y-30757969D01*
-X31814858Y-30745553D01*
-X31823481Y-30733927D01*
-X31833202Y-30723202D01*
-X31843927Y-30713481D01*
-X31855553Y-30704858D01*
-X31867969Y-30697417D01*
-X31881054Y-30691228D01*
-X31894683Y-30686351D01*
-X31908724Y-30682834D01*
-X31923042Y-30680710D01*
-X31937500Y-30680000D01*
-X32232500Y-30680000D01*
-X32246958Y-30680710D01*
-X32246958Y-30680710D01*
-G37*
-D15*
-X32085000Y-31000000D03*
-D11*
-G36*
-X31276958Y-30680710D02*
-G01*
-X31291276Y-30682834D01*
-X31305317Y-30686351D01*
-X31318946Y-30691228D01*
-X31332031Y-30697417D01*
-X31344447Y-30704858D01*
-X31356073Y-30713481D01*
-X31366798Y-30723202D01*
-X31376519Y-30733927D01*
-X31385142Y-30745553D01*
-X31392583Y-30757969D01*
-X31398772Y-30771054D01*
-X31403649Y-30784683D01*
-X31407166Y-30798724D01*
-X31409290Y-30813042D01*
-X31410000Y-30827500D01*
-X31410000Y-31172500D01*
-X31409290Y-31186958D01*
-X31407166Y-31201276D01*
-X31403649Y-31215317D01*
-X31398772Y-31228946D01*
-X31392583Y-31242031D01*
-X31385142Y-31254447D01*
-X31376519Y-31266073D01*
-X31366798Y-31276798D01*
-X31356073Y-31286519D01*
-X31344447Y-31295142D01*
-X31332031Y-31302583D01*
-X31318946Y-31308772D01*
-X31305317Y-31313649D01*
-X31291276Y-31317166D01*
-X31276958Y-31319290D01*
-X31262500Y-31320000D01*
-X30967500Y-31320000D01*
-X30953042Y-31319290D01*
-X30938724Y-31317166D01*
-X30924683Y-31313649D01*
-X30911054Y-31308772D01*
-X30897969Y-31302583D01*
-X30885553Y-31295142D01*
-X30873927Y-31286519D01*
-X30863202Y-31276798D01*
-X30853481Y-31266073D01*
-X30844858Y-31254447D01*
-X30837417Y-31242031D01*
-X30831228Y-31228946D01*
-X30826351Y-31215317D01*
-X30822834Y-31201276D01*
-X30820710Y-31186958D01*
-X30820000Y-31172500D01*
-X30820000Y-30827500D01*
-X30820710Y-30813042D01*
-X30822834Y-30798724D01*
-X30826351Y-30784683D01*
-X30831228Y-30771054D01*
-X30837417Y-30757969D01*
-X30844858Y-30745553D01*
-X30853481Y-30733927D01*
-X30863202Y-30723202D01*
-X30873927Y-30713481D01*
-X30885553Y-30704858D01*
-X30897969Y-30697417D01*
-X30911054Y-30691228D01*
-X30924683Y-30686351D01*
-X30938724Y-30682834D01*
-X30953042Y-30680710D01*
-X30967500Y-30680000D01*
-X31262500Y-30680000D01*
-X31276958Y-30680710D01*
-X31276958Y-30680710D01*
-G37*
-D15*
-X31115000Y-31000000D03*
-D11*
-G36*
-X32246958Y-25680710D02*
-G01*
-X32261276Y-25682834D01*
-X32275317Y-25686351D01*
-X32288946Y-25691228D01*
-X32302031Y-25697417D01*
-X32314447Y-25704858D01*
-X32326073Y-25713481D01*
-X32336798Y-25723202D01*
-X32346519Y-25733927D01*
-X32355142Y-25745553D01*
-X32362583Y-25757969D01*
-X32368772Y-25771054D01*
-X32373649Y-25784683D01*
-X32377166Y-25798724D01*
-X32379290Y-25813042D01*
-X32380000Y-25827500D01*
-X32380000Y-26172500D01*
-X32379290Y-26186958D01*
-X32377166Y-26201276D01*
-X32373649Y-26215317D01*
-X32368772Y-26228946D01*
-X32362583Y-26242031D01*
-X32355142Y-26254447D01*
-X32346519Y-26266073D01*
-X32336798Y-26276798D01*
-X32326073Y-26286519D01*
-X32314447Y-26295142D01*
-X32302031Y-26302583D01*
-X32288946Y-26308772D01*
-X32275317Y-26313649D01*
-X32261276Y-26317166D01*
-X32246958Y-26319290D01*
-X32232500Y-26320000D01*
-X31937500Y-26320000D01*
-X31923042Y-26319290D01*
-X31908724Y-26317166D01*
-X31894683Y-26313649D01*
-X31881054Y-26308772D01*
-X31867969Y-26302583D01*
-X31855553Y-26295142D01*
-X31843927Y-26286519D01*
-X31833202Y-26276798D01*
-X31823481Y-26266073D01*
-X31814858Y-26254447D01*
-X31807417Y-26242031D01*
-X31801228Y-26228946D01*
-X31796351Y-26215317D01*
-X31792834Y-26201276D01*
-X31790710Y-26186958D01*
-X31790000Y-26172500D01*
-X31790000Y-25827500D01*
-X31790710Y-25813042D01*
-X31792834Y-25798724D01*
-X31796351Y-25784683D01*
-X31801228Y-25771054D01*
-X31807417Y-25757969D01*
-X31814858Y-25745553D01*
-X31823481Y-25733927D01*
-X31833202Y-25723202D01*
-X31843927Y-25713481D01*
-X31855553Y-25704858D01*
-X31867969Y-25697417D01*
-X31881054Y-25691228D01*
-X31894683Y-25686351D01*
-X31908724Y-25682834D01*
-X31923042Y-25680710D01*
-X31937500Y-25680000D01*
-X32232500Y-25680000D01*
-X32246958Y-25680710D01*
-X32246958Y-25680710D01*
-G37*
-D15*
-X32085000Y-26000000D03*
-D11*
-G36*
-X31276958Y-25680710D02*
-G01*
-X31291276Y-25682834D01*
-X31305317Y-25686351D01*
-X31318946Y-25691228D01*
-X31332031Y-25697417D01*
-X31344447Y-25704858D01*
-X31356073Y-25713481D01*
-X31366798Y-25723202D01*
-X31376519Y-25733927D01*
-X31385142Y-25745553D01*
-X31392583Y-25757969D01*
-X31398772Y-25771054D01*
-X31403649Y-25784683D01*
-X31407166Y-25798724D01*
-X31409290Y-25813042D01*
-X31410000Y-25827500D01*
-X31410000Y-26172500D01*
-X31409290Y-26186958D01*
-X31407166Y-26201276D01*
-X31403649Y-26215317D01*
-X31398772Y-26228946D01*
-X31392583Y-26242031D01*
-X31385142Y-26254447D01*
-X31376519Y-26266073D01*
-X31366798Y-26276798D01*
-X31356073Y-26286519D01*
-X31344447Y-26295142D01*
-X31332031Y-26302583D01*
-X31318946Y-26308772D01*
-X31305317Y-26313649D01*
-X31291276Y-26317166D01*
-X31276958Y-26319290D01*
-X31262500Y-26320000D01*
-X30967500Y-26320000D01*
-X30953042Y-26319290D01*
-X30938724Y-26317166D01*
-X30924683Y-26313649D01*
-X30911054Y-26308772D01*
-X30897969Y-26302583D01*
-X30885553Y-26295142D01*
-X30873927Y-26286519D01*
-X30863202Y-26276798D01*
-X30853481Y-26266073D01*
-X30844858Y-26254447D01*
-X30837417Y-26242031D01*
-X30831228Y-26228946D01*
-X30826351Y-26215317D01*
-X30822834Y-26201276D01*
-X30820710Y-26186958D01*
-X30820000Y-26172500D01*
-X30820000Y-25827500D01*
-X30820710Y-25813042D01*
-X30822834Y-25798724D01*
-X30826351Y-25784683D01*
-X30831228Y-25771054D01*
-X30837417Y-25757969D01*
-X30844858Y-25745553D01*
-X30853481Y-25733927D01*
-X30863202Y-25723202D01*
-X30873927Y-25713481D01*
-X30885553Y-25704858D01*
-X30897969Y-25697417D01*
-X30911054Y-25691228D01*
-X30924683Y-25686351D01*
-X30938724Y-25682834D01*
-X30953042Y-25680710D01*
-X30967500Y-25680000D01*
-X31262500Y-25680000D01*
-X31276958Y-25680710D01*
-X31276958Y-25680710D01*
-G37*
-D15*
-X31115000Y-26000000D03*
-D11*
-G36*
-X49786958Y-26305710D02*
-G01*
-X49801276Y-26307834D01*
-X49815317Y-26311351D01*
-X49828946Y-26316228D01*
-X49842031Y-26322417D01*
-X49854447Y-26329858D01*
-X49866073Y-26338481D01*
-X49876798Y-26348202D01*
-X49886519Y-26358927D01*
-X49895142Y-26370553D01*
-X49902583Y-26382969D01*
-X49908772Y-26396054D01*
-X49913649Y-26409683D01*
-X49917166Y-26423724D01*
-X49919290Y-26438042D01*
-X49920000Y-26452500D01*
-X49920000Y-26747500D01*
-X49919290Y-26761958D01*
-X49917166Y-26776276D01*
-X49913649Y-26790317D01*
-X49908772Y-26803946D01*
-X49902583Y-26817031D01*
-X49895142Y-26829447D01*
-X49886519Y-26841073D01*
-X49876798Y-26851798D01*
-X49866073Y-26861519D01*
-X49854447Y-26870142D01*
-X49842031Y-26877583D01*
-X49828946Y-26883772D01*
-X49815317Y-26888649D01*
-X49801276Y-26892166D01*
-X49786958Y-26894290D01*
-X49772500Y-26895000D01*
-X49427500Y-26895000D01*
-X49413042Y-26894290D01*
-X49398724Y-26892166D01*
-X49384683Y-26888649D01*
-X49371054Y-26883772D01*
-X49357969Y-26877583D01*
-X49345553Y-26870142D01*
-X49333927Y-26861519D01*
-X49323202Y-26851798D01*
-X49313481Y-26841073D01*
-X49304858Y-26829447D01*
-X49297417Y-26817031D01*
-X49291228Y-26803946D01*
-X49286351Y-26790317D01*
-X49282834Y-26776276D01*
-X49280710Y-26761958D01*
-X49280000Y-26747500D01*
-X49280000Y-26452500D01*
-X49280710Y-26438042D01*
-X49282834Y-26423724D01*
-X49286351Y-26409683D01*
-X49291228Y-26396054D01*
-X49297417Y-26382969D01*
-X49304858Y-26370553D01*
-X49313481Y-26358927D01*
-X49323202Y-26348202D01*
-X49333927Y-26338481D01*
-X49345553Y-26329858D01*
-X49357969Y-26322417D01*
-X49371054Y-26316228D01*
-X49384683Y-26311351D01*
-X49398724Y-26307834D01*
-X49413042Y-26305710D01*
-X49427500Y-26305000D01*
-X49772500Y-26305000D01*
-X49786958Y-26305710D01*
-X49786958Y-26305710D01*
-G37*
-D15*
-X49600000Y-26600000D03*
-D11*
-G36*
-X49786958Y-27275710D02*
-G01*
-X49801276Y-27277834D01*
-X49815317Y-27281351D01*
-X49828946Y-27286228D01*
-X49842031Y-27292417D01*
-X49854447Y-27299858D01*
-X49866073Y-27308481D01*
-X49876798Y-27318202D01*
-X49886519Y-27328927D01*
-X49895142Y-27340553D01*
-X49902583Y-27352969D01*
-X49908772Y-27366054D01*
-X49913649Y-27379683D01*
-X49917166Y-27393724D01*
-X49919290Y-27408042D01*
-X49920000Y-27422500D01*
-X49920000Y-27717500D01*
-X49919290Y-27731958D01*
-X49917166Y-27746276D01*
-X49913649Y-27760317D01*
-X49908772Y-27773946D01*
-X49902583Y-27787031D01*
-X49895142Y-27799447D01*
-X49886519Y-27811073D01*
-X49876798Y-27821798D01*
-X49866073Y-27831519D01*
-X49854447Y-27840142D01*
-X49842031Y-27847583D01*
-X49828946Y-27853772D01*
-X49815317Y-27858649D01*
-X49801276Y-27862166D01*
-X49786958Y-27864290D01*
-X49772500Y-27865000D01*
-X49427500Y-27865000D01*
-X49413042Y-27864290D01*
-X49398724Y-27862166D01*
-X49384683Y-27858649D01*
-X49371054Y-27853772D01*
-X49357969Y-27847583D01*
-X49345553Y-27840142D01*
-X49333927Y-27831519D01*
-X49323202Y-27821798D01*
-X49313481Y-27811073D01*
-X49304858Y-27799447D01*
-X49297417Y-27787031D01*
-X49291228Y-27773946D01*
-X49286351Y-27760317D01*
-X49282834Y-27746276D01*
-X49280710Y-27731958D01*
-X49280000Y-27717500D01*
-X49280000Y-27422500D01*
-X49280710Y-27408042D01*
-X49282834Y-27393724D01*
-X49286351Y-27379683D01*
-X49291228Y-27366054D01*
-X49297417Y-27352969D01*
-X49304858Y-27340553D01*
-X49313481Y-27328927D01*
-X49323202Y-27318202D01*
-X49333927Y-27308481D01*
-X49345553Y-27299858D01*
-X49357969Y-27292417D01*
-X49371054Y-27286228D01*
-X49384683Y-27281351D01*
-X49398724Y-27277834D01*
-X49413042Y-27275710D01*
-X49427500Y-27275000D01*
-X49772500Y-27275000D01*
-X49786958Y-27275710D01*
-X49786958Y-27275710D01*
-G37*
-D15*
-X49600000Y-27570000D03*
-D11*
-G36*
-X50116958Y-28210710D02*
-G01*
-X50131276Y-28212834D01*
-X50145317Y-28216351D01*
-X50158946Y-28221228D01*
-X50172031Y-28227417D01*
-X50184447Y-28234858D01*
-X50196073Y-28243481D01*
-X50206798Y-28253202D01*
-X50216519Y-28263927D01*
-X50225142Y-28275553D01*
-X50232583Y-28287969D01*
-X50238772Y-28301054D01*
-X50243649Y-28314683D01*
-X50247166Y-28328724D01*
-X50249290Y-28343042D01*
-X50250000Y-28357500D01*
-X50250000Y-28652500D01*
-X50249290Y-28666958D01*
-X50247166Y-28681276D01*
-X50243649Y-28695317D01*
-X50238772Y-28708946D01*
-X50232583Y-28722031D01*
-X50225142Y-28734447D01*
-X50216519Y-28746073D01*
-X50206798Y-28756798D01*
-X50196073Y-28766519D01*
-X50184447Y-28775142D01*
-X50172031Y-28782583D01*
-X50158946Y-28788772D01*
-X50145317Y-28793649D01*
-X50131276Y-28797166D01*
-X50116958Y-28799290D01*
-X50102500Y-28800000D01*
-X49757500Y-28800000D01*
-X49743042Y-28799290D01*
-X49728724Y-28797166D01*
-X49714683Y-28793649D01*
-X49701054Y-28788772D01*
-X49687969Y-28782583D01*
-X49675553Y-28775142D01*
-X49663927Y-28766519D01*
-X49653202Y-28756798D01*
-X49643481Y-28746073D01*
-X49634858Y-28734447D01*
-X49627417Y-28722031D01*
-X49621228Y-28708946D01*
-X49616351Y-28695317D01*
-X49612834Y-28681276D01*
-X49610710Y-28666958D01*
-X49610000Y-28652500D01*
-X49610000Y-28357500D01*
-X49610710Y-28343042D01*
-X49612834Y-28328724D01*
-X49616351Y-28314683D01*
-X49621228Y-28301054D01*
-X49627417Y-28287969D01*
-X49634858Y-28275553D01*
-X49643481Y-28263927D01*
-X49653202Y-28253202D01*
-X49663927Y-28243481D01*
-X49675553Y-28234858D01*
-X49687969Y-28227417D01*
-X49701054Y-28221228D01*
-X49714683Y-28216351D01*
-X49728724Y-28212834D01*
-X49743042Y-28210710D01*
-X49757500Y-28210000D01*
-X50102500Y-28210000D01*
-X50116958Y-28210710D01*
-X50116958Y-28210710D01*
-G37*
-D15*
-X49930000Y-28505000D03*
-D11*
-G36*
-X50116958Y-29180710D02*
-G01*
-X50131276Y-29182834D01*
-X50145317Y-29186351D01*
-X50158946Y-29191228D01*
-X50172031Y-29197417D01*
-X50184447Y-29204858D01*
-X50196073Y-29213481D01*
-X50206798Y-29223202D01*
-X50216519Y-29233927D01*
-X50225142Y-29245553D01*
-X50232583Y-29257969D01*
-X50238772Y-29271054D01*
-X50243649Y-29284683D01*
-X50247166Y-29298724D01*
-X50249290Y-29313042D01*
-X50250000Y-29327500D01*
-X50250000Y-29622500D01*
-X50249290Y-29636958D01*
-X50247166Y-29651276D01*
-X50243649Y-29665317D01*
-X50238772Y-29678946D01*
-X50232583Y-29692031D01*
-X50225142Y-29704447D01*
-X50216519Y-29716073D01*
-X50206798Y-29726798D01*
-X50196073Y-29736519D01*
-X50184447Y-29745142D01*
-X50172031Y-29752583D01*
-X50158946Y-29758772D01*
-X50145317Y-29763649D01*
-X50131276Y-29767166D01*
-X50116958Y-29769290D01*
-X50102500Y-29770000D01*
-X49757500Y-29770000D01*
-X49743042Y-29769290D01*
-X49728724Y-29767166D01*
-X49714683Y-29763649D01*
-X49701054Y-29758772D01*
-X49687969Y-29752583D01*
-X49675553Y-29745142D01*
-X49663927Y-29736519D01*
-X49653202Y-29726798D01*
-X49643481Y-29716073D01*
-X49634858Y-29704447D01*
-X49627417Y-29692031D01*
-X49621228Y-29678946D01*
-X49616351Y-29665317D01*
-X49612834Y-29651276D01*
-X49610710Y-29636958D01*
-X49610000Y-29622500D01*
-X49610000Y-29327500D01*
-X49610710Y-29313042D01*
-X49612834Y-29298724D01*
-X49616351Y-29284683D01*
-X49621228Y-29271054D01*
-X49627417Y-29257969D01*
-X49634858Y-29245553D01*
-X49643481Y-29233927D01*
-X49653202Y-29223202D01*
-X49663927Y-29213481D01*
-X49675553Y-29204858D01*
-X49687969Y-29197417D01*
-X49701054Y-29191228D01*
-X49714683Y-29186351D01*
-X49728724Y-29182834D01*
-X49743042Y-29180710D01*
-X49757500Y-29180000D01*
-X50102500Y-29180000D01*
-X50116958Y-29180710D01*
-X50116958Y-29180710D01*
-G37*
-D15*
-X49930000Y-29475000D03*
-D11*
-G36*
-X48986958Y-32315710D02*
-G01*
-X49001276Y-32317834D01*
-X49015317Y-32321351D01*
-X49028946Y-32326228D01*
-X49042031Y-32332417D01*
-X49054447Y-32339858D01*
-X49066073Y-32348481D01*
-X49076798Y-32358202D01*
-X49086519Y-32368927D01*
-X49095142Y-32380553D01*
-X49102583Y-32392969D01*
-X49108772Y-32406054D01*
-X49113649Y-32419683D01*
-X49117166Y-32433724D01*
-X49119290Y-32448042D01*
-X49120000Y-32462500D01*
-X49120000Y-32757500D01*
-X49119290Y-32771958D01*
-X49117166Y-32786276D01*
-X49113649Y-32800317D01*
-X49108772Y-32813946D01*
-X49102583Y-32827031D01*
-X49095142Y-32839447D01*
-X49086519Y-32851073D01*
-X49076798Y-32861798D01*
-X49066073Y-32871519D01*
-X49054447Y-32880142D01*
-X49042031Y-32887583D01*
-X49028946Y-32893772D01*
-X49015317Y-32898649D01*
-X49001276Y-32902166D01*
-X48986958Y-32904290D01*
-X48972500Y-32905000D01*
-X48627500Y-32905000D01*
-X48613042Y-32904290D01*
-X48598724Y-32902166D01*
-X48584683Y-32898649D01*
-X48571054Y-32893772D01*
-X48557969Y-32887583D01*
-X48545553Y-32880142D01*
-X48533927Y-32871519D01*
-X48523202Y-32861798D01*
-X48513481Y-32851073D01*
-X48504858Y-32839447D01*
-X48497417Y-32827031D01*
-X48491228Y-32813946D01*
-X48486351Y-32800317D01*
-X48482834Y-32786276D01*
-X48480710Y-32771958D01*
-X48480000Y-32757500D01*
-X48480000Y-32462500D01*
-X48480710Y-32448042D01*
-X48482834Y-32433724D01*
-X48486351Y-32419683D01*
-X48491228Y-32406054D01*
-X48497417Y-32392969D01*
-X48504858Y-32380553D01*
-X48513481Y-32368927D01*
-X48523202Y-32358202D01*
-X48533927Y-32348481D01*
-X48545553Y-32339858D01*
-X48557969Y-32332417D01*
-X48571054Y-32326228D01*
-X48584683Y-32321351D01*
-X48598724Y-32317834D01*
-X48613042Y-32315710D01*
-X48627500Y-32315000D01*
-X48972500Y-32315000D01*
-X48986958Y-32315710D01*
-X48986958Y-32315710D01*
-G37*
-D15*
-X48800000Y-32610000D03*
-D11*
-G36*
-X48986958Y-33285710D02*
-G01*
-X49001276Y-33287834D01*
-X49015317Y-33291351D01*
-X49028946Y-33296228D01*
-X49042031Y-33302417D01*
-X49054447Y-33309858D01*
-X49066073Y-33318481D01*
-X49076798Y-33328202D01*
-X49086519Y-33338927D01*
-X49095142Y-33350553D01*
-X49102583Y-33362969D01*
-X49108772Y-33376054D01*
-X49113649Y-33389683D01*
-X49117166Y-33403724D01*
-X49119290Y-33418042D01*
-X49120000Y-33432500D01*
-X49120000Y-33727500D01*
-X49119290Y-33741958D01*
-X49117166Y-33756276D01*
-X49113649Y-33770317D01*
-X49108772Y-33783946D01*
-X49102583Y-33797031D01*
-X49095142Y-33809447D01*
-X49086519Y-33821073D01*
-X49076798Y-33831798D01*
-X49066073Y-33841519D01*
-X49054447Y-33850142D01*
-X49042031Y-33857583D01*
-X49028946Y-33863772D01*
-X49015317Y-33868649D01*
-X49001276Y-33872166D01*
-X48986958Y-33874290D01*
-X48972500Y-33875000D01*
-X48627500Y-33875000D01*
-X48613042Y-33874290D01*
-X48598724Y-33872166D01*
-X48584683Y-33868649D01*
-X48571054Y-33863772D01*
-X48557969Y-33857583D01*
-X48545553Y-33850142D01*
-X48533927Y-33841519D01*
-X48523202Y-33831798D01*
-X48513481Y-33821073D01*
-X48504858Y-33809447D01*
-X48497417Y-33797031D01*
-X48491228Y-33783946D01*
-X48486351Y-33770317D01*
-X48482834Y-33756276D01*
-X48480710Y-33741958D01*
-X48480000Y-33727500D01*
-X48480000Y-33432500D01*
-X48480710Y-33418042D01*
-X48482834Y-33403724D01*
-X48486351Y-33389683D01*
-X48491228Y-33376054D01*
-X48497417Y-33362969D01*
-X48504858Y-33350553D01*
-X48513481Y-33338927D01*
-X48523202Y-33328202D01*
-X48533927Y-33318481D01*
-X48545553Y-33309858D01*
-X48557969Y-33302417D01*
-X48571054Y-33296228D01*
-X48584683Y-33291351D01*
-X48598724Y-33287834D01*
-X48613042Y-33285710D01*
-X48627500Y-33285000D01*
-X48972500Y-33285000D01*
-X48986958Y-33285710D01*
-X48986958Y-33285710D01*
-G37*
-D15*
-X48800000Y-33580000D03*
-D11*
-G36*
-X29646958Y-31880710D02*
-G01*
-X29661276Y-31882834D01*
-X29675317Y-31886351D01*
-X29688946Y-31891228D01*
-X29702031Y-31897417D01*
-X29714447Y-31904858D01*
-X29726073Y-31913481D01*
-X29736798Y-31923202D01*
-X29746519Y-31933927D01*
-X29755142Y-31945553D01*
-X29762583Y-31957969D01*
-X29768772Y-31971054D01*
-X29773649Y-31984683D01*
-X29777166Y-31998724D01*
-X29779290Y-32013042D01*
-X29780000Y-32027500D01*
-X29780000Y-32372500D01*
-X29779290Y-32386958D01*
-X29777166Y-32401276D01*
-X29773649Y-32415317D01*
-X29768772Y-32428946D01*
-X29762583Y-32442031D01*
-X29755142Y-32454447D01*
-X29746519Y-32466073D01*
-X29736798Y-32476798D01*
-X29726073Y-32486519D01*
-X29714447Y-32495142D01*
-X29702031Y-32502583D01*
-X29688946Y-32508772D01*
-X29675317Y-32513649D01*
-X29661276Y-32517166D01*
-X29646958Y-32519290D01*
-X29632500Y-32520000D01*
-X29337500Y-32520000D01*
-X29323042Y-32519290D01*
-X29308724Y-32517166D01*
-X29294683Y-32513649D01*
-X29281054Y-32508772D01*
-X29267969Y-32502583D01*
-X29255553Y-32495142D01*
-X29243927Y-32486519D01*
-X29233202Y-32476798D01*
-X29223481Y-32466073D01*
-X29214858Y-32454447D01*
-X29207417Y-32442031D01*
-X29201228Y-32428946D01*
-X29196351Y-32415317D01*
-X29192834Y-32401276D01*
-X29190710Y-32386958D01*
-X29190000Y-32372500D01*
-X29190000Y-32027500D01*
-X29190710Y-32013042D01*
-X29192834Y-31998724D01*
-X29196351Y-31984683D01*
-X29201228Y-31971054D01*
-X29207417Y-31957969D01*
-X29214858Y-31945553D01*
-X29223481Y-31933927D01*
-X29233202Y-31923202D01*
-X29243927Y-31913481D01*
-X29255553Y-31904858D01*
-X29267969Y-31897417D01*
-X29281054Y-31891228D01*
-X29294683Y-31886351D01*
-X29308724Y-31882834D01*
-X29323042Y-31880710D01*
-X29337500Y-31880000D01*
-X29632500Y-31880000D01*
-X29646958Y-31880710D01*
-X29646958Y-31880710D01*
-G37*
-D15*
-X29485000Y-32200000D03*
-D11*
-G36*
-X28676958Y-31880710D02*
-G01*
-X28691276Y-31882834D01*
-X28705317Y-31886351D01*
-X28718946Y-31891228D01*
-X28732031Y-31897417D01*
-X28744447Y-31904858D01*
-X28756073Y-31913481D01*
-X28766798Y-31923202D01*
-X28776519Y-31933927D01*
-X28785142Y-31945553D01*
-X28792583Y-31957969D01*
-X28798772Y-31971054D01*
-X28803649Y-31984683D01*
-X28807166Y-31998724D01*
-X28809290Y-32013042D01*
-X28810000Y-32027500D01*
-X28810000Y-32372500D01*
-X28809290Y-32386958D01*
-X28807166Y-32401276D01*
-X28803649Y-32415317D01*
-X28798772Y-32428946D01*
-X28792583Y-32442031D01*
-X28785142Y-32454447D01*
-X28776519Y-32466073D01*
-X28766798Y-32476798D01*
-X28756073Y-32486519D01*
-X28744447Y-32495142D01*
-X28732031Y-32502583D01*
-X28718946Y-32508772D01*
-X28705317Y-32513649D01*
-X28691276Y-32517166D01*
-X28676958Y-32519290D01*
-X28662500Y-32520000D01*
-X28367500Y-32520000D01*
-X28353042Y-32519290D01*
-X28338724Y-32517166D01*
-X28324683Y-32513649D01*
-X28311054Y-32508772D01*
-X28297969Y-32502583D01*
-X28285553Y-32495142D01*
-X28273927Y-32486519D01*
-X28263202Y-32476798D01*
-X28253481Y-32466073D01*
-X28244858Y-32454447D01*
-X28237417Y-32442031D01*
-X28231228Y-32428946D01*
-X28226351Y-32415317D01*
-X28222834Y-32401276D01*
-X28220710Y-32386958D01*
-X28220000Y-32372500D01*
-X28220000Y-32027500D01*
-X28220710Y-32013042D01*
-X28222834Y-31998724D01*
-X28226351Y-31984683D01*
-X28231228Y-31971054D01*
-X28237417Y-31957969D01*
-X28244858Y-31945553D01*
-X28253481Y-31933927D01*
-X28263202Y-31923202D01*
-X28273927Y-31913481D01*
-X28285553Y-31904858D01*
-X28297969Y-31897417D01*
-X28311054Y-31891228D01*
-X28324683Y-31886351D01*
-X28338724Y-31882834D01*
-X28353042Y-31880710D01*
-X28367500Y-31880000D01*
-X28662500Y-31880000D01*
-X28676958Y-31880710D01*
-X28676958Y-31880710D01*
-G37*
-D15*
-X28515000Y-32200000D03*
-D11*
-G36*
-X29846958Y-24580710D02*
-G01*
-X29861276Y-24582834D01*
-X29875317Y-24586351D01*
-X29888946Y-24591228D01*
-X29902031Y-24597417D01*
-X29914447Y-24604858D01*
-X29926073Y-24613481D01*
-X29936798Y-24623202D01*
-X29946519Y-24633927D01*
-X29955142Y-24645553D01*
-X29962583Y-24657969D01*
-X29968772Y-24671054D01*
-X29973649Y-24684683D01*
-X29977166Y-24698724D01*
-X29979290Y-24713042D01*
-X29980000Y-24727500D01*
-X29980000Y-25072500D01*
-X29979290Y-25086958D01*
-X29977166Y-25101276D01*
-X29973649Y-25115317D01*
-X29968772Y-25128946D01*
-X29962583Y-25142031D01*
-X29955142Y-25154447D01*
-X29946519Y-25166073D01*
-X29936798Y-25176798D01*
-X29926073Y-25186519D01*
-X29914447Y-25195142D01*
-X29902031Y-25202583D01*
-X29888946Y-25208772D01*
-X29875317Y-25213649D01*
-X29861276Y-25217166D01*
-X29846958Y-25219290D01*
-X29832500Y-25220000D01*
-X29537500Y-25220000D01*
-X29523042Y-25219290D01*
-X29508724Y-25217166D01*
-X29494683Y-25213649D01*
-X29481054Y-25208772D01*
-X29467969Y-25202583D01*
-X29455553Y-25195142D01*
-X29443927Y-25186519D01*
-X29433202Y-25176798D01*
-X29423481Y-25166073D01*
-X29414858Y-25154447D01*
-X29407417Y-25142031D01*
-X29401228Y-25128946D01*
-X29396351Y-25115317D01*
-X29392834Y-25101276D01*
-X29390710Y-25086958D01*
-X29390000Y-25072500D01*
-X29390000Y-24727500D01*
-X29390710Y-24713042D01*
-X29392834Y-24698724D01*
-X29396351Y-24684683D01*
-X29401228Y-24671054D01*
-X29407417Y-24657969D01*
-X29414858Y-24645553D01*
-X29423481Y-24633927D01*
-X29433202Y-24623202D01*
-X29443927Y-24613481D01*
-X29455553Y-24604858D01*
-X29467969Y-24597417D01*
-X29481054Y-24591228D01*
-X29494683Y-24586351D01*
-X29508724Y-24582834D01*
-X29523042Y-24580710D01*
-X29537500Y-24580000D01*
-X29832500Y-24580000D01*
-X29846958Y-24580710D01*
-X29846958Y-24580710D01*
-G37*
-D15*
-X29685000Y-24900000D03*
-D11*
-G36*
-X28876958Y-24580710D02*
-G01*
-X28891276Y-24582834D01*
-X28905317Y-24586351D01*
-X28918946Y-24591228D01*
-X28932031Y-24597417D01*
-X28944447Y-24604858D01*
-X28956073Y-24613481D01*
-X28966798Y-24623202D01*
-X28976519Y-24633927D01*
-X28985142Y-24645553D01*
-X28992583Y-24657969D01*
-X28998772Y-24671054D01*
-X29003649Y-24684683D01*
-X29007166Y-24698724D01*
-X29009290Y-24713042D01*
-X29010000Y-24727500D01*
-X29010000Y-25072500D01*
-X29009290Y-25086958D01*
-X29007166Y-25101276D01*
-X29003649Y-25115317D01*
-X28998772Y-25128946D01*
-X28992583Y-25142031D01*
-X28985142Y-25154447D01*
-X28976519Y-25166073D01*
-X28966798Y-25176798D01*
-X28956073Y-25186519D01*
-X28944447Y-25195142D01*
-X28932031Y-25202583D01*
-X28918946Y-25208772D01*
-X28905317Y-25213649D01*
-X28891276Y-25217166D01*
-X28876958Y-25219290D01*
-X28862500Y-25220000D01*
-X28567500Y-25220000D01*
-X28553042Y-25219290D01*
-X28538724Y-25217166D01*
-X28524683Y-25213649D01*
-X28511054Y-25208772D01*
-X28497969Y-25202583D01*
-X28485553Y-25195142D01*
-X28473927Y-25186519D01*
-X28463202Y-25176798D01*
-X28453481Y-25166073D01*
-X28444858Y-25154447D01*
-X28437417Y-25142031D01*
-X28431228Y-25128946D01*
-X28426351Y-25115317D01*
-X28422834Y-25101276D01*
-X28420710Y-25086958D01*
-X28420000Y-25072500D01*
-X28420000Y-24727500D01*
-X28420710Y-24713042D01*
-X28422834Y-24698724D01*
-X28426351Y-24684683D01*
-X28431228Y-24671054D01*
-X28437417Y-24657969D01*
-X28444858Y-24645553D01*
-X28453481Y-24633927D01*
-X28463202Y-24623202D01*
-X28473927Y-24613481D01*
-X28485553Y-24604858D01*
-X28497969Y-24597417D01*
-X28511054Y-24591228D01*
-X28524683Y-24586351D01*
-X28538724Y-24582834D01*
-X28553042Y-24580710D01*
-X28567500Y-24580000D01*
-X28862500Y-24580000D01*
-X28876958Y-24580710D01*
-X28876958Y-24580710D01*
-G37*
-D15*
-X28715000Y-24900000D03*
-D11*
-G36*
-X28876958Y-25680710D02*
-G01*
-X28891276Y-25682834D01*
-X28905317Y-25686351D01*
-X28918946Y-25691228D01*
-X28932031Y-25697417D01*
-X28944447Y-25704858D01*
-X28956073Y-25713481D01*
-X28966798Y-25723202D01*
-X28976519Y-25733927D01*
-X28985142Y-25745553D01*
-X28992583Y-25757969D01*
-X28998772Y-25771054D01*
-X29003649Y-25784683D01*
-X29007166Y-25798724D01*
-X29009290Y-25813042D01*
-X29010000Y-25827500D01*
-X29010000Y-26172500D01*
-X29009290Y-26186958D01*
-X29007166Y-26201276D01*
-X29003649Y-26215317D01*
-X28998772Y-26228946D01*
-X28992583Y-26242031D01*
-X28985142Y-26254447D01*
-X28976519Y-26266073D01*
-X28966798Y-26276798D01*
-X28956073Y-26286519D01*
-X28944447Y-26295142D01*
-X28932031Y-26302583D01*
-X28918946Y-26308772D01*
-X28905317Y-26313649D01*
-X28891276Y-26317166D01*
-X28876958Y-26319290D01*
-X28862500Y-26320000D01*
-X28567500Y-26320000D01*
-X28553042Y-26319290D01*
-X28538724Y-26317166D01*
-X28524683Y-26313649D01*
-X28511054Y-26308772D01*
-X28497969Y-26302583D01*
-X28485553Y-26295142D01*
-X28473927Y-26286519D01*
-X28463202Y-26276798D01*
-X28453481Y-26266073D01*
-X28444858Y-26254447D01*
-X28437417Y-26242031D01*
-X28431228Y-26228946D01*
-X28426351Y-26215317D01*
-X28422834Y-26201276D01*
-X28420710Y-26186958D01*
-X28420000Y-26172500D01*
-X28420000Y-25827500D01*
-X28420710Y-25813042D01*
-X28422834Y-25798724D01*
-X28426351Y-25784683D01*
-X28431228Y-25771054D01*
-X28437417Y-25757969D01*
-X28444858Y-25745553D01*
-X28453481Y-25733927D01*
-X28463202Y-25723202D01*
-X28473927Y-25713481D01*
-X28485553Y-25704858D01*
-X28497969Y-25697417D01*
-X28511054Y-25691228D01*
-X28524683Y-25686351D01*
-X28538724Y-25682834D01*
-X28553042Y-25680710D01*
-X28567500Y-25680000D01*
-X28862500Y-25680000D01*
-X28876958Y-25680710D01*
-X28876958Y-25680710D01*
-G37*
-D15*
-X28715000Y-26000000D03*
-D11*
-G36*
-X29846958Y-25680710D02*
-G01*
-X29861276Y-25682834D01*
-X29875317Y-25686351D01*
-X29888946Y-25691228D01*
-X29902031Y-25697417D01*
-X29914447Y-25704858D01*
-X29926073Y-25713481D01*
-X29936798Y-25723202D01*
-X29946519Y-25733927D01*
-X29955142Y-25745553D01*
-X29962583Y-25757969D01*
-X29968772Y-25771054D01*
-X29973649Y-25784683D01*
-X29977166Y-25798724D01*
-X29979290Y-25813042D01*
-X29980000Y-25827500D01*
-X29980000Y-26172500D01*
-X29979290Y-26186958D01*
-X29977166Y-26201276D01*
-X29973649Y-26215317D01*
-X29968772Y-26228946D01*
-X29962583Y-26242031D01*
-X29955142Y-26254447D01*
-X29946519Y-26266073D01*
-X29936798Y-26276798D01*
-X29926073Y-26286519D01*
-X29914447Y-26295142D01*
-X29902031Y-26302583D01*
-X29888946Y-26308772D01*
-X29875317Y-26313649D01*
-X29861276Y-26317166D01*
-X29846958Y-26319290D01*
-X29832500Y-26320000D01*
-X29537500Y-26320000D01*
-X29523042Y-26319290D01*
-X29508724Y-26317166D01*
-X29494683Y-26313649D01*
-X29481054Y-26308772D01*
-X29467969Y-26302583D01*
-X29455553Y-26295142D01*
-X29443927Y-26286519D01*
-X29433202Y-26276798D01*
-X29423481Y-26266073D01*
-X29414858Y-26254447D01*
-X29407417Y-26242031D01*
-X29401228Y-26228946D01*
-X29396351Y-26215317D01*
-X29392834Y-26201276D01*
-X29390710Y-26186958D01*
-X29390000Y-26172500D01*
-X29390000Y-25827500D01*
-X29390710Y-25813042D01*
-X29392834Y-25798724D01*
-X29396351Y-25784683D01*
-X29401228Y-25771054D01*
-X29407417Y-25757969D01*
-X29414858Y-25745553D01*
-X29423481Y-25733927D01*
-X29433202Y-25723202D01*
-X29443927Y-25713481D01*
-X29455553Y-25704858D01*
-X29467969Y-25697417D01*
-X29481054Y-25691228D01*
-X29494683Y-25686351D01*
-X29508724Y-25682834D01*
-X29523042Y-25680710D01*
-X29537500Y-25680000D01*
-X29832500Y-25680000D01*
-X29846958Y-25680710D01*
-X29846958Y-25680710D01*
-G37*
-D15*
-X29685000Y-26000000D03*
-D11*
-G36*
-X28676958Y-30680710D02*
-G01*
-X28691276Y-30682834D01*
-X28705317Y-30686351D01*
-X28718946Y-30691228D01*
-X28732031Y-30697417D01*
-X28744447Y-30704858D01*
-X28756073Y-30713481D01*
-X28766798Y-30723202D01*
-X28776519Y-30733927D01*
-X28785142Y-30745553D01*
-X28792583Y-30757969D01*
-X28798772Y-30771054D01*
-X28803649Y-30784683D01*
-X28807166Y-30798724D01*
-X28809290Y-30813042D01*
-X28810000Y-30827500D01*
-X28810000Y-31172500D01*
-X28809290Y-31186958D01*
-X28807166Y-31201276D01*
-X28803649Y-31215317D01*
-X28798772Y-31228946D01*
-X28792583Y-31242031D01*
-X28785142Y-31254447D01*
-X28776519Y-31266073D01*
-X28766798Y-31276798D01*
-X28756073Y-31286519D01*
-X28744447Y-31295142D01*
-X28732031Y-31302583D01*
-X28718946Y-31308772D01*
-X28705317Y-31313649D01*
-X28691276Y-31317166D01*
-X28676958Y-31319290D01*
-X28662500Y-31320000D01*
-X28367500Y-31320000D01*
-X28353042Y-31319290D01*
-X28338724Y-31317166D01*
-X28324683Y-31313649D01*
-X28311054Y-31308772D01*
-X28297969Y-31302583D01*
-X28285553Y-31295142D01*
-X28273927Y-31286519D01*
-X28263202Y-31276798D01*
-X28253481Y-31266073D01*
-X28244858Y-31254447D01*
-X28237417Y-31242031D01*
-X28231228Y-31228946D01*
-X28226351Y-31215317D01*
-X28222834Y-31201276D01*
-X28220710Y-31186958D01*
-X28220000Y-31172500D01*
-X28220000Y-30827500D01*
-X28220710Y-30813042D01*
-X28222834Y-30798724D01*
-X28226351Y-30784683D01*
-X28231228Y-30771054D01*
-X28237417Y-30757969D01*
-X28244858Y-30745553D01*
-X28253481Y-30733927D01*
-X28263202Y-30723202D01*
-X28273927Y-30713481D01*
-X28285553Y-30704858D01*
-X28297969Y-30697417D01*
-X28311054Y-30691228D01*
-X28324683Y-30686351D01*
-X28338724Y-30682834D01*
-X28353042Y-30680710D01*
-X28367500Y-30680000D01*
-X28662500Y-30680000D01*
-X28676958Y-30680710D01*
-X28676958Y-30680710D01*
-G37*
-D15*
-X28515000Y-31000000D03*
-D11*
-G36*
-X29646958Y-30680710D02*
-G01*
-X29661276Y-30682834D01*
-X29675317Y-30686351D01*
-X29688946Y-30691228D01*
-X29702031Y-30697417D01*
-X29714447Y-30704858D01*
-X29726073Y-30713481D01*
-X29736798Y-30723202D01*
-X29746519Y-30733927D01*
-X29755142Y-30745553D01*
-X29762583Y-30757969D01*
-X29768772Y-30771054D01*
-X29773649Y-30784683D01*
-X29777166Y-30798724D01*
-X29779290Y-30813042D01*
-X29780000Y-30827500D01*
-X29780000Y-31172500D01*
-X29779290Y-31186958D01*
-X29777166Y-31201276D01*
-X29773649Y-31215317D01*
-X29768772Y-31228946D01*
-X29762583Y-31242031D01*
-X29755142Y-31254447D01*
-X29746519Y-31266073D01*
-X29736798Y-31276798D01*
-X29726073Y-31286519D01*
-X29714447Y-31295142D01*
-X29702031Y-31302583D01*
-X29688946Y-31308772D01*
-X29675317Y-31313649D01*
-X29661276Y-31317166D01*
-X29646958Y-31319290D01*
-X29632500Y-31320000D01*
-X29337500Y-31320000D01*
-X29323042Y-31319290D01*
-X29308724Y-31317166D01*
-X29294683Y-31313649D01*
-X29281054Y-31308772D01*
-X29267969Y-31302583D01*
-X29255553Y-31295142D01*
-X29243927Y-31286519D01*
-X29233202Y-31276798D01*
-X29223481Y-31266073D01*
-X29214858Y-31254447D01*
-X29207417Y-31242031D01*
-X29201228Y-31228946D01*
-X29196351Y-31215317D01*
-X29192834Y-31201276D01*
-X29190710Y-31186958D01*
-X29190000Y-31172500D01*
-X29190000Y-30827500D01*
-X29190710Y-30813042D01*
-X29192834Y-30798724D01*
-X29196351Y-30784683D01*
-X29201228Y-30771054D01*
-X29207417Y-30757969D01*
-X29214858Y-30745553D01*
-X29223481Y-30733927D01*
-X29233202Y-30723202D01*
-X29243927Y-30713481D01*
-X29255553Y-30704858D01*
-X29267969Y-30697417D01*
-X29281054Y-30691228D01*
-X29294683Y-30686351D01*
-X29308724Y-30682834D01*
-X29323042Y-30680710D01*
-X29337500Y-30680000D01*
-X29632500Y-30680000D01*
-X29646958Y-30680710D01*
-X29646958Y-30680710D01*
-G37*
-D15*
-X29485000Y-31000000D03*
-D11*
-G36*
-X33018626Y-29125301D02*
-G01*
-X33024693Y-29126201D01*
-X33030643Y-29127691D01*
-X33036418Y-29129758D01*
-X33041962Y-29132380D01*
-X33047223Y-29135533D01*
-X33052150Y-29139187D01*
-X33056694Y-29143306D01*
-X33060813Y-29147850D01*
-X33064467Y-29152777D01*
-X33067620Y-29158038D01*
-X33070242Y-29163582D01*
-X33072309Y-29169357D01*
-X33073799Y-29175307D01*
-X33074699Y-29181374D01*
-X33075000Y-29187500D01*
-X33075000Y-29312500D01*
-X33074699Y-29318626D01*
-X33073799Y-29324693D01*
-X33072309Y-29330643D01*
-X33070242Y-29336418D01*
-X33067620Y-29341962D01*
-X33064467Y-29347223D01*
-X33060813Y-29352150D01*
-X33056694Y-29356694D01*
-X33052150Y-29360813D01*
-X33047223Y-29364467D01*
-X33041962Y-29367620D01*
-X33036418Y-29370242D01*
-X33030643Y-29372309D01*
-X33024693Y-29373799D01*
-X33018626Y-29374699D01*
-X33012500Y-29375000D01*
-X32462500Y-29375000D01*
-X32456374Y-29374699D01*
-X32450307Y-29373799D01*
-X32444357Y-29372309D01*
-X32438582Y-29370242D01*
-X32433038Y-29367620D01*
-X32427777Y-29364467D01*
-X32422850Y-29360813D01*
-X32418306Y-29356694D01*
-X32414187Y-29352150D01*
-X32410533Y-29347223D01*
-X32407380Y-29341962D01*
-X32404758Y-29336418D01*
-X32402691Y-29330643D01*
-X32401201Y-29324693D01*
-X32400301Y-29318626D01*
-X32400000Y-29312500D01*
-X32400000Y-29187500D01*
-X32400301Y-29181374D01*
-X32401201Y-29175307D01*
-X32402691Y-29169357D01*
-X32404758Y-29163582D01*
-X32407380Y-29158038D01*
-X32410533Y-29152777D01*
-X32414187Y-29147850D01*
-X32418306Y-29143306D01*
-X32422850Y-29139187D01*
-X32427777Y-29135533D01*
-X32433038Y-29132380D01*
-X32438582Y-29129758D01*
-X32444357Y-29127691D01*
-X32450307Y-29126201D01*
-X32456374Y-29125301D01*
-X32462500Y-29125000D01*
-X33012500Y-29125000D01*
-X33018626Y-29125301D01*
-X33018626Y-29125301D01*
-G37*
-D20*
-X32737500Y-29250000D03*
-D11*
-G36*
-X33018626Y-28625301D02*
-G01*
-X33024693Y-28626201D01*
-X33030643Y-28627691D01*
-X33036418Y-28629758D01*
-X33041962Y-28632380D01*
-X33047223Y-28635533D01*
-X33052150Y-28639187D01*
-X33056694Y-28643306D01*
-X33060813Y-28647850D01*
-X33064467Y-28652777D01*
-X33067620Y-28658038D01*
-X33070242Y-28663582D01*
-X33072309Y-28669357D01*
-X33073799Y-28675307D01*
-X33074699Y-28681374D01*
-X33075000Y-28687500D01*
-X33075000Y-28812500D01*
-X33074699Y-28818626D01*
-X33073799Y-28824693D01*
-X33072309Y-28830643D01*
-X33070242Y-28836418D01*
-X33067620Y-28841962D01*
-X33064467Y-28847223D01*
-X33060813Y-28852150D01*
-X33056694Y-28856694D01*
-X33052150Y-28860813D01*
-X33047223Y-28864467D01*
-X33041962Y-28867620D01*
-X33036418Y-28870242D01*
-X33030643Y-28872309D01*
-X33024693Y-28873799D01*
-X33018626Y-28874699D01*
-X33012500Y-28875000D01*
-X32462500Y-28875000D01*
-X32456374Y-28874699D01*
-X32450307Y-28873799D01*
-X32444357Y-28872309D01*
-X32438582Y-28870242D01*
-X32433038Y-28867620D01*
-X32427777Y-28864467D01*
-X32422850Y-28860813D01*
-X32418306Y-28856694D01*
-X32414187Y-28852150D01*
-X32410533Y-28847223D01*
-X32407380Y-28841962D01*
-X32404758Y-28836418D01*
-X32402691Y-28830643D01*
-X32401201Y-28824693D01*
-X32400301Y-28818626D01*
-X32400000Y-28812500D01*
-X32400000Y-28687500D01*
-X32400301Y-28681374D01*
-X32401201Y-28675307D01*
-X32402691Y-28669357D01*
-X32404758Y-28663582D01*
-X32407380Y-28658038D01*
-X32410533Y-28652777D01*
-X32414187Y-28647850D01*
-X32418306Y-28643306D01*
-X32422850Y-28639187D01*
-X32427777Y-28635533D01*
-X32433038Y-28632380D01*
-X32438582Y-28629758D01*
-X32444357Y-28627691D01*
-X32450307Y-28626201D01*
-X32456374Y-28625301D01*
-X32462500Y-28625000D01*
-X33012500Y-28625000D01*
-X33018626Y-28625301D01*
-X33018626Y-28625301D01*
-G37*
+X184520000Y-49585000D03*
+X175880000Y-49585000D03*
D20*
-X32737500Y-28750000D03*
-D11*
-G36*
-X33018626Y-28125301D02*
-G01*
-X33024693Y-28126201D01*
-X33030643Y-28127691D01*
-X33036418Y-28129758D01*
-X33041962Y-28132380D01*
-X33047223Y-28135533D01*
-X33052150Y-28139187D01*
-X33056694Y-28143306D01*
-X33060813Y-28147850D01*
-X33064467Y-28152777D01*
-X33067620Y-28158038D01*
-X33070242Y-28163582D01*
-X33072309Y-28169357D01*
-X33073799Y-28175307D01*
-X33074699Y-28181374D01*
-X33075000Y-28187500D01*
-X33075000Y-28312500D01*
-X33074699Y-28318626D01*
-X33073799Y-28324693D01*
-X33072309Y-28330643D01*
-X33070242Y-28336418D01*
-X33067620Y-28341962D01*
-X33064467Y-28347223D01*
-X33060813Y-28352150D01*
-X33056694Y-28356694D01*
-X33052150Y-28360813D01*
-X33047223Y-28364467D01*
-X33041962Y-28367620D01*
-X33036418Y-28370242D01*
-X33030643Y-28372309D01*
-X33024693Y-28373799D01*
-X33018626Y-28374699D01*
-X33012500Y-28375000D01*
-X32462500Y-28375000D01*
-X32456374Y-28374699D01*
-X32450307Y-28373799D01*
-X32444357Y-28372309D01*
-X32438582Y-28370242D01*
-X32433038Y-28367620D01*
-X32427777Y-28364467D01*
-X32422850Y-28360813D01*
-X32418306Y-28356694D01*
-X32414187Y-28352150D01*
-X32410533Y-28347223D01*
-X32407380Y-28341962D01*
-X32404758Y-28336418D01*
-X32402691Y-28330643D01*
-X32401201Y-28324693D01*
-X32400301Y-28318626D01*
-X32400000Y-28312500D01*
-X32400000Y-28187500D01*
-X32400301Y-28181374D01*
-X32401201Y-28175307D01*
-X32402691Y-28169357D01*
-X32404758Y-28163582D01*
-X32407380Y-28158038D01*
-X32410533Y-28152777D01*
-X32414187Y-28147850D01*
-X32418306Y-28143306D01*
-X32422850Y-28139187D01*
-X32427777Y-28135533D01*
-X32433038Y-28132380D01*
-X32438582Y-28129758D01*
-X32444357Y-28127691D01*
-X32450307Y-28126201D01*
-X32456374Y-28125301D01*
-X32462500Y-28125000D01*
-X33012500Y-28125000D01*
-X33018626Y-28125301D01*
-X33018626Y-28125301D01*
-G37*
-D20*
-X32737500Y-28250000D03*
-D11*
-G36*
-X33018626Y-27625301D02*
-G01*
-X33024693Y-27626201D01*
-X33030643Y-27627691D01*
-X33036418Y-27629758D01*
-X33041962Y-27632380D01*
-X33047223Y-27635533D01*
-X33052150Y-27639187D01*
-X33056694Y-27643306D01*
-X33060813Y-27647850D01*
-X33064467Y-27652777D01*
-X33067620Y-27658038D01*
-X33070242Y-27663582D01*
-X33072309Y-27669357D01*
-X33073799Y-27675307D01*
-X33074699Y-27681374D01*
-X33075000Y-27687500D01*
-X33075000Y-27812500D01*
-X33074699Y-27818626D01*
-X33073799Y-27824693D01*
-X33072309Y-27830643D01*
-X33070242Y-27836418D01*
-X33067620Y-27841962D01*
-X33064467Y-27847223D01*
-X33060813Y-27852150D01*
-X33056694Y-27856694D01*
-X33052150Y-27860813D01*
-X33047223Y-27864467D01*
-X33041962Y-27867620D01*
-X33036418Y-27870242D01*
-X33030643Y-27872309D01*
-X33024693Y-27873799D01*
-X33018626Y-27874699D01*
-X33012500Y-27875000D01*
-X32462500Y-27875000D01*
-X32456374Y-27874699D01*
-X32450307Y-27873799D01*
-X32444357Y-27872309D01*
-X32438582Y-27870242D01*
-X32433038Y-27867620D01*
-X32427777Y-27864467D01*
-X32422850Y-27860813D01*
-X32418306Y-27856694D01*
-X32414187Y-27852150D01*
-X32410533Y-27847223D01*
-X32407380Y-27841962D01*
-X32404758Y-27836418D01*
-X32402691Y-27830643D01*
-X32401201Y-27824693D01*
-X32400301Y-27818626D01*
-X32400000Y-27812500D01*
-X32400000Y-27687500D01*
-X32400301Y-27681374D01*
-X32401201Y-27675307D01*
-X32402691Y-27669357D01*
-X32404758Y-27663582D01*
-X32407380Y-27658038D01*
-X32410533Y-27652777D01*
-X32414187Y-27647850D01*
-X32418306Y-27643306D01*
-X32422850Y-27639187D01*
-X32427777Y-27635533D01*
-X32433038Y-27632380D01*
-X32438582Y-27629758D01*
-X32444357Y-27627691D01*
-X32450307Y-27626201D01*
-X32456374Y-27625301D01*
-X32462500Y-27625000D01*
-X33012500Y-27625000D01*
-X33018626Y-27625301D01*
-X33018626Y-27625301D01*
-G37*
-D20*
-X32737500Y-27750000D03*
-D11*
-G36*
-X33568626Y-26900301D02*
-G01*
-X33574693Y-26901201D01*
-X33580643Y-26902691D01*
-X33586418Y-26904758D01*
-X33591962Y-26907380D01*
-X33597223Y-26910533D01*
-X33602150Y-26914187D01*
-X33606694Y-26918306D01*
-X33610813Y-26922850D01*
-X33614467Y-26927777D01*
-X33617620Y-26933038D01*
-X33620242Y-26938582D01*
-X33622309Y-26944357D01*
-X33623799Y-26950307D01*
-X33624699Y-26956374D01*
-X33625000Y-26962500D01*
-X33625000Y-27512500D01*
-X33624699Y-27518626D01*
-X33623799Y-27524693D01*
-X33622309Y-27530643D01*
-X33620242Y-27536418D01*
-X33617620Y-27541962D01*
-X33614467Y-27547223D01*
-X33610813Y-27552150D01*
-X33606694Y-27556694D01*
-X33602150Y-27560813D01*
-X33597223Y-27564467D01*
-X33591962Y-27567620D01*
-X33586418Y-27570242D01*
-X33580643Y-27572309D01*
-X33574693Y-27573799D01*
-X33568626Y-27574699D01*
-X33562500Y-27575000D01*
-X33437500Y-27575000D01*
-X33431374Y-27574699D01*
-X33425307Y-27573799D01*
-X33419357Y-27572309D01*
-X33413582Y-27570242D01*
-X33408038Y-27567620D01*
-X33402777Y-27564467D01*
-X33397850Y-27560813D01*
-X33393306Y-27556694D01*
-X33389187Y-27552150D01*
-X33385533Y-27547223D01*
-X33382380Y-27541962D01*
-X33379758Y-27536418D01*
-X33377691Y-27530643D01*
-X33376201Y-27524693D01*
-X33375301Y-27518626D01*
-X33375000Y-27512500D01*
-X33375000Y-26962500D01*
-X33375301Y-26956374D01*
-X33376201Y-26950307D01*
-X33377691Y-26944357D01*
-X33379758Y-26938582D01*
-X33382380Y-26933038D01*
-X33385533Y-26927777D01*
-X33389187Y-26922850D01*
-X33393306Y-26918306D01*
-X33397850Y-26914187D01*
-X33402777Y-26910533D01*
-X33408038Y-26907380D01*
-X33413582Y-26904758D01*
-X33419357Y-26902691D01*
-X33425307Y-26901201D01*
-X33431374Y-26900301D01*
-X33437500Y-26900000D01*
-X33562500Y-26900000D01*
-X33568626Y-26900301D01*
-X33568626Y-26900301D01*
-G37*
-D20*
-X33500000Y-27237500D03*
-D11*
-G36*
-X34068626Y-26900301D02*
-G01*
-X34074693Y-26901201D01*
-X34080643Y-26902691D01*
-X34086418Y-26904758D01*
-X34091962Y-26907380D01*
-X34097223Y-26910533D01*
-X34102150Y-26914187D01*
-X34106694Y-26918306D01*
-X34110813Y-26922850D01*
-X34114467Y-26927777D01*
-X34117620Y-26933038D01*
-X34120242Y-26938582D01*
-X34122309Y-26944357D01*
-X34123799Y-26950307D01*
-X34124699Y-26956374D01*
-X34125000Y-26962500D01*
-X34125000Y-27512500D01*
-X34124699Y-27518626D01*
-X34123799Y-27524693D01*
-X34122309Y-27530643D01*
-X34120242Y-27536418D01*
-X34117620Y-27541962D01*
-X34114467Y-27547223D01*
-X34110813Y-27552150D01*
-X34106694Y-27556694D01*
-X34102150Y-27560813D01*
-X34097223Y-27564467D01*
-X34091962Y-27567620D01*
-X34086418Y-27570242D01*
-X34080643Y-27572309D01*
-X34074693Y-27573799D01*
-X34068626Y-27574699D01*
-X34062500Y-27575000D01*
-X33937500Y-27575000D01*
-X33931374Y-27574699D01*
-X33925307Y-27573799D01*
-X33919357Y-27572309D01*
-X33913582Y-27570242D01*
-X33908038Y-27567620D01*
-X33902777Y-27564467D01*
-X33897850Y-27560813D01*
-X33893306Y-27556694D01*
-X33889187Y-27552150D01*
-X33885533Y-27547223D01*
-X33882380Y-27541962D01*
-X33879758Y-27536418D01*
-X33877691Y-27530643D01*
-X33876201Y-27524693D01*
-X33875301Y-27518626D01*
-X33875000Y-27512500D01*
-X33875000Y-26962500D01*
-X33875301Y-26956374D01*
-X33876201Y-26950307D01*
-X33877691Y-26944357D01*
-X33879758Y-26938582D01*
-X33882380Y-26933038D01*
-X33885533Y-26927777D01*
-X33889187Y-26922850D01*
-X33893306Y-26918306D01*
-X33897850Y-26914187D01*
-X33902777Y-26910533D01*
-X33908038Y-26907380D01*
-X33913582Y-26904758D01*
-X33919357Y-26902691D01*
-X33925307Y-26901201D01*
-X33931374Y-26900301D01*
-X33937500Y-26900000D01*
-X34062500Y-26900000D01*
-X34068626Y-26900301D01*
-X34068626Y-26900301D01*
-G37*
-D20*
-X34000000Y-27237500D03*
-D11*
-G36*
-X34568626Y-26900301D02*
-G01*
-X34574693Y-26901201D01*
-X34580643Y-26902691D01*
-X34586418Y-26904758D01*
-X34591962Y-26907380D01*
-X34597223Y-26910533D01*
-X34602150Y-26914187D01*
-X34606694Y-26918306D01*
-X34610813Y-26922850D01*
-X34614467Y-26927777D01*
-X34617620Y-26933038D01*
-X34620242Y-26938582D01*
-X34622309Y-26944357D01*
-X34623799Y-26950307D01*
-X34624699Y-26956374D01*
-X34625000Y-26962500D01*
-X34625000Y-27512500D01*
-X34624699Y-27518626D01*
-X34623799Y-27524693D01*
-X34622309Y-27530643D01*
-X34620242Y-27536418D01*
-X34617620Y-27541962D01*
-X34614467Y-27547223D01*
-X34610813Y-27552150D01*
-X34606694Y-27556694D01*
-X34602150Y-27560813D01*
-X34597223Y-27564467D01*
-X34591962Y-27567620D01*
-X34586418Y-27570242D01*
-X34580643Y-27572309D01*
-X34574693Y-27573799D01*
-X34568626Y-27574699D01*
-X34562500Y-27575000D01*
-X34437500Y-27575000D01*
-X34431374Y-27574699D01*
-X34425307Y-27573799D01*
-X34419357Y-27572309D01*
-X34413582Y-27570242D01*
-X34408038Y-27567620D01*
-X34402777Y-27564467D01*
-X34397850Y-27560813D01*
-X34393306Y-27556694D01*
-X34389187Y-27552150D01*
-X34385533Y-27547223D01*
-X34382380Y-27541962D01*
-X34379758Y-27536418D01*
-X34377691Y-27530643D01*
-X34376201Y-27524693D01*
-X34375301Y-27518626D01*
-X34375000Y-27512500D01*
-X34375000Y-26962500D01*
-X34375301Y-26956374D01*
-X34376201Y-26950307D01*
-X34377691Y-26944357D01*
-X34379758Y-26938582D01*
-X34382380Y-26933038D01*
-X34385533Y-26927777D01*
-X34389187Y-26922850D01*
-X34393306Y-26918306D01*
-X34397850Y-26914187D01*
-X34402777Y-26910533D01*
-X34408038Y-26907380D01*
-X34413582Y-26904758D01*
-X34419357Y-26902691D01*
-X34425307Y-26901201D01*
-X34431374Y-26900301D01*
-X34437500Y-26900000D01*
-X34562500Y-26900000D01*
-X34568626Y-26900301D01*
-X34568626Y-26900301D01*
-G37*
-D20*
-X34500000Y-27237500D03*
-D11*
-G36*
-X35543626Y-27625301D02*
-G01*
-X35549693Y-27626201D01*
-X35555643Y-27627691D01*
-X35561418Y-27629758D01*
-X35566962Y-27632380D01*
-X35572223Y-27635533D01*
-X35577150Y-27639187D01*
-X35581694Y-27643306D01*
-X35585813Y-27647850D01*
-X35589467Y-27652777D01*
-X35592620Y-27658038D01*
-X35595242Y-27663582D01*
-X35597309Y-27669357D01*
-X35598799Y-27675307D01*
-X35599699Y-27681374D01*
-X35600000Y-27687500D01*
-X35600000Y-27812500D01*
-X35599699Y-27818626D01*
-X35598799Y-27824693D01*
-X35597309Y-27830643D01*
-X35595242Y-27836418D01*
-X35592620Y-27841962D01*
-X35589467Y-27847223D01*
-X35585813Y-27852150D01*
-X35581694Y-27856694D01*
-X35577150Y-27860813D01*
-X35572223Y-27864467D01*
-X35566962Y-27867620D01*
-X35561418Y-27870242D01*
-X35555643Y-27872309D01*
-X35549693Y-27873799D01*
-X35543626Y-27874699D01*
-X35537500Y-27875000D01*
-X34987500Y-27875000D01*
-X34981374Y-27874699D01*
-X34975307Y-27873799D01*
-X34969357Y-27872309D01*
-X34963582Y-27870242D01*
-X34958038Y-27867620D01*
-X34952777Y-27864467D01*
-X34947850Y-27860813D01*
-X34943306Y-27856694D01*
-X34939187Y-27852150D01*
-X34935533Y-27847223D01*
-X34932380Y-27841962D01*
-X34929758Y-27836418D01*
-X34927691Y-27830643D01*
-X34926201Y-27824693D01*
-X34925301Y-27818626D01*
-X34925000Y-27812500D01*
-X34925000Y-27687500D01*
-X34925301Y-27681374D01*
-X34926201Y-27675307D01*
-X34927691Y-27669357D01*
-X34929758Y-27663582D01*
-X34932380Y-27658038D01*
-X34935533Y-27652777D01*
-X34939187Y-27647850D01*
-X34943306Y-27643306D01*
-X34947850Y-27639187D01*
-X34952777Y-27635533D01*
-X34958038Y-27632380D01*
-X34963582Y-27629758D01*
-X34969357Y-27627691D01*
-X34975307Y-27626201D01*
-X34981374Y-27625301D01*
-X34987500Y-27625000D01*
-X35537500Y-27625000D01*
-X35543626Y-27625301D01*
-X35543626Y-27625301D01*
-G37*
-D20*
-X35262500Y-27750000D03*
-D11*
-G36*
-X35543626Y-28125301D02*
-G01*
-X35549693Y-28126201D01*
-X35555643Y-28127691D01*
-X35561418Y-28129758D01*
-X35566962Y-28132380D01*
-X35572223Y-28135533D01*
-X35577150Y-28139187D01*
-X35581694Y-28143306D01*
-X35585813Y-28147850D01*
-X35589467Y-28152777D01*
-X35592620Y-28158038D01*
-X35595242Y-28163582D01*
-X35597309Y-28169357D01*
-X35598799Y-28175307D01*
-X35599699Y-28181374D01*
-X35600000Y-28187500D01*
-X35600000Y-28312500D01*
-X35599699Y-28318626D01*
-X35598799Y-28324693D01*
-X35597309Y-28330643D01*
-X35595242Y-28336418D01*
-X35592620Y-28341962D01*
-X35589467Y-28347223D01*
-X35585813Y-28352150D01*
-X35581694Y-28356694D01*
-X35577150Y-28360813D01*
-X35572223Y-28364467D01*
-X35566962Y-28367620D01*
-X35561418Y-28370242D01*
-X35555643Y-28372309D01*
-X35549693Y-28373799D01*
-X35543626Y-28374699D01*
-X35537500Y-28375000D01*
-X34987500Y-28375000D01*
-X34981374Y-28374699D01*
-X34975307Y-28373799D01*
-X34969357Y-28372309D01*
-X34963582Y-28370242D01*
-X34958038Y-28367620D01*
-X34952777Y-28364467D01*
-X34947850Y-28360813D01*
-X34943306Y-28356694D01*
-X34939187Y-28352150D01*
-X34935533Y-28347223D01*
-X34932380Y-28341962D01*
-X34929758Y-28336418D01*
-X34927691Y-28330643D01*
-X34926201Y-28324693D01*
-X34925301Y-28318626D01*
-X34925000Y-28312500D01*
-X34925000Y-28187500D01*
-X34925301Y-28181374D01*
-X34926201Y-28175307D01*
-X34927691Y-28169357D01*
-X34929758Y-28163582D01*
-X34932380Y-28158038D01*
-X34935533Y-28152777D01*
-X34939187Y-28147850D01*
-X34943306Y-28143306D01*
-X34947850Y-28139187D01*
-X34952777Y-28135533D01*
-X34958038Y-28132380D01*
-X34963582Y-28129758D01*
-X34969357Y-28127691D01*
-X34975307Y-28126201D01*
-X34981374Y-28125301D01*
-X34987500Y-28125000D01*
-X35537500Y-28125000D01*
-X35543626Y-28125301D01*
-X35543626Y-28125301D01*
-G37*
-D20*
-X35262500Y-28250000D03*
-D11*
-G36*
-X35543626Y-28625301D02*
-G01*
-X35549693Y-28626201D01*
-X35555643Y-28627691D01*
-X35561418Y-28629758D01*
-X35566962Y-28632380D01*
-X35572223Y-28635533D01*
-X35577150Y-28639187D01*
-X35581694Y-28643306D01*
-X35585813Y-28647850D01*
-X35589467Y-28652777D01*
-X35592620Y-28658038D01*
-X35595242Y-28663582D01*
-X35597309Y-28669357D01*
-X35598799Y-28675307D01*
-X35599699Y-28681374D01*
-X35600000Y-28687500D01*
-X35600000Y-28812500D01*
-X35599699Y-28818626D01*
-X35598799Y-28824693D01*
-X35597309Y-28830643D01*
-X35595242Y-28836418D01*
-X35592620Y-28841962D01*
-X35589467Y-28847223D01*
-X35585813Y-28852150D01*
-X35581694Y-28856694D01*
-X35577150Y-28860813D01*
-X35572223Y-28864467D01*
-X35566962Y-28867620D01*
-X35561418Y-28870242D01*
-X35555643Y-28872309D01*
-X35549693Y-28873799D01*
-X35543626Y-28874699D01*
-X35537500Y-28875000D01*
-X34987500Y-28875000D01*
-X34981374Y-28874699D01*
-X34975307Y-28873799D01*
-X34969357Y-28872309D01*
-X34963582Y-28870242D01*
-X34958038Y-28867620D01*
-X34952777Y-28864467D01*
-X34947850Y-28860813D01*
-X34943306Y-28856694D01*
-X34939187Y-28852150D01*
-X34935533Y-28847223D01*
-X34932380Y-28841962D01*
-X34929758Y-28836418D01*
-X34927691Y-28830643D01*
-X34926201Y-28824693D01*
-X34925301Y-28818626D01*
-X34925000Y-28812500D01*
-X34925000Y-28687500D01*
-X34925301Y-28681374D01*
-X34926201Y-28675307D01*
-X34927691Y-28669357D01*
-X34929758Y-28663582D01*
-X34932380Y-28658038D01*
-X34935533Y-28652777D01*
-X34939187Y-28647850D01*
-X34943306Y-28643306D01*
-X34947850Y-28639187D01*
-X34952777Y-28635533D01*
-X34958038Y-28632380D01*
-X34963582Y-28629758D01*
-X34969357Y-28627691D01*
-X34975307Y-28626201D01*
-X34981374Y-28625301D01*
-X34987500Y-28625000D01*
-X35537500Y-28625000D01*
-X35543626Y-28625301D01*
-X35543626Y-28625301D01*
-G37*
-D20*
-X35262500Y-28750000D03*
-D11*
-G36*
-X35543626Y-29125301D02*
-G01*
-X35549693Y-29126201D01*
-X35555643Y-29127691D01*
-X35561418Y-29129758D01*
-X35566962Y-29132380D01*
-X35572223Y-29135533D01*
-X35577150Y-29139187D01*
-X35581694Y-29143306D01*
-X35585813Y-29147850D01*
-X35589467Y-29152777D01*
-X35592620Y-29158038D01*
-X35595242Y-29163582D01*
-X35597309Y-29169357D01*
-X35598799Y-29175307D01*
-X35599699Y-29181374D01*
-X35600000Y-29187500D01*
-X35600000Y-29312500D01*
-X35599699Y-29318626D01*
-X35598799Y-29324693D01*
-X35597309Y-29330643D01*
-X35595242Y-29336418D01*
-X35592620Y-29341962D01*
-X35589467Y-29347223D01*
-X35585813Y-29352150D01*
-X35581694Y-29356694D01*
-X35577150Y-29360813D01*
-X35572223Y-29364467D01*
-X35566962Y-29367620D01*
-X35561418Y-29370242D01*
-X35555643Y-29372309D01*
-X35549693Y-29373799D01*
-X35543626Y-29374699D01*
-X35537500Y-29375000D01*
-X34987500Y-29375000D01*
-X34981374Y-29374699D01*
-X34975307Y-29373799D01*
-X34969357Y-29372309D01*
-X34963582Y-29370242D01*
-X34958038Y-29367620D01*
-X34952777Y-29364467D01*
-X34947850Y-29360813D01*
-X34943306Y-29356694D01*
-X34939187Y-29352150D01*
-X34935533Y-29347223D01*
-X34932380Y-29341962D01*
-X34929758Y-29336418D01*
-X34927691Y-29330643D01*
-X34926201Y-29324693D01*
-X34925301Y-29318626D01*
-X34925000Y-29312500D01*
-X34925000Y-29187500D01*
-X34925301Y-29181374D01*
-X34926201Y-29175307D01*
-X34927691Y-29169357D01*
-X34929758Y-29163582D01*
-X34932380Y-29158038D01*
-X34935533Y-29152777D01*
-X34939187Y-29147850D01*
-X34943306Y-29143306D01*
-X34947850Y-29139187D01*
-X34952777Y-29135533D01*
-X34958038Y-29132380D01*
-X34963582Y-29129758D01*
-X34969357Y-29127691D01*
-X34975307Y-29126201D01*
-X34981374Y-29125301D01*
-X34987500Y-29125000D01*
-X35537500Y-29125000D01*
-X35543626Y-29125301D01*
-X35543626Y-29125301D01*
-G37*
-D20*
-X35262500Y-29250000D03*
-D11*
-G36*
-X34568626Y-29425301D02*
-G01*
-X34574693Y-29426201D01*
-X34580643Y-29427691D01*
-X34586418Y-29429758D01*
-X34591962Y-29432380D01*
-X34597223Y-29435533D01*
-X34602150Y-29439187D01*
-X34606694Y-29443306D01*
-X34610813Y-29447850D01*
-X34614467Y-29452777D01*
-X34617620Y-29458038D01*
-X34620242Y-29463582D01*
-X34622309Y-29469357D01*
-X34623799Y-29475307D01*
-X34624699Y-29481374D01*
-X34625000Y-29487500D01*
-X34625000Y-30037500D01*
-X34624699Y-30043626D01*
-X34623799Y-30049693D01*
-X34622309Y-30055643D01*
-X34620242Y-30061418D01*
-X34617620Y-30066962D01*
-X34614467Y-30072223D01*
-X34610813Y-30077150D01*
-X34606694Y-30081694D01*
-X34602150Y-30085813D01*
-X34597223Y-30089467D01*
-X34591962Y-30092620D01*
-X34586418Y-30095242D01*
-X34580643Y-30097309D01*
-X34574693Y-30098799D01*
-X34568626Y-30099699D01*
-X34562500Y-30100000D01*
-X34437500Y-30100000D01*
-X34431374Y-30099699D01*
-X34425307Y-30098799D01*
-X34419357Y-30097309D01*
-X34413582Y-30095242D01*
-X34408038Y-30092620D01*
-X34402777Y-30089467D01*
-X34397850Y-30085813D01*
-X34393306Y-30081694D01*
-X34389187Y-30077150D01*
-X34385533Y-30072223D01*
-X34382380Y-30066962D01*
-X34379758Y-30061418D01*
-X34377691Y-30055643D01*
-X34376201Y-30049693D01*
-X34375301Y-30043626D01*
-X34375000Y-30037500D01*
-X34375000Y-29487500D01*
-X34375301Y-29481374D01*
-X34376201Y-29475307D01*
-X34377691Y-29469357D01*
-X34379758Y-29463582D01*
-X34382380Y-29458038D01*
-X34385533Y-29452777D01*
-X34389187Y-29447850D01*
-X34393306Y-29443306D01*
-X34397850Y-29439187D01*
-X34402777Y-29435533D01*
-X34408038Y-29432380D01*
-X34413582Y-29429758D01*
-X34419357Y-29427691D01*
-X34425307Y-29426201D01*
-X34431374Y-29425301D01*
-X34437500Y-29425000D01*
-X34562500Y-29425000D01*
-X34568626Y-29425301D01*
-X34568626Y-29425301D01*
-G37*
-D20*
-X34500000Y-29762500D03*
-D11*
-G36*
-X34068626Y-29425301D02*
-G01*
-X34074693Y-29426201D01*
-X34080643Y-29427691D01*
-X34086418Y-29429758D01*
-X34091962Y-29432380D01*
-X34097223Y-29435533D01*
-X34102150Y-29439187D01*
-X34106694Y-29443306D01*
-X34110813Y-29447850D01*
-X34114467Y-29452777D01*
-X34117620Y-29458038D01*
-X34120242Y-29463582D01*
-X34122309Y-29469357D01*
-X34123799Y-29475307D01*
-X34124699Y-29481374D01*
-X34125000Y-29487500D01*
-X34125000Y-30037500D01*
-X34124699Y-30043626D01*
-X34123799Y-30049693D01*
-X34122309Y-30055643D01*
-X34120242Y-30061418D01*
-X34117620Y-30066962D01*
-X34114467Y-30072223D01*
-X34110813Y-30077150D01*
-X34106694Y-30081694D01*
-X34102150Y-30085813D01*
-X34097223Y-30089467D01*
-X34091962Y-30092620D01*
-X34086418Y-30095242D01*
-X34080643Y-30097309D01*
-X34074693Y-30098799D01*
-X34068626Y-30099699D01*
-X34062500Y-30100000D01*
-X33937500Y-30100000D01*
-X33931374Y-30099699D01*
-X33925307Y-30098799D01*
-X33919357Y-30097309D01*
-X33913582Y-30095242D01*
-X33908038Y-30092620D01*
-X33902777Y-30089467D01*
-X33897850Y-30085813D01*
-X33893306Y-30081694D01*
-X33889187Y-30077150D01*
-X33885533Y-30072223D01*
-X33882380Y-30066962D01*
-X33879758Y-30061418D01*
-X33877691Y-30055643D01*
-X33876201Y-30049693D01*
-X33875301Y-30043626D01*
-X33875000Y-30037500D01*
-X33875000Y-29487500D01*
-X33875301Y-29481374D01*
-X33876201Y-29475307D01*
-X33877691Y-29469357D01*
-X33879758Y-29463582D01*
-X33882380Y-29458038D01*
-X33885533Y-29452777D01*
-X33889187Y-29447850D01*
-X33893306Y-29443306D01*
-X33897850Y-29439187D01*
-X33902777Y-29435533D01*
-X33908038Y-29432380D01*
-X33913582Y-29429758D01*
-X33919357Y-29427691D01*
-X33925307Y-29426201D01*
-X33931374Y-29425301D01*
-X33937500Y-29425000D01*
-X34062500Y-29425000D01*
-X34068626Y-29425301D01*
-X34068626Y-29425301D01*
-G37*
-D20*
-X34000000Y-29762500D03*
-D11*
-G36*
-X33568626Y-29425301D02*
-G01*
-X33574693Y-29426201D01*
-X33580643Y-29427691D01*
-X33586418Y-29429758D01*
-X33591962Y-29432380D01*
-X33597223Y-29435533D01*
-X33602150Y-29439187D01*
-X33606694Y-29443306D01*
-X33610813Y-29447850D01*
-X33614467Y-29452777D01*
-X33617620Y-29458038D01*
-X33620242Y-29463582D01*
-X33622309Y-29469357D01*
-X33623799Y-29475307D01*
-X33624699Y-29481374D01*
-X33625000Y-29487500D01*
-X33625000Y-30037500D01*
-X33624699Y-30043626D01*
-X33623799Y-30049693D01*
-X33622309Y-30055643D01*
-X33620242Y-30061418D01*
-X33617620Y-30066962D01*
-X33614467Y-30072223D01*
-X33610813Y-30077150D01*
-X33606694Y-30081694D01*
-X33602150Y-30085813D01*
-X33597223Y-30089467D01*
-X33591962Y-30092620D01*
-X33586418Y-30095242D01*
-X33580643Y-30097309D01*
-X33574693Y-30098799D01*
-X33568626Y-30099699D01*
-X33562500Y-30100000D01*
-X33437500Y-30100000D01*
-X33431374Y-30099699D01*
-X33425307Y-30098799D01*
-X33419357Y-30097309D01*
-X33413582Y-30095242D01*
-X33408038Y-30092620D01*
-X33402777Y-30089467D01*
-X33397850Y-30085813D01*
-X33393306Y-30081694D01*
-X33389187Y-30077150D01*
-X33385533Y-30072223D01*
-X33382380Y-30066962D01*
-X33379758Y-30061418D01*
-X33377691Y-30055643D01*
-X33376201Y-30049693D01*
-X33375301Y-30043626D01*
-X33375000Y-30037500D01*
-X33375000Y-29487500D01*
-X33375301Y-29481374D01*
-X33376201Y-29475307D01*
-X33377691Y-29469357D01*
-X33379758Y-29463582D01*
-X33382380Y-29458038D01*
-X33385533Y-29452777D01*
-X33389187Y-29447850D01*
-X33393306Y-29443306D01*
-X33397850Y-29439187D01*
-X33402777Y-29435533D01*
-X33408038Y-29432380D01*
-X33413582Y-29429758D01*
-X33419357Y-29427691D01*
-X33425307Y-29426201D01*
-X33431374Y-29425301D01*
-X33437500Y-29425000D01*
-X33562500Y-29425000D01*
-X33568626Y-29425301D01*
-X33568626Y-29425301D01*
-G37*
-D20*
-X33500000Y-29762500D03*
-D11*
-G36*
-X34499504Y-27776204D02*
-G01*
-X34523773Y-27779804D01*
-X34547571Y-27785765D01*
-X34570671Y-27794030D01*
-X34592849Y-27804520D01*
-X34613893Y-27817133D01*
-X34633598Y-27831747D01*
-X34651777Y-27848223D01*
-X34668253Y-27866402D01*
-X34682867Y-27886107D01*
-X34695480Y-27907151D01*
-X34705970Y-27929329D01*
-X34714235Y-27952429D01*
-X34720196Y-27976227D01*
-X34723796Y-28000496D01*
-X34725000Y-28025000D01*
-X34725000Y-28975000D01*
-X34723796Y-28999504D01*
-X34720196Y-29023773D01*
-X34714235Y-29047571D01*
-X34705970Y-29070671D01*
-X34695480Y-29092849D01*
-X34682867Y-29113893D01*
-X34668253Y-29133598D01*
-X34651777Y-29151777D01*
-X34633598Y-29168253D01*
-X34613893Y-29182867D01*
-X34592849Y-29195480D01*
-X34570671Y-29205970D01*
-X34547571Y-29214235D01*
-X34523773Y-29220196D01*
-X34499504Y-29223796D01*
-X34475000Y-29225000D01*
-X33525000Y-29225000D01*
-X33500496Y-29223796D01*
-X33476227Y-29220196D01*
-X33452429Y-29214235D01*
-X33429329Y-29205970D01*
-X33407151Y-29195480D01*
-X33386107Y-29182867D01*
-X33366402Y-29168253D01*
-X33348223Y-29151777D01*
-X33331747Y-29133598D01*
-X33317133Y-29113893D01*
-X33304520Y-29092849D01*
-X33294030Y-29070671D01*
-X33285765Y-29047571D01*
-X33279804Y-29023773D01*
-X33276204Y-28999504D01*
-X33275000Y-28975000D01*
-X33275000Y-28025000D01*
-X33276204Y-28000496D01*
-X33279804Y-27976227D01*
-X33285765Y-27952429D01*
-X33294030Y-27929329D01*
-X33304520Y-27907151D01*
-X33317133Y-27886107D01*
-X33331747Y-27866402D01*
-X33348223Y-27848223D01*
-X33366402Y-27831747D01*
-X33386107Y-27817133D01*
-X33407151Y-27804520D01*
-X33429329Y-27794030D01*
-X33452429Y-27785765D01*
-X33476227Y-27779804D01*
-X33500496Y-27776204D01*
-X33525000Y-27775000D01*
-X34475000Y-27775000D01*
-X34499504Y-27776204D01*
-X34499504Y-27776204D01*
-G37*
+X184520000Y-45405000D03*
+X175880000Y-45405000D03*
D21*
-X34000000Y-28500000D03*
+X185800000Y-64200000D03*
+X186100000Y-63400000D03*
+X188300000Y-68150000D03*
+X187450000Y-70100000D03*
+X181200000Y-54300000D03*
+X198500000Y-48700000D03*
+X175620000Y-64500000D03*
+X166140000Y-60780000D03*
+X176200000Y-72600000D03*
+X202160000Y-70800000D03*
+X188112019Y-69980226D03*
+X207300000Y-57000000D03*
+X180800000Y-56400000D03*
+X187720000Y-72680000D03*
+X198500000Y-48000000D03*
+X198500000Y-46600000D03*
+X182750000Y-67260000D03*
+X198800000Y-55700000D03*
+X198500000Y-47300000D03*
+X206300000Y-57000000D03*
+X205000000Y-68500000D03*
+X174500000Y-55100000D03*
+X184500000Y-52600000D03*
+X182000000Y-74500000D03*
+X177250000Y-67280000D03*
+X183200000Y-53500000D03*
+X175700000Y-72100000D03*
+X187800000Y-52600000D03*
+X188300000Y-67300000D03*
+X181600000Y-56400000D03*
+X196500000Y-56100000D03*
+X206600000Y-53300000D03*
+X195000000Y-54700000D03*
+X187200000Y-65900000D03*
+X179900000Y-65000000D03*
+X187800000Y-45100000D03*
+X200830000Y-57620000D03*
+X179200000Y-65600000D03*
+X149600000Y-68500000D03*
+X183385000Y-67815000D03*
+X199400000Y-54500000D03*
+X186100000Y-52600000D03*
+X186400000Y-65900000D03*
+X178900000Y-56400000D03*
+X181000000Y-74500000D03*
+X209000000Y-55400000D03*
+X198500000Y-49400000D03*
+X198500000Y-45900000D03*
+X207700000Y-53200000D03*
+X175900000Y-56500000D03*
+X185300000Y-52600000D03*
+X198240000Y-59660000D03*
+X180200000Y-83000000D03*
+X180100000Y-74600000D03*
+X172150000Y-69650000D03*
+X180100000Y-76400000D03*
+X178900000Y-54100000D03*
+X200800000Y-49100000D03*
+X180100000Y-62500000D03*
+X188600000Y-61800000D03*
+X196800000Y-58400000D03*
+X181300000Y-80200000D03*
+X186500000Y-58700000D03*
+X176100000Y-79600000D03*
+X175900000Y-82800000D03*
+X188600000Y-70558968D03*
+X187350000Y-64550000D03*
+X202050000Y-64750000D03*
+X184200000Y-63000000D03*
+X180700000Y-53000000D03*
+X177700000Y-54300000D03*
+X184100000Y-64000000D03*
+X177700000Y-51700000D03*
+X187000000Y-56000000D03*
+X194200000Y-51700000D03*
+X183000000Y-51700000D03*
+X178300000Y-68500000D03*
+X178600000Y-77400000D03*
+X182800000Y-74600000D03*
+X173800000Y-47300000D03*
+X185000000Y-72100000D03*
+X202150000Y-68550000D03*
+X185300000Y-71300000D03*
+X200400000Y-68850000D03*
+X185000000Y-73700000D03*
+X184200000Y-82500000D03*
+X184100000Y-73700000D03*
+X182800000Y-77100000D03*
+X190800000Y-69500000D03*
+X189530539Y-70380529D03*
+X186710280Y-73610280D03*
+X187950000Y-80800000D03*
+X178200000Y-67150000D03*
+X162650000Y-67450000D03*
+X177400000Y-68507010D03*
+X174000000Y-69550000D03*
+D22*
+X171550000Y-69050000D02*
+X161554890Y-69050000D01*
+X145000000Y-70850000D02*
+X144125000Y-69975000D01*
+X180100000Y-74600000D02*
+X180100000Y-76400000D01*
+D23*
+X202594002Y-58740000D02*
+X202760000Y-58574002D01*
+D22*
+X144125000Y-69975000D02*
+X144125000Y-69500000D01*
+D23*
+X201099999Y-49399999D02*
+X200800000Y-49100000D01*
D22*
-X53070000Y-26480000D03*
-X53070000Y-25530000D03*
-X53070000Y-27430000D03*
-X50870000Y-27430000D03*
-X50870000Y-26480000D03*
-X50870000Y-25530000D03*
-X28600000Y-28500000D03*
-X28600000Y-29450000D03*
-X28600000Y-27550000D03*
-X30800000Y-27550000D03*
-X30800000Y-28500000D03*
-X30800000Y-29450000D03*
+X180200000Y-81300000D02*
+X181300000Y-80200000D01*
+X146442991Y-72197879D02*
+X146442990Y-71392990D01*
D23*
-X25454999Y-24250000D03*
-X25454999Y-32890000D03*
+X197140000Y-58740000D02*
+X202594002Y-58740000D01*
+X202760000Y-57780000D02*
+X202757001Y-57663361D01*
+D22*
+X147042989Y-72797877D02*
+X146442991Y-72197879D01*
+D24*
+X178900000Y-54100000D02*
+X179800000Y-55000000D01*
+D22*
+X145900000Y-70850000D02*
+X145000000Y-70850000D01*
+D23*
+X202757001Y-57663361D02*
+X202757001Y-51057001D01*
+X202757001Y-51057001D02*
+X201099999Y-49399999D01*
+D22*
+X154840868Y-75764022D02*
+X147959132Y-75764022D01*
D24*
-X21274999Y-24250000D03*
-X21274999Y-32890000D03*
+X186500000Y-57400000D02*
+X186500000Y-58700000D01*
+D22*
+X146442990Y-71392990D02*
+X145900000Y-70850000D01*
D25*
-X26369999Y-31770000D03*
-X26369999Y-30970000D03*
-D26*
-X26369999Y-30320000D03*
-X26369999Y-29820000D03*
-X26369999Y-29320000D03*
-X26369999Y-28820000D03*
-X26369999Y-28320000D03*
-X26369999Y-27820000D03*
-X26369999Y-27320000D03*
-X26369999Y-26820000D03*
+X144125000Y-67500000D02*
+X144125000Y-69500000D01*
+D23*
+X191980000Y-61800000D02*
+X189024264Y-61800000D01*
+X196800000Y-58400000D02*
+X197140000Y-58740000D01*
+D24*
+X179800000Y-55000000D02*
+X184100000Y-55000000D01*
+D22*
+X147959132Y-75764022D02*
+X147042989Y-74847879D01*
+D23*
+X202760000Y-58574002D02*
+X202760000Y-57780000D01*
+X196800000Y-58400000D02*
+X195380000Y-58400000D01*
+D22*
+X161554890Y-69050000D02*
+X154840868Y-75764022D01*
+D24*
+X184100000Y-55000000D02*
+X186500000Y-57400000D01*
+D23*
+X189024264Y-61800000D02*
+X188600000Y-61800000D01*
+D22*
+X180200000Y-83000000D02*
+X180200000Y-81300000D01*
+X147042989Y-74847879D02*
+X147042989Y-72797877D01*
+X172150000Y-69650000D02*
+X171550000Y-69050000D01*
+D23*
+X195380000Y-58400000D02*
+X191980000Y-61800000D01*
+D22*
+X175900000Y-82800000D02*
+X175900000Y-80400000D01*
+X176100000Y-80200000D02*
+X176100000Y-79600000D01*
+X175900000Y-80400000D02*
+X176100000Y-80200000D01*
+X201192999Y-68292999D02*
+X190757328Y-68292999D01*
+X203850000Y-70950000D02*
+X203850000Y-69450000D01*
+X202417361Y-67992999D02*
+X201492999Y-67992999D01*
+X188899999Y-70258969D02*
+X188600000Y-70558968D01*
+X190757328Y-68292999D02*
+X188899999Y-70150328D01*
+X188899999Y-70150328D02*
+X188899999Y-70258969D01*
+X208350000Y-73100000D02*
+X206000000Y-73100000D01*
+X211450000Y-69170000D02*
+X211450000Y-70000000D01*
+X214200000Y-66420000D02*
+X211450000Y-69170000D01*
+X201492999Y-67992999D02*
+X201192999Y-68292999D01*
+X203850000Y-69450000D02*
+X202417361Y-67992999D01*
+X206000000Y-73100000D02*
+X203850000Y-70950000D01*
+X211450000Y-70000000D02*
+X208350000Y-73100000D01*
+X187350000Y-64550000D02*
+X188000000Y-64550000D01*
+X195542999Y-64542999D02*
+X197032999Y-64542999D01*
+X192500000Y-63650000D02*
+X194650000Y-63650000D01*
+X188000000Y-64550000D02*
+X189082639Y-65632639D01*
+X190517361Y-65632639D02*
+X192500000Y-63650000D01*
+X189082639Y-65632639D02*
+X190517361Y-65632639D01*
+X197930000Y-65440000D02*
+X201360000Y-65440000D01*
+X201360000Y-65440000D02*
+X202050000Y-64750000D01*
+X194650000Y-63650000D02*
+X195542999Y-64542999D01*
+X197032999Y-64542999D02*
+X197930000Y-65440000D01*
+X178342999Y-54438110D02*
+X178347011Y-54442122D01*
+X183414012Y-63785988D02*
+X183900001Y-63299999D01*
+X178342999Y-53832639D02*
+X178342999Y-54438110D01*
+X179175638Y-53000000D02*
+X178342999Y-53832639D01*
+X183900001Y-63299999D02*
+X184200000Y-63000000D01*
+X182985988Y-63785988D02*
+X183414012Y-63785988D01*
+X178347011Y-56671373D02*
+X178347011Y-59147011D01*
+X178347011Y-56128627D02*
+X178342999Y-56132639D01*
+X178347011Y-54442122D02*
+X178347011Y-56128627D01*
+X180700000Y-53000000D02*
+X179175638Y-53000000D01*
+X178342999Y-56667361D02*
+X178347011Y-56671373D01*
+X178347011Y-59147011D02*
+X182985988Y-63785988D01*
+X178342999Y-56132639D02*
+X178342999Y-56667361D01*
+X177990000Y-56819251D02*
+X177990000Y-59294889D01*
+X177700000Y-54300000D02*
+X177990000Y-54590000D01*
+X177985988Y-56815239D02*
+X177990000Y-56819251D01*
+X177990000Y-59294889D02*
+X182995110Y-64299999D01*
+X177985988Y-55984761D02*
+X177985988Y-56815239D01*
+X183800001Y-64299999D02*
+X184100000Y-64000000D01*
+X177990000Y-55980749D02*
+X177985988Y-55984761D01*
+X177990000Y-54590000D02*
+X177990000Y-55980749D01*
+X182995110Y-64299999D02*
+X183800001Y-64299999D01*
D25*
-X26369999Y-26170000D03*
-X26369999Y-25370000D03*
-D11*
-G36*
-X27952691Y-33126053D02*
-G01*
-X27973926Y-33129203D01*
-X27994750Y-33134419D01*
-X28014962Y-33141651D01*
-X28034368Y-33150830D01*
-X28052781Y-33161866D01*
-X28070024Y-33174654D01*
-X28085930Y-33189070D01*
-X28100346Y-33204976D01*
-X28113134Y-33222219D01*
-X28124170Y-33240632D01*
-X28133349Y-33260038D01*
-X28140581Y-33280250D01*
-X28145797Y-33301074D01*
-X28148947Y-33322309D01*
-X28150000Y-33343750D01*
-X28150000Y-33856250D01*
-X28148947Y-33877691D01*
-X28145797Y-33898926D01*
-X28140581Y-33919750D01*
-X28133349Y-33939962D01*
-X28124170Y-33959368D01*
-X28113134Y-33977781D01*
-X28100346Y-33995024D01*
-X28085930Y-34010930D01*
-X28070024Y-34025346D01*
-X28052781Y-34038134D01*
-X28034368Y-34049170D01*
-X28014962Y-34058349D01*
-X27994750Y-34065581D01*
-X27973926Y-34070797D01*
-X27952691Y-34073947D01*
-X27931250Y-34075000D01*
-X27493750Y-34075000D01*
-X27472309Y-34073947D01*
-X27451074Y-34070797D01*
-X27430250Y-34065581D01*
-X27410038Y-34058349D01*
-X27390632Y-34049170D01*
-X27372219Y-34038134D01*
-X27354976Y-34025346D01*
-X27339070Y-34010930D01*
-X27324654Y-33995024D01*
-X27311866Y-33977781D01*
-X27300830Y-33959368D01*
-X27291651Y-33939962D01*
-X27284419Y-33919750D01*
-X27279203Y-33898926D01*
-X27276053Y-33877691D01*
-X27275000Y-33856250D01*
-X27275000Y-33343750D01*
-X27276053Y-33322309D01*
-X27279203Y-33301074D01*
-X27284419Y-33280250D01*
-X27291651Y-33260038D01*
-X27300830Y-33240632D01*
-X27311866Y-33222219D01*
-X27324654Y-33204976D01*
-X27339070Y-33189070D01*
-X27354976Y-33174654D01*
-X27372219Y-33161866D01*
-X27390632Y-33150830D01*
-X27410038Y-33141651D01*
-X27430250Y-33134419D01*
-X27451074Y-33129203D01*
-X27472309Y-33126053D01*
-X27493750Y-33125000D01*
-X27931250Y-33125000D01*
-X27952691Y-33126053D01*
-X27952691Y-33126053D01*
-G37*
-D12*
-X27712500Y-33600000D03*
-D11*
-G36*
-X29527691Y-33126053D02*
-G01*
-X29548926Y-33129203D01*
-X29569750Y-33134419D01*
-X29589962Y-33141651D01*
-X29609368Y-33150830D01*
-X29627781Y-33161866D01*
-X29645024Y-33174654D01*
-X29660930Y-33189070D01*
-X29675346Y-33204976D01*
-X29688134Y-33222219D01*
-X29699170Y-33240632D01*
-X29708349Y-33260038D01*
-X29715581Y-33280250D01*
-X29720797Y-33301074D01*
-X29723947Y-33322309D01*
-X29725000Y-33343750D01*
-X29725000Y-33856250D01*
-X29723947Y-33877691D01*
-X29720797Y-33898926D01*
-X29715581Y-33919750D01*
-X29708349Y-33939962D01*
-X29699170Y-33959368D01*
-X29688134Y-33977781D01*
-X29675346Y-33995024D01*
-X29660930Y-34010930D01*
-X29645024Y-34025346D01*
-X29627781Y-34038134D01*
-X29609368Y-34049170D01*
-X29589962Y-34058349D01*
-X29569750Y-34065581D01*
-X29548926Y-34070797D01*
-X29527691Y-34073947D01*
-X29506250Y-34075000D01*
-X29068750Y-34075000D01*
-X29047309Y-34073947D01*
-X29026074Y-34070797D01*
-X29005250Y-34065581D01*
-X28985038Y-34058349D01*
-X28965632Y-34049170D01*
-X28947219Y-34038134D01*
-X28929976Y-34025346D01*
-X28914070Y-34010930D01*
-X28899654Y-33995024D01*
-X28886866Y-33977781D01*
-X28875830Y-33959368D01*
-X28866651Y-33939962D01*
-X28859419Y-33919750D01*
-X28854203Y-33898926D01*
-X28851053Y-33877691D01*
-X28850000Y-33856250D01*
-X28850000Y-33343750D01*
-X28851053Y-33322309D01*
-X28854203Y-33301074D01*
-X28859419Y-33280250D01*
-X28866651Y-33260038D01*
-X28875830Y-33240632D01*
-X28886866Y-33222219D01*
-X28899654Y-33204976D01*
-X28914070Y-33189070D01*
-X28929976Y-33174654D01*
-X28947219Y-33161866D01*
-X28965632Y-33150830D01*
-X28985038Y-33141651D01*
-X29005250Y-33134419D01*
-X29026074Y-33129203D01*
-X29047309Y-33126053D01*
-X29068750Y-33125000D01*
-X29506250Y-33125000D01*
-X29527691Y-33126053D01*
-X29527691Y-33126053D01*
-G37*
-D12*
-X29287500Y-33600000D03*
-D11*
-G36*
-X27952691Y-23126053D02*
-G01*
-X27973926Y-23129203D01*
-X27994750Y-23134419D01*
-X28014962Y-23141651D01*
-X28034368Y-23150830D01*
-X28052781Y-23161866D01*
-X28070024Y-23174654D01*
-X28085930Y-23189070D01*
-X28100346Y-23204976D01*
-X28113134Y-23222219D01*
-X28124170Y-23240632D01*
-X28133349Y-23260038D01*
-X28140581Y-23280250D01*
-X28145797Y-23301074D01*
-X28148947Y-23322309D01*
-X28150000Y-23343750D01*
-X28150000Y-23856250D01*
-X28148947Y-23877691D01*
-X28145797Y-23898926D01*
-X28140581Y-23919750D01*
-X28133349Y-23939962D01*
-X28124170Y-23959368D01*
-X28113134Y-23977781D01*
-X28100346Y-23995024D01*
-X28085930Y-24010930D01*
-X28070024Y-24025346D01*
-X28052781Y-24038134D01*
-X28034368Y-24049170D01*
-X28014962Y-24058349D01*
-X27994750Y-24065581D01*
-X27973926Y-24070797D01*
-X27952691Y-24073947D01*
-X27931250Y-24075000D01*
-X27493750Y-24075000D01*
-X27472309Y-24073947D01*
-X27451074Y-24070797D01*
-X27430250Y-24065581D01*
-X27410038Y-24058349D01*
-X27390632Y-24049170D01*
-X27372219Y-24038134D01*
-X27354976Y-24025346D01*
-X27339070Y-24010930D01*
-X27324654Y-23995024D01*
-X27311866Y-23977781D01*
-X27300830Y-23959368D01*
-X27291651Y-23939962D01*
-X27284419Y-23919750D01*
-X27279203Y-23898926D01*
-X27276053Y-23877691D01*
-X27275000Y-23856250D01*
-X27275000Y-23343750D01*
-X27276053Y-23322309D01*
-X27279203Y-23301074D01*
-X27284419Y-23280250D01*
-X27291651Y-23260038D01*
-X27300830Y-23240632D01*
-X27311866Y-23222219D01*
-X27324654Y-23204976D01*
-X27339070Y-23189070D01*
-X27354976Y-23174654D01*
-X27372219Y-23161866D01*
-X27390632Y-23150830D01*
-X27410038Y-23141651D01*
-X27430250Y-23134419D01*
-X27451074Y-23129203D01*
-X27472309Y-23126053D01*
-X27493750Y-23125000D01*
-X27931250Y-23125000D01*
-X27952691Y-23126053D01*
-X27952691Y-23126053D01*
-G37*
-D12*
-X27712500Y-23600000D03*
-D11*
-G36*
-X29527691Y-23126053D02*
-G01*
-X29548926Y-23129203D01*
-X29569750Y-23134419D01*
-X29589962Y-23141651D01*
-X29609368Y-23150830D01*
-X29627781Y-23161866D01*
-X29645024Y-23174654D01*
-X29660930Y-23189070D01*
-X29675346Y-23204976D01*
-X29688134Y-23222219D01*
-X29699170Y-23240632D01*
-X29708349Y-23260038D01*
-X29715581Y-23280250D01*
-X29720797Y-23301074D01*
-X29723947Y-23322309D01*
-X29725000Y-23343750D01*
-X29725000Y-23856250D01*
-X29723947Y-23877691D01*
-X29720797Y-23898926D01*
-X29715581Y-23919750D01*
-X29708349Y-23939962D01*
-X29699170Y-23959368D01*
-X29688134Y-23977781D01*
-X29675346Y-23995024D01*
-X29660930Y-24010930D01*
-X29645024Y-24025346D01*
-X29627781Y-24038134D01*
-X29609368Y-24049170D01*
-X29589962Y-24058349D01*
-X29569750Y-24065581D01*
-X29548926Y-24070797D01*
-X29527691Y-24073947D01*
-X29506250Y-24075000D01*
-X29068750Y-24075000D01*
-X29047309Y-24073947D01*
-X29026074Y-24070797D01*
-X29005250Y-24065581D01*
-X28985038Y-24058349D01*
-X28965632Y-24049170D01*
-X28947219Y-24038134D01*
-X28929976Y-24025346D01*
-X28914070Y-24010930D01*
-X28899654Y-23995024D01*
-X28886866Y-23977781D01*
-X28875830Y-23959368D01*
-X28866651Y-23939962D01*
-X28859419Y-23919750D01*
-X28854203Y-23898926D01*
-X28851053Y-23877691D01*
-X28850000Y-23856250D01*
-X28850000Y-23343750D01*
-X28851053Y-23322309D01*
-X28854203Y-23301074D01*
-X28859419Y-23280250D01*
-X28866651Y-23260038D01*
-X28875830Y-23240632D01*
-X28886866Y-23222219D01*
-X28899654Y-23204976D01*
-X28914070Y-23189070D01*
-X28929976Y-23174654D01*
-X28947219Y-23161866D01*
-X28965632Y-23150830D01*
-X28985038Y-23141651D01*
-X29005250Y-23134419D01*
-X29026074Y-23129203D01*
-X29047309Y-23126053D01*
-X29068750Y-23125000D01*
-X29506250Y-23125000D01*
-X29527691Y-23126053D01*
-X29527691Y-23126053D01*
-G37*
-D12*
-X29287500Y-23600000D03*
-D11*
-G36*
-X56980142Y-29411174D02*
-G01*
-X57003803Y-29414684D01*
-X57027007Y-29420496D01*
-X57049529Y-29428554D01*
-X57071153Y-29438782D01*
-X57091670Y-29451079D01*
-X57110883Y-29465329D01*
-X57128607Y-29481393D01*
-X57144671Y-29499117D01*
-X57158921Y-29518330D01*
-X57171218Y-29538847D01*
-X57181446Y-29560471D01*
-X57189504Y-29582993D01*
-X57195316Y-29606197D01*
-X57198826Y-29629858D01*
-X57200000Y-29653750D01*
-X57200000Y-30141250D01*
-X57198826Y-30165142D01*
-X57195316Y-30188803D01*
-X57189504Y-30212007D01*
-X57181446Y-30234529D01*
-X57171218Y-30256153D01*
-X57158921Y-30276670D01*
-X57144671Y-30295883D01*
-X57128607Y-30313607D01*
-X57110883Y-30329671D01*
-X57091670Y-30343921D01*
-X57071153Y-30356218D01*
-X57049529Y-30366446D01*
-X57027007Y-30374504D01*
-X57003803Y-30380316D01*
-X56980142Y-30383826D01*
-X56956250Y-30385000D01*
-X56043750Y-30385000D01*
-X56019858Y-30383826D01*
-X55996197Y-30380316D01*
-X55972993Y-30374504D01*
-X55950471Y-30366446D01*
-X55928847Y-30356218D01*
-X55908330Y-30343921D01*
-X55889117Y-30329671D01*
-X55871393Y-30313607D01*
-X55855329Y-30295883D01*
-X55841079Y-30276670D01*
-X55828782Y-30256153D01*
-X55818554Y-30234529D01*
-X55810496Y-30212007D01*
-X55804684Y-30188803D01*
-X55801174Y-30165142D01*
-X55800000Y-30141250D01*
-X55800000Y-29653750D01*
-X55801174Y-29629858D01*
-X55804684Y-29606197D01*
-X55810496Y-29582993D01*
-X55818554Y-29560471D01*
-X55828782Y-29538847D01*
-X55841079Y-29518330D01*
-X55855329Y-29499117D01*
-X55871393Y-29481393D01*
-X55889117Y-29465329D01*
-X55908330Y-29451079D01*
-X55928847Y-29438782D01*
-X55950471Y-29428554D01*
-X55972993Y-29420496D01*
-X55996197Y-29414684D01*
-X56019858Y-29411174D01*
-X56043750Y-29410000D01*
-X56956250Y-29410000D01*
-X56980142Y-29411174D01*
-X56980142Y-29411174D01*
-G37*
-D17*
-X56500000Y-29897500D03*
-D11*
-G36*
-X56980142Y-27536174D02*
-G01*
-X57003803Y-27539684D01*
-X57027007Y-27545496D01*
-X57049529Y-27553554D01*
-X57071153Y-27563782D01*
-X57091670Y-27576079D01*
-X57110883Y-27590329D01*
-X57128607Y-27606393D01*
-X57144671Y-27624117D01*
-X57158921Y-27643330D01*
-X57171218Y-27663847D01*
-X57181446Y-27685471D01*
-X57189504Y-27707993D01*
-X57195316Y-27731197D01*
-X57198826Y-27754858D01*
-X57200000Y-27778750D01*
-X57200000Y-28266250D01*
-X57198826Y-28290142D01*
-X57195316Y-28313803D01*
-X57189504Y-28337007D01*
-X57181446Y-28359529D01*
-X57171218Y-28381153D01*
-X57158921Y-28401670D01*
-X57144671Y-28420883D01*
-X57128607Y-28438607D01*
-X57110883Y-28454671D01*
-X57091670Y-28468921D01*
-X57071153Y-28481218D01*
-X57049529Y-28491446D01*
-X57027007Y-28499504D01*
-X57003803Y-28505316D01*
-X56980142Y-28508826D01*
-X56956250Y-28510000D01*
-X56043750Y-28510000D01*
-X56019858Y-28508826D01*
-X55996197Y-28505316D01*
-X55972993Y-28499504D01*
-X55950471Y-28491446D01*
-X55928847Y-28481218D01*
-X55908330Y-28468921D01*
-X55889117Y-28454671D01*
-X55871393Y-28438607D01*
-X55855329Y-28420883D01*
-X55841079Y-28401670D01*
-X55828782Y-28381153D01*
-X55818554Y-28359529D01*
-X55810496Y-28337007D01*
-X55804684Y-28313803D01*
-X55801174Y-28290142D01*
-X55800000Y-28266250D01*
-X55800000Y-27778750D01*
-X55801174Y-27754858D01*
-X55804684Y-27731197D01*
-X55810496Y-27707993D01*
-X55818554Y-27685471D01*
-X55828782Y-27663847D01*
-X55841079Y-27643330D01*
-X55855329Y-27624117D01*
-X55871393Y-27606393D01*
-X55889117Y-27590329D01*
-X55908330Y-27576079D01*
-X55928847Y-27563782D01*
-X55950471Y-27553554D01*
-X55972993Y-27545496D01*
-X55996197Y-27539684D01*
-X56019858Y-27536174D01*
-X56043750Y-27535000D01*
-X56956250Y-27535000D01*
-X56980142Y-27536174D01*
-X56980142Y-27536174D01*
-G37*
-D17*
-X56500000Y-28022500D03*
-D11*
-G36*
-X50910142Y-23191174D02*
-G01*
-X50933803Y-23194684D01*
-X50957007Y-23200496D01*
-X50979529Y-23208554D01*
-X51001153Y-23218782D01*
-X51021670Y-23231079D01*
-X51040883Y-23245329D01*
-X51058607Y-23261393D01*
-X51074671Y-23279117D01*
-X51088921Y-23298330D01*
-X51101218Y-23318847D01*
-X51111446Y-23340471D01*
-X51119504Y-23362993D01*
-X51125316Y-23386197D01*
-X51128826Y-23409858D01*
-X51130000Y-23433750D01*
-X51130000Y-24346250D01*
-X51128826Y-24370142D01*
-X51125316Y-24393803D01*
-X51119504Y-24417007D01*
-X51111446Y-24439529D01*
-X51101218Y-24461153D01*
-X51088921Y-24481670D01*
-X51074671Y-24500883D01*
-X51058607Y-24518607D01*
-X51040883Y-24534671D01*
-X51021670Y-24548921D01*
-X51001153Y-24561218D01*
-X50979529Y-24571446D01*
-X50957007Y-24579504D01*
-X50933803Y-24585316D01*
-X50910142Y-24588826D01*
-X50886250Y-24590000D01*
-X50398750Y-24590000D01*
-X50374858Y-24588826D01*
-X50351197Y-24585316D01*
-X50327993Y-24579504D01*
-X50305471Y-24571446D01*
-X50283847Y-24561218D01*
-X50263330Y-24548921D01*
-X50244117Y-24534671D01*
-X50226393Y-24518607D01*
-X50210329Y-24500883D01*
-X50196079Y-24481670D01*
-X50183782Y-24461153D01*
-X50173554Y-24439529D01*
-X50165496Y-24417007D01*
-X50159684Y-24393803D01*
-X50156174Y-24370142D01*
-X50155000Y-24346250D01*
-X50155000Y-23433750D01*
-X50156174Y-23409858D01*
-X50159684Y-23386197D01*
-X50165496Y-23362993D01*
-X50173554Y-23340471D01*
-X50183782Y-23318847D01*
-X50196079Y-23298330D01*
-X50210329Y-23279117D01*
-X50226393Y-23261393D01*
-X50244117Y-23245329D01*
-X50263330Y-23231079D01*
-X50283847Y-23218782D01*
-X50305471Y-23208554D01*
-X50327993Y-23200496D01*
-X50351197Y-23194684D01*
-X50374858Y-23191174D01*
-X50398750Y-23190000D01*
-X50886250Y-23190000D01*
-X50910142Y-23191174D01*
-X50910142Y-23191174D01*
-G37*
-D17*
-X50642500Y-23890000D03*
-D11*
-G36*
-X52785142Y-23191174D02*
-G01*
-X52808803Y-23194684D01*
-X52832007Y-23200496D01*
-X52854529Y-23208554D01*
-X52876153Y-23218782D01*
-X52896670Y-23231079D01*
-X52915883Y-23245329D01*
-X52933607Y-23261393D01*
-X52949671Y-23279117D01*
-X52963921Y-23298330D01*
-X52976218Y-23318847D01*
-X52986446Y-23340471D01*
-X52994504Y-23362993D01*
-X53000316Y-23386197D01*
-X53003826Y-23409858D01*
-X53005000Y-23433750D01*
-X53005000Y-24346250D01*
-X53003826Y-24370142D01*
-X53000316Y-24393803D01*
-X52994504Y-24417007D01*
-X52986446Y-24439529D01*
-X52976218Y-24461153D01*
-X52963921Y-24481670D01*
-X52949671Y-24500883D01*
-X52933607Y-24518607D01*
-X52915883Y-24534671D01*
-X52896670Y-24548921D01*
-X52876153Y-24561218D01*
-X52854529Y-24571446D01*
-X52832007Y-24579504D01*
-X52808803Y-24585316D01*
-X52785142Y-24588826D01*
-X52761250Y-24590000D01*
-X52273750Y-24590000D01*
-X52249858Y-24588826D01*
-X52226197Y-24585316D01*
-X52202993Y-24579504D01*
-X52180471Y-24571446D01*
-X52158847Y-24561218D01*
-X52138330Y-24548921D01*
-X52119117Y-24534671D01*
-X52101393Y-24518607D01*
-X52085329Y-24500883D01*
-X52071079Y-24481670D01*
-X52058782Y-24461153D01*
-X52048554Y-24439529D01*
-X52040496Y-24417007D01*
-X52034684Y-24393803D01*
-X52031174Y-24370142D01*
-X52030000Y-24346250D01*
-X52030000Y-23433750D01*
-X52031174Y-23409858D01*
-X52034684Y-23386197D01*
-X52040496Y-23362993D01*
-X52048554Y-23340471D01*
-X52058782Y-23318847D01*
-X52071079Y-23298330D01*
-X52085329Y-23279117D01*
-X52101393Y-23261393D01*
-X52119117Y-23245329D01*
-X52138330Y-23231079D01*
-X52158847Y-23218782D01*
-X52180471Y-23208554D01*
-X52202993Y-23200496D01*
-X52226197Y-23194684D01*
-X52249858Y-23191174D01*
-X52273750Y-23190000D01*
-X52761250Y-23190000D01*
-X52785142Y-23191174D01*
-X52785142Y-23191174D01*
-G37*
-D17*
-X52517500Y-23890000D03*
-D27*
-X50300000Y-36750000D03*
-X52900000Y-36800000D03*
-X47800000Y-36800000D03*
-X39500000Y-22500000D03*
-X42700000Y-25797010D03*
-X31792990Y-28275000D03*
-X25150000Y-35225510D03*
-X42890000Y-27780000D03*
-X44250000Y-26530000D03*
-X48500000Y-28820000D03*
-X48710000Y-31440000D03*
-X58500000Y-23200000D03*
-X48620000Y-27890000D03*
-X49100000Y-28500000D03*
-X51470000Y-29480000D03*
-X53550000Y-29600000D03*
-X52200000Y-30400000D03*
-X55590000Y-29000000D03*
-X57150000Y-29050000D03*
-X55600000Y-30600000D03*
-X43640000Y-25760000D03*
-X36540000Y-23530000D03*
-X37000000Y-28100000D03*
-X32250000Y-31850000D03*
-X29480000Y-31570000D03*
-X30865510Y-32360000D03*
-X41640000Y-27460000D03*
-X41450000Y-26190000D03*
-X42730000Y-22130000D03*
-X40095772Y-33843328D03*
-X30050000Y-36800000D03*
-X39200000Y-27892990D03*
-X30292456Y-25856973D03*
-X37770000Y-24242990D03*
-X30340000Y-24170000D03*
-X49882999Y-24887575D03*
-X49200000Y-24900000D03*
-X49550000Y-25500000D03*
-X61680000Y-20540000D03*
-X62680000Y-20540000D03*
-X61680000Y-21540000D03*
-X62680000Y-21540000D03*
-X61680000Y-22540000D03*
-X62680000Y-22540000D03*
-X61680000Y-23540000D03*
-X62680000Y-23540000D03*
-X61680000Y-24540000D03*
-X62680000Y-24540000D03*
-X61680000Y-25540000D03*
-X62680000Y-25540000D03*
-X61680000Y-26540000D03*
-X62680000Y-26540000D03*
-X61680000Y-27540000D03*
-X62680000Y-27540000D03*
-X61680000Y-28540000D03*
-X62680000Y-28540000D03*
-X61680000Y-29540000D03*
-X62680000Y-29540000D03*
-X61680000Y-30540000D03*
-X62680000Y-30540000D03*
-X61680000Y-31540000D03*
-X62680000Y-31540000D03*
-X61680000Y-32540000D03*
-X62680000Y-32540000D03*
-X61680000Y-33540000D03*
-X62680000Y-33540000D03*
-X61680000Y-34540000D03*
-X62680000Y-34540000D03*
-X61680000Y-35540000D03*
-X62680000Y-35540000D03*
-X61680000Y-36540000D03*
-X62680000Y-36540000D03*
-X47656337Y-33643663D03*
-X48312533Y-32567179D03*
-X45320000Y-35300000D03*
-X32580000Y-23594000D03*
-X34612000Y-23594000D03*
-X47312000Y-22832000D03*
-X48582000Y-22832000D03*
-X47820000Y-23594000D03*
-X40230000Y-36810000D03*
-X42770000Y-36810000D03*
-X32580000Y-36830000D03*
-X27520000Y-36790000D03*
-X55470000Y-36820000D03*
-X57950000Y-36810000D03*
-X60610000Y-36760000D03*
-X55430000Y-20390000D03*
-X52880000Y-20430000D03*
-X50400000Y-20460000D03*
-X47800000Y-20410000D03*
-X45280000Y-20420000D03*
-X42740000Y-20420000D03*
-X40200000Y-20400000D03*
-X37690000Y-20380000D03*
-X35170000Y-20400000D03*
-X27510000Y-20420000D03*
-X25070000Y-20380000D03*
-X52980000Y-22550000D03*
-X50870000Y-25530000D03*
-X28592990Y-28500000D03*
-X51500030Y-31437000D03*
-X30330000Y-30470000D03*
-X27794282Y-25816292D03*
-X43196790Y-31800901D03*
-X41588375Y-30788003D03*
-X36014007Y-28902422D03*
-X36830287Y-30510287D03*
-X34070000Y-26200000D03*
-X35118772Y-27302757D03*
-X37449835Y-25864876D03*
-X29775000Y-29400000D03*
-X36113167Y-33728021D03*
-X36718588Y-33171011D03*
-X29800000Y-27575000D03*
-X24500000Y-28800000D03*
-X27700000Y-30900000D03*
-X24500000Y-27900000D03*
-X25150000Y-27200000D03*
-X25150000Y-30357010D03*
-X24770000Y-29600000D03*
-X32840000Y-30290000D03*
-X52315000Y-29530000D03*
-X55450000Y-32620000D03*
-X48000000Y-24750000D03*
-X48550000Y-24200000D03*
-X24300000Y-30450000D03*
-X24460000Y-26810000D03*
-X41050000Y-30250000D03*
-X40873240Y-31036298D03*
-X32620000Y-21830000D03*
-X33269503Y-26301268D03*
-X38114536Y-32091178D03*
-X32700000Y-26800000D03*
-X37360000Y-32030000D03*
-X44711128Y-30646985D03*
-X43238543Y-34479306D03*
-X40858662Y-27948664D03*
-X43887842Y-31491848D03*
-X42708663Y-33938663D03*
-X40831870Y-27058583D03*
-X31520000Y-34060002D03*
-X45330000Y-30211021D03*
-X45727511Y-28212050D03*
-X52870000Y-32640000D03*
-D28*
-X34000000Y-27237500D02*
-X34000000Y-28500000D01*
-X34500000Y-28000000D02*
-X34000000Y-28500000D01*
-X34500000Y-27237500D02*
-X34500000Y-28000000D01*
-D29*
-X25454999Y-32685000D02*
-X26369999Y-31770000D01*
-X25454999Y-32890000D02*
-X25454999Y-32685000D01*
-X26369999Y-25165000D02*
-X25454999Y-24250000D01*
-X26369999Y-25370000D02*
-X26369999Y-25165000D01*
-D30*
-X24942500Y-32890000D02*
-X25454999Y-32890000D01*
-D31*
-X50760000Y-26480000D02*
-X50692990Y-26412990D01*
-X48644833Y-27865167D02*
-X48620000Y-27890000D01*
-X49660000Y-26470000D02*
-X49890000Y-26470000D01*
-X49530000Y-26600000D02*
-X49660000Y-26470000D01*
-X49890000Y-26470000D02*
-X49890000Y-26312001D01*
-X50057999Y-26480000D02*
-X49890000Y-26312001D01*
-X50760000Y-26480000D02*
-X50057999Y-26480000D01*
-X50542500Y-24228074D02*
-X50542500Y-23890000D01*
-X49890000Y-26312001D02*
-X49882999Y-26305000D01*
-X49882999Y-26305000D02*
-X49882999Y-24887575D01*
-X49882999Y-24887575D02*
-X50542500Y-24228074D01*
-X49882999Y-24887575D02*
-X49882999Y-24887575D01*
-D28*
-X53720000Y-24770000D02*
-X52960000Y-25530000D01*
-X53720000Y-24355000D02*
-X53720000Y-24770000D01*
-X50760000Y-25530000D02*
-X50760000Y-25390684D01*
-X50760000Y-25390685D02*
-X50760000Y-25530000D01*
-D30*
-X53720000Y-23385000D02*
-X53720000Y-23290000D01*
-X53720000Y-23290000D02*
-X52980000Y-22550000D01*
-D31*
-X27690000Y-34650000D02*
-X26240000Y-36100000D01*
-D28*
-X42201159Y-32481159D02*
-X41950000Y-32230000D01*
-X42210000Y-30990000D02*
-X42210000Y-28650000D01*
-X34500000Y-30815000D02*
-X34600000Y-30915000D01*
-X41950000Y-31250000D02*
-X42210000Y-30990000D01*
-X41701212Y-33477825D02*
-X42201159Y-32977878D01*
-D31*
-X47640000Y-27920000D02*
-X47880000Y-27680000D01*
-D28*
-X27712500Y-23600000D02*
-X27400000Y-23600000D01*
-X37812124Y-27903293D02*
-X38278831Y-28370000D01*
-X35385000Y-30915000D02*
-X34600000Y-30915000D01*
-X41950000Y-32230000D02*
-X41950000Y-31250000D01*
-X38278831Y-28370000D02*
-X38439627Y-28370000D01*
-D31*
-X29780000Y-34650000D02*
-X27690000Y-34650000D01*
-X47640000Y-28650000D02*
-X47640000Y-27920000D01*
-D28*
-X35599998Y-30700002D02*
-X35385000Y-30915000D01*
-X34500000Y-29762500D02*
-X34500000Y-30815000D01*
-X34500000Y-29762500D02*
-X34750000Y-29762500D01*
-X42201159Y-32977878D02*
-X42201159Y-32481159D01*
-X34750000Y-29762500D02*
-X35262500Y-29250000D01*
-D31*
-X30300000Y-34130000D02*
-X29780000Y-34650000D01*
-D28*
-X37812124Y-27591161D02*
-X37812124Y-27903293D01*
-D31*
-X56775000Y-30842010D02*
-X56775000Y-31437000D01*
-X57456516Y-30842010D02*
-X56775000Y-30842010D01*
-X57867010Y-30431516D02*
-X57456516Y-30842010D01*
-X57867010Y-29902990D02*
-X57867010Y-30431516D01*
-X57892999Y-29877001D02*
-X57867010Y-29902990D01*
-X57849999Y-28038001D02*
-X58000000Y-28188002D01*
-X58000000Y-29195638D02*
-X57892999Y-29302639D01*
-X58000000Y-28188002D02*
-X58000000Y-29195638D01*
-X57892999Y-29302639D02*
-X57892999Y-29877001D01*
-D32*
-X56490000Y-28012500D02*
-X56500000Y-28022500D01*
-X54690000Y-28012500D02*
-X56490000Y-28012500D01*
-X55600000Y-27102500D02*
-X54690000Y-28012500D01*
-X55600000Y-26680000D02*
-X55600000Y-27102500D01*
-X55600000Y-27122500D02*
-X56500000Y-28022500D01*
-X55600000Y-26680000D02*
-X55600000Y-27122500D01*
-X57834498Y-28022500D02*
-X57849999Y-28038001D01*
-X56500000Y-28022500D02*
-X57834498Y-28022500D01*
-D30*
-X36470000Y-26475001D02*
-X36690000Y-26255001D01*
-X36470000Y-27215000D02*
-X36470000Y-26475001D01*
-X36470000Y-27035001D02*
-X35690000Y-26255001D01*
-X36470000Y-27215000D02*
-X36470000Y-27035001D01*
-D31*
-X35599998Y-30670002D02*
-X35599998Y-30700002D01*
-X38439627Y-28370000D02*
-X37900000Y-28370000D01*
-X37900000Y-28370000D02*
-X35599998Y-30670002D01*
-D28*
-X47880000Y-27680000D02*
-X48087823Y-27472177D01*
-X47900008Y-27591161D02*
-X47587876Y-27591161D01*
-X48087823Y-27403346D02*
-X47900008Y-27591161D01*
-X44759449Y-24762734D02*
-X45351650Y-24170533D01*
-D31*
-X35109677Y-32662999D02*
-X31767001Y-32662999D01*
-X35599998Y-32172678D02*
-X35109677Y-32662999D01*
-X31767001Y-32662999D02*
-X30300000Y-34130000D01*
-X35599998Y-30700002D02*
-X35599998Y-32172678D01*
-X56775000Y-31437000D02*
-X51500030Y-31437000D01*
-D30*
-X51924294Y-31437000D02*
-X51500030Y-31437000D01*
-X53090532Y-31437000D02*
-X51924294Y-31437000D01*
-D29*
-X57834498Y-26060000D02*
-X57834498Y-28022500D01*
-X59200000Y-24850000D02*
-X59044498Y-24850000D01*
-X59044498Y-24850000D02*
-X57834498Y-26060000D01*
-D31*
-X47640000Y-29240000D02*
-X47640000Y-28650000D01*
-X48130000Y-29730000D02*
-X47640000Y-29240000D01*
-X49565000Y-29730000D02*
-X48130000Y-29730000D01*
-X49810000Y-29485000D02*
-X49565000Y-29730000D01*
-X50230000Y-29485000D02*
-X49810000Y-29485000D01*
-X50230000Y-30166970D02*
-X50230000Y-29485000D01*
-X49265000Y-29770000D02*
-X48920000Y-29770000D01*
-X49930000Y-30435000D02*
-X49265000Y-29770000D01*
-X49930000Y-29605000D02*
-X49810000Y-29485000D01*
-D30*
-X30330000Y-34100000D02*
-X30330000Y-30470000D01*
-X30300000Y-34130000D02*
-X30330000Y-34100000D01*
-D28*
-X37812124Y-27591161D02*
-X37470963Y-27250000D01*
-X36505000Y-27250000D02*
-X36470000Y-27215000D01*
-X37470963Y-27250000D02*
-X36505000Y-27250000D01*
-D31*
-X38775292Y-28705665D02*
-X38439627Y-28370000D01*
-X42154335Y-28705665D02*
-X38775292Y-28705665D01*
-D28*
-X48180077Y-26998960D02*
-X48180077Y-26480077D01*
-X47587876Y-27591161D02*
-X48180077Y-26998960D01*
-X48180077Y-26480077D02*
-X47150000Y-25450000D01*
-X47150000Y-25450000D02*
-X47150000Y-23850000D01*
-X46757053Y-23457053D02*
-X45757053Y-23457053D01*
-X47150000Y-23850000D02*
-X46757053Y-23457053D01*
-X45757053Y-23765130D02*
-X45757053Y-23457053D01*
-X44759449Y-24762734D02*
-X45757053Y-23765130D01*
-X27775000Y-23675000D02*
-X27794282Y-23694282D01*
-X27794282Y-25392028D02*
-X27794282Y-25816292D01*
-X27794282Y-23694282D02*
-X27794282Y-25392028D01*
-D31*
-X47640000Y-28450000D02*
-X47120237Y-28969762D01*
-X47640000Y-28650000D02*
-X47640000Y-28450000D01*
-X42568670Y-29120000D02*
-X42154335Y-28705665D01*
-X47120237Y-28969762D02*
-X47039265Y-28888790D01*
-X46367319Y-29120000D02*
-X42568670Y-29120000D01*
-X47039265Y-28888790D02*
-X46598529Y-28888790D01*
-X46598529Y-28888790D02*
-X46367319Y-29120000D01*
-X49930000Y-30435000D02*
-X49930000Y-29605000D01*
-X50134908Y-30515000D02*
-X49930000Y-30515000D01*
-X50756909Y-31137001D02*
-X50134908Y-30515000D01*
-X51200031Y-31137001D02*
-X50756909Y-31137001D01*
-X51500030Y-31437000D02*
-X51200031Y-31137001D01*
-D28*
-X29287500Y-24327500D02*
-X28715000Y-24900000D01*
-X29287500Y-23600000D02*
-X29287500Y-24327500D01*
-X29287500Y-32972500D02*
-X28515000Y-32200000D01*
-X29287500Y-33600000D02*
-X29287500Y-32972500D01*
-X49930000Y-28370000D02*
-X49930000Y-28505000D01*
-X49580000Y-27620000D02*
-X50570000Y-27620000D01*
-X49540000Y-27580000D02*
-X49580000Y-27620000D01*
-X50570000Y-27620000D02*
-X50760000Y-27430000D01*
-X50760000Y-27430000D02*
-X50760000Y-27540000D01*
-X50760000Y-27540000D02*
-X49930000Y-28370000D01*
-D30*
-X43698788Y-33477825D02*
-X43164691Y-32943728D01*
-X43164691Y-32943728D02*
-X43164691Y-31833000D01*
-X43164691Y-31833000D02*
-X43196790Y-31800901D01*
-X41010273Y-32638134D02*
-X40401604Y-33246803D01*
-X41126688Y-32638134D02*
-X41010273Y-32638134D01*
-X41588375Y-32176448D02*
-X41588375Y-31212267D01*
-X41588375Y-31212267D02*
-X41588375Y-30788003D01*
-X40994105Y-32770718D02*
-X41588375Y-32176448D01*
-D28*
-X27294999Y-30320000D02*
-X26369999Y-30320000D01*
-X28400000Y-30340000D02*
-X28380000Y-30320000D01*
-X28400000Y-31012500D02*
-X28400000Y-30340000D01*
-X28380000Y-30320000D02*
-X27294999Y-30320000D01*
-X31115000Y-29765000D02*
-X30800000Y-29450000D01*
-X31115000Y-31000000D02*
-X31115000Y-29765000D01*
-X30030638Y-29975000D02*
-X29685638Y-30320000D01*
-X30595000Y-29450000D02*
-X30070000Y-29975000D01*
-X30800000Y-29450000D02*
-X30595000Y-29450000D01*
-X29685638Y-30320000D02*
-X28380000Y-30320000D01*
-X30070000Y-29975000D02*
-X30030638Y-29975000D01*
-X28600000Y-26900000D02*
-X28600000Y-26087500D01*
-X28624999Y-26924999D02*
-X28600000Y-26900000D01*
-X31115000Y-27235000D02*
-X30800000Y-27550000D01*
-X31115000Y-26000000D02*
-X31115000Y-27235000D01*
-X30595000Y-27550000D02*
-X29969999Y-26924999D01*
-X29969999Y-26924999D02*
-X29549363Y-26924999D01*
-X30800000Y-27550000D02*
-X30595000Y-27550000D01*
-X29549363Y-26924999D02*
-X28624999Y-26924999D01*
-X27512398Y-27320000D02*
-X27294999Y-27320000D01*
-X27864399Y-26967999D02*
-X27512398Y-27320000D01*
-X28581999Y-26967999D02*
-X27864399Y-26967999D01*
-X27294999Y-27320000D02*
-X26369999Y-27320000D01*
-X28624999Y-26924999D02*
-X28581999Y-26967999D01*
-X32987500Y-27750000D02*
-X32737500Y-27750000D01*
-X33500000Y-27237500D02*
-X32987500Y-27750000D01*
-X32300000Y-27750000D02*
-X32737500Y-27750000D01*
-X32085000Y-26000000D02*
-X32085000Y-27535000D01*
-X32085000Y-27535000D02*
-X32300000Y-27750000D01*
-X33250000Y-29762500D02*
-X32737500Y-29250000D01*
-X33500000Y-29762500D02*
-X33250000Y-29762500D01*
-X32541790Y-29445710D02*
-X32737500Y-29250000D01*
-X32085000Y-29902500D02*
-X32541790Y-29445710D01*
-X32085000Y-31000000D02*
-X32085000Y-29902500D01*
-X35861585Y-28750000D02*
-X36014007Y-28902422D01*
-X35262500Y-28750000D02*
-X35861585Y-28750000D01*
-D30*
-X36890574Y-30510287D02*
-X36830287Y-30510287D01*
-X37812124Y-29588737D02*
-X36890574Y-30510287D01*
-X33770001Y-25900001D02*
-X34070000Y-26200000D01*
-X31320000Y-23450000D02*
-X33770001Y-25900001D01*
-X31320000Y-21100000D02*
-X31320000Y-23450000D01*
-X35262500Y-27446485D02*
-X35118772Y-27302757D01*
-X35262500Y-27750000D02*
-X35262500Y-27446485D01*
-X38519231Y-26884054D02*
-X37500053Y-25864876D01*
-X37500053Y-25864876D02*
-X37449835Y-25864876D01*
-X37269875Y-25864876D02*
-X36690000Y-25285001D01*
-X37449835Y-25864876D02*
-X37269875Y-25864876D01*
-X36024168Y-24950833D02*
-X35690000Y-25285001D01*
-X38872785Y-26530500D02*
-X37432285Y-25090000D01*
-X37432285Y-25090000D02*
-X37430000Y-25090000D01*
-X37430000Y-25090000D02*
-X37080000Y-24740000D01*
-X37080000Y-24740000D02*
-X36235001Y-24740000D01*
-X36235001Y-24740000D02*
-X36024168Y-24950833D01*
-X35134490Y-25840511D02*
-X35355832Y-25619169D01*
-X35355832Y-25619169D02*
-X35690000Y-25285001D01*
-X35134490Y-26561149D02*
-X35134490Y-25840511D01*
-X35700000Y-28250000D02*
-X35865501Y-28084499D01*
-X35865501Y-28084499D02*
-X35865501Y-27292160D01*
-X35262500Y-28250000D02*
-X35700000Y-28250000D01*
-X35865501Y-27292160D02*
-X35134490Y-26561149D01*
-D28*
-X27294999Y-29320000D02*
-X26369999Y-29320000D01*
-X27740000Y-29320000D02*
-X27294999Y-29320000D01*
-X27870000Y-29450000D02*
-X27740000Y-29320000D01*
-X28600000Y-29450000D02*
-X27870000Y-29450000D01*
-X28600000Y-29450000D02*
-X29725000Y-29450000D01*
-X29725000Y-29450000D02*
-X29775000Y-29400000D01*
-X26369999Y-29320000D02*
-X25532397Y-29320000D01*
-X25444999Y-28320000D02*
-X26369999Y-28320000D01*
-X25387998Y-28377001D02*
-X25444999Y-28320000D01*
-X25387998Y-29175601D02*
-X25387998Y-28377001D01*
-X25532397Y-29320000D02*
-X25387998Y-29175601D01*
-X36546421Y-33737011D02*
-X36537431Y-33728021D01*
-X39320704Y-33737011D02*
-X36546421Y-33737011D01*
-X40640551Y-32417164D02*
-X39320704Y-33737011D01*
-X36537431Y-33728021D02*
-X36113167Y-33728021D01*
-X26319999Y-28770000D02*
-X26369999Y-28820000D01*
-X27294999Y-28820000D02*
-X27769999Y-28345000D01*
-X26369999Y-28820000D02*
-X27294999Y-28820000D01*
-X26369999Y-27820000D02*
-X27759998Y-27820000D01*
-X27769999Y-28345000D02*
-X27769999Y-27830001D01*
-X27759998Y-27820000D02*
-X27769999Y-27830001D01*
-X27769999Y-27830001D02*
-X28025000Y-27575000D01*
-X28025000Y-27575000D02*
-X29375736Y-27575000D01*
-X29375736Y-27575000D02*
-X29800000Y-27575000D01*
-X36741011Y-33171011D02*
-X36718588Y-33171011D01*
-X40496874Y-31853736D02*
-X38970610Y-33380000D01*
-X36950000Y-33380000D02*
-X36741011Y-33171011D01*
-X38970610Y-33380000D02*
-X36950000Y-33380000D01*
-X34000000Y-30515000D02*
-X33600000Y-30915000D01*
-X34000000Y-29762500D02*
-X34000000Y-30515000D01*
-D32*
-X21420000Y-21780000D02*
-X23750000Y-24110000D01*
-X23750000Y-24110000D02*
-X23750000Y-26100000D01*
-X23750000Y-26100000D02*
-X24460000Y-26810000D01*
-D28*
-X33465000Y-30915000D02*
-X32840000Y-30290000D01*
-X33600000Y-30915000D02*
-X33465000Y-30915000D01*
-D31*
-X52080000Y-26480000D02*
-X52960000Y-26480000D01*
-X51972999Y-26587001D02*
-X52080000Y-26480000D01*
-X51972999Y-27894603D02*
-X51972999Y-26587001D01*
-X51800000Y-28235000D02*
-X51800000Y-28067602D01*
-X52315000Y-28750000D02*
-X51800000Y-28235000D01*
-X51800000Y-28067602D02*
-X51972999Y-27894603D01*
-X51972999Y-26372999D02*
-X52080000Y-26480000D01*
-X51972999Y-24965417D02*
-X51972999Y-26372999D01*
-X52417500Y-23890000D02*
-X52417500Y-24520916D01*
-X52417500Y-24520916D02*
-X51972999Y-24965417D01*
-X51373058Y-28494544D02*
-X51500001Y-28367601D01*
-X51500001Y-28367601D02*
-X51800000Y-28067602D01*
-X52315000Y-28750000D02*
-X52315000Y-29530000D01*
-D29*
-X59260000Y-34600000D02*
-X57280000Y-32620000D01*
-X59260000Y-36100000D02*
-X59260000Y-34600000D01*
-X55874264Y-32620000D02*
-X55450000Y-32620000D01*
-X57280000Y-32620000D02*
-X55874264Y-32620000D01*
-D31*
-X48299999Y-24450001D02*
-X48850000Y-23900000D01*
-X52517500Y-23890000D02*
-X51360490Y-22732990D01*
-X50017010Y-22732990D02*
-X48299999Y-24450001D01*
-X51360490Y-22732990D02*
-X50017010Y-22732990D01*
-X48850000Y-23900000D02*
-X48000000Y-24750000D01*
-D30*
-X40092544Y-30843852D02*
-X39337781Y-31598615D01*
-X39337781Y-31598615D02*
-X39203875Y-31598615D01*
-X39579891Y-31356504D02*
-X40686395Y-30250000D01*
-X40686395Y-30250000D02*
-X41050000Y-30250000D01*
-X39939864Y-31703638D02*
-X39939864Y-31775780D01*
-X40446097Y-31197405D02*
-X39939864Y-31703638D01*
-X40607205Y-31036298D02*
-X40873240Y-31036298D01*
-X39933445Y-31710058D02*
-X40607205Y-31036298D01*
-X55029999Y-21949999D02*
-X54180000Y-21100000D01*
-X55380000Y-22300000D02*
-X55029999Y-21949999D01*
-X60500000Y-24026098D02*
-X58773902Y-22300000D01*
-X47472970Y-31042970D02*
-X48492990Y-32062990D01*
-X47472970Y-30888045D02*
-X47472970Y-31042970D01*
-X57742216Y-32057784D02*
-X58600000Y-31200000D01*
-X48492990Y-32062990D02*
-X54937010Y-32062990D01*
-X58773902Y-22300000D02*
-X55380000Y-22300000D01*
-X46880769Y-30295844D02*
-X47472970Y-30888045D01*
-X58600000Y-31200000D02*
-X59700000Y-31200000D01*
-X54937010Y-32062990D02*
-X54942216Y-32057784D01*
-X59700000Y-31200000D02*
-X60500000Y-30400000D01*
-X54942216Y-32057784D02*
-X57742216Y-32057784D01*
-X60500000Y-30400000D02*
-X60500000Y-24026098D01*
-X44644543Y-23463426D02*
-X44052342Y-24055627D01*
-X49100000Y-21100000D02*
-X48250001Y-21949999D01*
-X44644543Y-23463426D02*
-X44656574Y-23463426D01*
-X44656574Y-23463426D02*
-X44656574Y-23453426D01*
-X44656574Y-23453426D02*
-X45930000Y-22180000D01*
-X46301590Y-22180000D02*
-X46361590Y-22240000D01*
-X45930000Y-22180000D02*
-X46301590Y-22180000D01*
-X47960000Y-22240000D02*
-X49100000Y-21100000D01*
-X46361590Y-22240000D02*
-X47960000Y-22240000D01*
-X43707927Y-23702073D02*
-X43698788Y-23702073D01*
-X46560000Y-21100000D02*
-X46310000Y-21100000D01*
-X46310000Y-21100000D02*
-X43707927Y-23702073D01*
-X44020000Y-21730000D02*
-X44020000Y-21100000D01*
-X41874569Y-23875431D02*
-X44020000Y-21730000D01*
-X40560000Y-23267968D02*
-X40560000Y-22020000D01*
-X40560000Y-22020000D02*
-X41480000Y-21100000D01*
-X41347658Y-24055627D02*
-X41347658Y-24055626D01*
-X41347658Y-24055626D02*
-X40560000Y-23267968D01*
-X40130000Y-22290000D02*
-X39789999Y-21949999D01*
-X40994105Y-24409180D02*
-X40130000Y-23545076D01*
-X39789999Y-21949999D02*
-X38940000Y-21100000D01*
-X40130000Y-23545076D02*
-X40130000Y-22290000D01*
-X37249999Y-21949999D02*
-X36400000Y-21100000D01*
-X40640551Y-24762734D02*
-X37827816Y-21949999D01*
-X37827816Y-21949999D02*
-X37249999Y-21949999D01*
-X35045989Y-22285989D02*
-X34709999Y-21949999D01*
-X34709999Y-21949999D02*
-X33860000Y-21100000D01*
-X40286998Y-25116287D02*
-X37456700Y-22285989D01*
-X37456700Y-22285989D02*
-X35045989Y-22285989D01*
-X40446097Y-25982493D02*
-X40446097Y-25982492D01*
-X37076489Y-22612884D02*
-X33402884Y-22612884D01*
-X39933445Y-25469840D02*
-X37076489Y-22612884D01*
-X32919999Y-22129999D02*
-X32620000Y-21830000D01*
-X33402884Y-22612884D02*
-X32919999Y-22129999D01*
-X38114536Y-31666914D02*
-X38114536Y-32091178D01*
-X38872785Y-30649398D02*
-X38114536Y-31407647D01*
-X38114536Y-31407647D02*
-X38114536Y-31666914D01*
-X33269503Y-26301268D02*
-X33269503Y-25868351D01*
-X33269503Y-25868351D02*
-X29637141Y-22235989D01*
-X27089999Y-21949999D02*
-X26240000Y-21100000D01*
-X29637141Y-22235989D02*
-X27375989Y-22235989D01*
-X27375989Y-22235989D02*
-X27089999Y-21949999D01*
-X37659999Y-31730001D02*
-X37360000Y-32030000D01*
-X38651815Y-30163261D02*
-X37659999Y-31155077D01*
-X37659999Y-31155077D02*
-X37659999Y-31730001D01*
-X26342959Y-22314499D02*
-X23907499Y-22314499D01*
-X29507074Y-22550000D02*
-X27192542Y-22550000D01*
-X32700000Y-26800000D02*
-X32700000Y-25742926D01*
-X23907499Y-22314499D02*
-X23700000Y-22107000D01*
-X32700000Y-25742926D02*
-X29507074Y-22550000D01*
-X27192542Y-22550000D02*
-X26907041Y-22264499D01*
-X26907041Y-22264499D02*
-X26392959Y-22264499D01*
-X23700000Y-22107000D02*
-X23700000Y-21100000D01*
-X26392959Y-22264499D02*
-X26342959Y-22314499D01*
-X53330001Y-35250001D02*
-X54180000Y-36100000D01*
-X52730000Y-34650000D02*
-X53330001Y-35250001D01*
-X45113002Y-32063611D02*
-X47699391Y-34650000D01*
-X47699391Y-34650000D02*
-X52730000Y-34650000D01*
-X48442285Y-36100000D02*
-X49100000Y-36100000D01*
-X44759449Y-32417164D02*
-X48442285Y-36100000D01*
-X46560000Y-34924823D02*
-X46560000Y-36100000D01*
-X44405895Y-32770718D02*
-X46560000Y-34924823D01*
-X42850680Y-33318136D02*
-X44020000Y-34487456D01*
-X44938984Y-31182487D02*
-X44454086Y-31182487D01*
-X45466555Y-31710058D02*
-X44938984Y-31182487D01*
-X44020000Y-34897919D02*
-X44020000Y-36100000D01*
-X42614011Y-31504551D02*
-X42614011Y-31975449D01*
-X43198562Y-30920000D02*
-X42614011Y-31504551D01*
-X42850680Y-32212118D02*
-X42850680Y-33318136D01*
-X44454086Y-31182487D02*
-X44191599Y-30920000D01*
-X44020000Y-34487456D02*
-X44020000Y-34897919D01*
-X42614011Y-31975449D02*
-X42850680Y-32212118D01*
-X44191599Y-30920000D02*
-X43198562Y-30920000D01*
-X45820109Y-31356504D02*
-X45227908Y-30764303D01*
-X44828446Y-30764303D02*
-X44711128Y-30646985D01*
-X45227908Y-30764303D02*
-X44828446Y-30764303D01*
-X43100694Y-34479306D02*
-X43238543Y-34479306D01*
-X41480000Y-36100000D02*
-X43100694Y-34479306D01*
-X39738990Y-26689600D02*
-X39201070Y-26151680D01*
-X39950000Y-27040002D02*
-X40858662Y-27948664D01*
-X39226338Y-26176947D02*
-X39950000Y-26900609D01*
-X39950000Y-26900609D02*
-X39950000Y-27040002D01*
-X43478702Y-32311972D02*
-X43887842Y-31902832D01*
-X43478702Y-32550631D02*
-X43478702Y-32311972D01*
-X44052342Y-33124271D02*
-X43478702Y-32550631D01*
-X43887842Y-31902832D02*
-X43887842Y-31491848D01*
-X36400000Y-36100000D02*
-X37555989Y-34944011D01*
-X41703315Y-34944011D02*
-X42408664Y-34238662D01*
-X37555989Y-34944011D02*
-X41703315Y-34944011D01*
-X42408664Y-34238662D02*
-X42708663Y-33938663D01*
-X45935014Y-30057197D02*
-X46527215Y-30649398D01*
-X45553328Y-29675511D02*
-X45935014Y-30057197D01*
-X42300000Y-31374484D02*
-X43998973Y-29675511D01*
-X42536669Y-33353331D02*
-X42536669Y-32342185D01*
-X33860000Y-36100000D02*
-X35330000Y-34630000D01*
-X43998973Y-29675511D02*
-X45553328Y-29675511D01*
-X35330000Y-34630000D02*
-X41260000Y-34630000D01*
-X41260000Y-34630000D02*
-X42536669Y-33353331D01*
-X42536669Y-32342185D02*
-X42300000Y-32105516D01*
-X42300000Y-32105516D02*
-X42300000Y-31374484D01*
-X39156498Y-25400000D02*
-X39125000Y-25400000D01*
-X40092544Y-26336046D02*
-X39156498Y-25400000D01*
-X39579891Y-25823394D02*
-X40815080Y-27058583D01*
-X40815080Y-27058583D02*
-X40831870Y-27058583D01*
-X30760000Y-34600000D02*
-X29260000Y-36100000D01*
-X34374078Y-34600000D02*
-X30760000Y-34600000D01*
-X29260000Y-36100000D02*
-X28780000Y-36100000D01*
-X38650037Y-31579252D02*
-X38650037Y-32348219D01*
-X38650037Y-32348219D02*
-X38371577Y-32626679D01*
-X39226338Y-31002951D02*
-X38650037Y-31579252D01*
-X38371577Y-32626679D02*
-X36347399Y-32626679D01*
-X36347399Y-32626679D02*
-X34374078Y-34600000D01*
-X27250888Y-34061612D02*
-X27712500Y-33600000D01*
-X24688388Y-34061612D02*
-X27250888Y-34061612D01*
-X23700000Y-35050000D02*
-X24688388Y-34061612D01*
-X23700000Y-36100000D02*
-X23700000Y-35050000D01*
-X31944264Y-34060002D02*
-X31520000Y-34060002D01*
-X34469998Y-34060002D02*
-X31944264Y-34060002D01*
-X37055737Y-31052231D02*
-X37055737Y-31474263D01*
-X38298261Y-29809707D02*
-X37055737Y-31052231D01*
-X37055737Y-31474263D02*
-X34469998Y-34060002D01*
-D29*
-X53285000Y-27755000D02*
-X52960000Y-27430000D01*
-X53285000Y-28750000D02*
-X53285000Y-27755000D01*
-D30*
-X46173662Y-31002951D02*
-X45381732Y-30211021D01*
-X45381732Y-30211021D02*
-X45330000Y-30211021D01*
-X46259879Y-28212050D02*
-X46151775Y-28212050D01*
-X47234322Y-27237607D02*
-X46259879Y-28212050D01*
-X46151775Y-28212050D02*
-X45727511Y-28212050D01*
-X48855000Y-33580000D02*
-X49830000Y-32605000D01*
-X48800000Y-33580000D02*
-X48855000Y-33580000D01*
-X49830000Y-32605000D02*
-X52835000Y-32605000D01*
-X52835000Y-32605000D02*
-X52870000Y-32640000D01*
-X47560000Y-32660000D02*
-X48485000Y-33585000D01*
-X47560000Y-32400000D02*
-X47560000Y-32660000D01*
-X46173662Y-31002951D02*
-X46173662Y-31013662D01*
-X48485000Y-33585000D02*
-X48755711Y-33585000D01*
-X46173662Y-31013662D02*
-X47560000Y-32400000D01*
-X56773000Y-33585000D02*
-X56775000Y-33587000D01*
-X52613000Y-33575000D02*
-X52625000Y-33587000D01*
-X49830000Y-33575000D02*
-X52613000Y-33575000D01*
-X53307000Y-33587000D02*
-X56775000Y-33587000D01*
-X52625000Y-33587000D02*
-X53307000Y-33587000D01*
-D11*
-G36*
-X24413444Y-25524821D02*
-G01*
-X24392999Y-25627603D01*
-X24392999Y-25732397D01*
-X24413444Y-25835179D01*
-X24453547Y-25931996D01*
-X24511768Y-26019130D01*
-X24585869Y-26093231D01*
-X24673003Y-26151452D01*
-X24769820Y-26191555D01*
-X24872602Y-26212000D01*
-X24977396Y-26212000D01*
-X25080178Y-26191555D01*
-X25176995Y-26151452D01*
-X25264129Y-26093231D01*
-X25338230Y-26019130D01*
-X25396451Y-25931996D01*
-X25436554Y-25835179D01*
-X25453375Y-25750616D01*
-X25472052Y-25785559D01*
-X25497920Y-25817079D01*
-X25529440Y-25842947D01*
-X25565400Y-25862168D01*
-X25604420Y-25874004D01*
-X25644999Y-25878001D01*
-X27094999Y-25878001D01*
-X27135578Y-25874004D01*
-X27174598Y-25862168D01*
-X27210558Y-25842947D01*
-X27242078Y-25817079D01*
-X27267946Y-25785559D01*
-X27287167Y-25749599D01*
-X27297185Y-25716573D01*
-X27287282Y-25766357D01*
-X27287282Y-25866227D01*
-X27306766Y-25964178D01*
-X27344985Y-26056446D01*
-X27400470Y-26139486D01*
-X27471088Y-26210104D01*
-X27554128Y-26265589D01*
-X27646396Y-26303808D01*
-X27744347Y-26323292D01*
-X27844217Y-26323292D01*
-X27942168Y-26303808D01*
-X28034436Y-26265589D01*
-X28050000Y-26255190D01*
-X28050000Y-26660999D01*
-X27879475Y-26660999D01*
-X27864399Y-26659514D01*
-X27849323Y-26660999D01*
-X27849320Y-26660999D01*
-X27804217Y-26665441D01*
-X27746347Y-26682996D01*
-X27693013Y-26711503D01*
-X27657979Y-26740255D01*
-X27646267Y-26749867D01*
-X27636656Y-26761578D01*
-X27385235Y-27013000D01*
-X27298269Y-27013000D01*
-X27299003Y-27010579D01*
-X27303000Y-26970000D01*
-X27303000Y-26670000D01*
-X27299003Y-26629421D01*
-X27287167Y-26590401D01*
-X27267946Y-26554441D01*
-X27242078Y-26522921D01*
-X27210558Y-26497053D01*
-X27174598Y-26477832D01*
-X27135578Y-26465996D01*
-X27094999Y-26461999D01*
-X25644999Y-26461999D01*
-X25604420Y-26465996D01*
-X25565400Y-26477832D01*
-X25529440Y-26497053D01*
-X25497920Y-26522921D01*
-X25472052Y-26554441D01*
-X25452831Y-26590401D01*
-X25440995Y-26629421D01*
-X25436998Y-26670000D01*
-X25436998Y-26970000D01*
-X25440995Y-27010579D01*
-X25452831Y-27049599D01*
-X25463736Y-27070000D01*
-X25452831Y-27090401D01*
-X25440995Y-27129421D01*
-X25436998Y-27170000D01*
-X25436998Y-27470000D01*
-X25440995Y-27510579D01*
-X25452831Y-27549599D01*
-X25463736Y-27570000D01*
-X25452831Y-27590401D01*
-X25440995Y-27629421D01*
-X25436998Y-27670000D01*
-X25436998Y-27970000D01*
-X25440995Y-28010579D01*
-X25441387Y-28011871D01*
-X25429923Y-28013000D01*
-X25429920Y-28013000D01*
-X25384817Y-28017442D01*
-X25326947Y-28034997D01*
-X25273614Y-28063504D01*
-X25226867Y-28101868D01*
-X25217252Y-28113584D01*
-X25181577Y-28149259D01*
-X25169867Y-28158869D01*
-X25160257Y-28170579D01*
-X25160254Y-28170582D01*
-X25131503Y-28205616D01*
-X25102996Y-28258949D01*
-X25085441Y-28316819D01*
-X25079513Y-28377001D01*
-X25080999Y-28392087D01*
-X25080998Y-29160525D01*
-X25079513Y-29175601D01*
-X25080998Y-29190677D01*
-X25080998Y-29190679D01*
-X25085440Y-29235782D01*
-X25102995Y-29293652D01*
-X25131502Y-29346985D01*
-X25169866Y-29393733D01*
-X25181582Y-29403348D01*
-X25304650Y-29526416D01*
-X25314265Y-29538132D01*
-X25361012Y-29576496D01*
-X25414345Y-29605003D01*
-X25445532Y-29614464D01*
-X25440995Y-29629421D01*
-X25436998Y-29670000D01*
-X25436998Y-29970000D01*
-X25440995Y-30010579D01*
-X25452831Y-30049599D01*
-X25463736Y-30070000D01*
-X25452831Y-30090401D01*
-X25440995Y-30129421D01*
-X25436998Y-30170000D01*
-X25436998Y-30470000D01*
-X25440995Y-30510579D01*
-X25452831Y-30549599D01*
-X25472052Y-30585559D01*
-X25497920Y-30617079D01*
-X25529440Y-30642947D01*
-X25565400Y-30662168D01*
-X25604420Y-30674004D01*
-X25644999Y-30678001D01*
-X27094999Y-30678001D01*
-X27135578Y-30674004D01*
-X27174598Y-30662168D01*
-X27210558Y-30642947D01*
-X27229989Y-30627000D01*
-X28050000Y-30627000D01*
-X28050000Y-30670989D01*
-X28039060Y-30691456D01*
-X28018830Y-30758145D01*
-X28011999Y-30827500D01*
-X28011999Y-31172500D01*
-X28018830Y-31241855D01*
-X28039060Y-31308544D01*
-X28050000Y-31329011D01*
-X28050000Y-31750000D01*
-X27303000Y-31750000D01*
-X27303000Y-31470000D01*
-X27299003Y-31429421D01*
-X27287167Y-31390401D01*
-X27267946Y-31354441D01*
-X27242078Y-31322921D01*
-X27210558Y-31297053D01*
-X27174598Y-31277832D01*
-X27135578Y-31265996D01*
-X27094999Y-31261999D01*
-X25644999Y-31261999D01*
-X25604420Y-31265996D01*
-X25565400Y-31277832D01*
-X25529440Y-31297053D01*
-X25497920Y-31322921D01*
-X25472052Y-31354441D01*
-X25453375Y-31389384D01*
-X25436554Y-31304821D01*
-X25396451Y-31208004D01*
-X25338230Y-31120870D01*
-X25264129Y-31046769D01*
-X25176995Y-30988548D01*
-X25080178Y-30948445D01*
-X24977396Y-30928000D01*
-X24872602Y-30928000D01*
-X24769820Y-30948445D01*
-X24673003Y-30988548D01*
-X24585869Y-31046769D01*
-X24511768Y-31120870D01*
-X24453547Y-31208004D01*
-X24413444Y-31304821D01*
-X24392999Y-31407603D01*
-X24392999Y-31512397D01*
-X24413444Y-31615179D01*
-X24453547Y-31711996D01*
-X24478940Y-31750000D01*
-X23950000Y-31750000D01*
-X23950000Y-25450000D01*
-X24444436Y-25450000D01*
-X24413444Y-25524821D01*
-X24413444Y-25524821D01*
-G37*
-X24413444Y-25524821D02*
-X24392999Y-25627603D01*
-X24392999Y-25732397D01*
-X24413444Y-25835179D01*
-X24453547Y-25931996D01*
-X24511768Y-26019130D01*
-X24585869Y-26093231D01*
-X24673003Y-26151452D01*
-X24769820Y-26191555D01*
-X24872602Y-26212000D01*
-X24977396Y-26212000D01*
-X25080178Y-26191555D01*
-X25176995Y-26151452D01*
-X25264129Y-26093231D01*
-X25338230Y-26019130D01*
-X25396451Y-25931996D01*
-X25436554Y-25835179D01*
-X25453375Y-25750616D01*
-X25472052Y-25785559D01*
-X25497920Y-25817079D01*
-X25529440Y-25842947D01*
-X25565400Y-25862168D01*
-X25604420Y-25874004D01*
-X25644999Y-25878001D01*
-X27094999Y-25878001D01*
-X27135578Y-25874004D01*
-X27174598Y-25862168D01*
-X27210558Y-25842947D01*
-X27242078Y-25817079D01*
-X27267946Y-25785559D01*
-X27287167Y-25749599D01*
-X27297185Y-25716573D01*
-X27287282Y-25766357D01*
-X27287282Y-25866227D01*
-X27306766Y-25964178D01*
-X27344985Y-26056446D01*
-X27400470Y-26139486D01*
-X27471088Y-26210104D01*
-X27554128Y-26265589D01*
-X27646396Y-26303808D01*
-X27744347Y-26323292D01*
-X27844217Y-26323292D01*
-X27942168Y-26303808D01*
-X28034436Y-26265589D01*
-X28050000Y-26255190D01*
-X28050000Y-26660999D01*
-X27879475Y-26660999D01*
-X27864399Y-26659514D01*
-X27849323Y-26660999D01*
-X27849320Y-26660999D01*
-X27804217Y-26665441D01*
-X27746347Y-26682996D01*
-X27693013Y-26711503D01*
-X27657979Y-26740255D01*
-X27646267Y-26749867D01*
-X27636656Y-26761578D01*
-X27385235Y-27013000D01*
-X27298269Y-27013000D01*
-X27299003Y-27010579D01*
-X27303000Y-26970000D01*
-X27303000Y-26670000D01*
-X27299003Y-26629421D01*
-X27287167Y-26590401D01*
-X27267946Y-26554441D01*
-X27242078Y-26522921D01*
-X27210558Y-26497053D01*
-X27174598Y-26477832D01*
-X27135578Y-26465996D01*
-X27094999Y-26461999D01*
-X25644999Y-26461999D01*
-X25604420Y-26465996D01*
-X25565400Y-26477832D01*
-X25529440Y-26497053D01*
-X25497920Y-26522921D01*
-X25472052Y-26554441D01*
-X25452831Y-26590401D01*
-X25440995Y-26629421D01*
-X25436998Y-26670000D01*
-X25436998Y-26970000D01*
-X25440995Y-27010579D01*
-X25452831Y-27049599D01*
-X25463736Y-27070000D01*
-X25452831Y-27090401D01*
-X25440995Y-27129421D01*
-X25436998Y-27170000D01*
-X25436998Y-27470000D01*
-X25440995Y-27510579D01*
-X25452831Y-27549599D01*
-X25463736Y-27570000D01*
-X25452831Y-27590401D01*
-X25440995Y-27629421D01*
-X25436998Y-27670000D01*
-X25436998Y-27970000D01*
-X25440995Y-28010579D01*
-X25441387Y-28011871D01*
-X25429923Y-28013000D01*
-X25429920Y-28013000D01*
-X25384817Y-28017442D01*
-X25326947Y-28034997D01*
-X25273614Y-28063504D01*
-X25226867Y-28101868D01*
-X25217252Y-28113584D01*
-X25181577Y-28149259D01*
-X25169867Y-28158869D01*
-X25160257Y-28170579D01*
-X25160254Y-28170582D01*
-X25131503Y-28205616D01*
-X25102996Y-28258949D01*
-X25085441Y-28316819D01*
-X25079513Y-28377001D01*
-X25080999Y-28392087D01*
-X25080998Y-29160525D01*
-X25079513Y-29175601D01*
-X25080998Y-29190677D01*
-X25080998Y-29190679D01*
-X25085440Y-29235782D01*
-X25102995Y-29293652D01*
-X25131502Y-29346985D01*
-X25169866Y-29393733D01*
-X25181582Y-29403348D01*
-X25304650Y-29526416D01*
-X25314265Y-29538132D01*
-X25361012Y-29576496D01*
-X25414345Y-29605003D01*
-X25445532Y-29614464D01*
-X25440995Y-29629421D01*
-X25436998Y-29670000D01*
-X25436998Y-29970000D01*
-X25440995Y-30010579D01*
-X25452831Y-30049599D01*
-X25463736Y-30070000D01*
-X25452831Y-30090401D01*
-X25440995Y-30129421D01*
-X25436998Y-30170000D01*
-X25436998Y-30470000D01*
-X25440995Y-30510579D01*
-X25452831Y-30549599D01*
-X25472052Y-30585559D01*
-X25497920Y-30617079D01*
-X25529440Y-30642947D01*
-X25565400Y-30662168D01*
-X25604420Y-30674004D01*
-X25644999Y-30678001D01*
-X27094999Y-30678001D01*
-X27135578Y-30674004D01*
-X27174598Y-30662168D01*
-X27210558Y-30642947D01*
-X27229989Y-30627000D01*
-X28050000Y-30627000D01*
-X28050000Y-30670989D01*
-X28039060Y-30691456D01*
-X28018830Y-30758145D01*
-X28011999Y-30827500D01*
-X28011999Y-31172500D01*
-X28018830Y-31241855D01*
-X28039060Y-31308544D01*
-X28050000Y-31329011D01*
-X28050000Y-31750000D01*
-X27303000Y-31750000D01*
-X27303000Y-31470000D01*
-X27299003Y-31429421D01*
-X27287167Y-31390401D01*
-X27267946Y-31354441D01*
-X27242078Y-31322921D01*
-X27210558Y-31297053D01*
-X27174598Y-31277832D01*
-X27135578Y-31265996D01*
-X27094999Y-31261999D01*
-X25644999Y-31261999D01*
-X25604420Y-31265996D01*
-X25565400Y-31277832D01*
-X25529440Y-31297053D01*
-X25497920Y-31322921D01*
-X25472052Y-31354441D01*
-X25453375Y-31389384D01*
-X25436554Y-31304821D01*
-X25396451Y-31208004D01*
-X25338230Y-31120870D01*
-X25264129Y-31046769D01*
-X25176995Y-30988548D01*
-X25080178Y-30948445D01*
-X24977396Y-30928000D01*
-X24872602Y-30928000D01*
-X24769820Y-30948445D01*
-X24673003Y-30988548D01*
-X24585869Y-31046769D01*
-X24511768Y-31120870D01*
-X24453547Y-31208004D01*
-X24413444Y-31304821D01*
-X24392999Y-31407603D01*
-X24392999Y-31512397D01*
-X24413444Y-31615179D01*
-X24453547Y-31711996D01*
-X24478940Y-31750000D01*
-X23950000Y-31750000D01*
-X23950000Y-25450000D01*
-X24444436Y-25450000D01*
-X24413444Y-25524821D01*
-D30*
-G36*
-X46246000Y-35054886D02*
-G01*
-X46246000Y-35060907D01*
-X46142587Y-35092277D01*
-X45954011Y-35193073D01*
-X45788722Y-35328722D01*
-X45653073Y-35494011D01*
-X45552277Y-35682587D01*
-X45490206Y-35887205D01*
-X45469248Y-36100000D01*
-X45490206Y-36312795D01*
-X45552277Y-36517413D01*
-X45653073Y-36705989D01*
-X45788722Y-36871278D01*
-X45954011Y-37006927D01*
-X46142587Y-37107723D01*
-X46247340Y-37139500D01*
-X44332660Y-37139500D01*
-X44437413Y-37107723D01*
-X44625989Y-37006927D01*
-X44791278Y-36871278D01*
-X44926927Y-36705989D01*
-X45027723Y-36517413D01*
-X45089794Y-36312795D01*
-X45110752Y-36100000D01*
-X45089794Y-35887205D01*
-X45027723Y-35682587D01*
-X44926927Y-35494011D01*
-X44791278Y-35328722D01*
-X44625989Y-35193073D01*
-X44437413Y-35092277D01*
-X44334000Y-35060907D01*
-X44334000Y-34502874D01*
-X44335519Y-34487455D01*
-X44333957Y-34471598D01*
-X44329456Y-34425901D01*
-X44311502Y-34366712D01*
-X44282344Y-34312163D01*
-X44253222Y-34276676D01*
-X44287350Y-34258434D01*
-X44334575Y-34219678D01*
-X44440641Y-34113612D01*
-X44479397Y-34066387D01*
-X44508196Y-34012509D01*
-X44525930Y-33954048D01*
-X44526166Y-33951649D01*
-X44528565Y-33951413D01*
-X44587026Y-33933679D01*
-X44640904Y-33904880D01*
-X44688129Y-33866124D01*
-X44794195Y-33760058D01*
-X44832951Y-33712833D01*
-X44857681Y-33666567D01*
-X46246000Y-35054886D01*
-X46246000Y-35054886D01*
-G37*
-X46246000Y-35054886D02*
-X46246000Y-35060907D01*
-X46142587Y-35092277D01*
-X45954011Y-35193073D01*
-X45788722Y-35328722D01*
-X45653073Y-35494011D01*
-X45552277Y-35682587D01*
-X45490206Y-35887205D01*
-X45469248Y-36100000D01*
-X45490206Y-36312795D01*
-X45552277Y-36517413D01*
-X45653073Y-36705989D01*
-X45788722Y-36871278D01*
-X45954011Y-37006927D01*
-X46142587Y-37107723D01*
-X46247340Y-37139500D01*
-X44332660Y-37139500D01*
-X44437413Y-37107723D01*
-X44625989Y-37006927D01*
-X44791278Y-36871278D01*
-X44926927Y-36705989D01*
-X45027723Y-36517413D01*
-X45089794Y-36312795D01*
-X45110752Y-36100000D01*
-X45089794Y-35887205D01*
-X45027723Y-35682587D01*
-X44926927Y-35494011D01*
-X44791278Y-35328722D01*
-X44625989Y-35193073D01*
-X44437413Y-35092277D01*
-X44334000Y-35060907D01*
-X44334000Y-34502874D01*
-X44335519Y-34487455D01*
-X44333957Y-34471598D01*
-X44329456Y-34425901D01*
-X44311502Y-34366712D01*
-X44282344Y-34312163D01*
-X44253222Y-34276676D01*
-X44287350Y-34258434D01*
-X44334575Y-34219678D01*
-X44440641Y-34113612D01*
-X44479397Y-34066387D01*
-X44508196Y-34012509D01*
-X44525930Y-33954048D01*
-X44526166Y-33951649D01*
-X44528565Y-33951413D01*
-X44587026Y-33933679D01*
-X44640904Y-33904880D01*
-X44688129Y-33866124D01*
-X44794195Y-33760058D01*
-X44832951Y-33712833D01*
-X44857681Y-33666567D01*
-X46246000Y-35054886D01*
-G36*
-X22682671Y-20082671D02*
-G01*
-X22653242Y-20118530D01*
-X22631374Y-20159442D01*
-X22617908Y-20203834D01*
-X22613361Y-20250000D01*
-X22613361Y-21950000D01*
-X22617908Y-21996166D01*
-X22631374Y-22040558D01*
-X22653242Y-22081470D01*
-X22682671Y-22117329D01*
-X22718530Y-22146758D01*
-X22759442Y-22168626D01*
-X22803834Y-22182092D01*
-X22850000Y-22186639D01*
-X23396030Y-22186639D01*
-X23408498Y-22227743D01*
-X23437656Y-22282292D01*
-X23476894Y-22330106D01*
-X23488882Y-22339944D01*
-X23674555Y-22525617D01*
-X23684393Y-22537605D01*
-X23728687Y-22573955D01*
-X23732206Y-22576843D01*
-X23786754Y-22606001D01*
-X23845944Y-22623955D01*
-X23907499Y-22630018D01*
-X23922920Y-22628499D01*
-X26327538Y-22628499D01*
-X26342959Y-22630018D01*
-X26358380Y-22628499D01*
-X26358381Y-22628499D01*
-X26404514Y-22623955D01*
-X26463703Y-22606001D01*
-X26515154Y-22578499D01*
-X26776979Y-22578499D01*
-X26959602Y-22761123D01*
-X26969436Y-22773106D01*
-X27017249Y-22812344D01*
-X27071798Y-22841502D01*
-X27130987Y-22859456D01*
-X27177120Y-22864000D01*
-X27177122Y-22864000D01*
-X27192541Y-22865519D01*
-X27207960Y-22864000D01*
-X29377012Y-22864000D01*
-X29401373Y-22888361D01*
-X29068750Y-22888361D01*
-X28979908Y-22897111D01*
-X28894480Y-22923025D01*
-X28815749Y-22965108D01*
-X28746741Y-23021741D01*
-X28690108Y-23090749D01*
-X28648025Y-23169480D01*
-X28622111Y-23254908D01*
-X28613361Y-23343750D01*
-X28613361Y-23856250D01*
-X28622111Y-23945092D01*
-X28648025Y-24030520D01*
-X28690108Y-24109251D01*
-X28746741Y-24178259D01*
-X28815749Y-24234892D01*
-X28874328Y-24266204D01*
-X28797171Y-24343361D01*
-X28567500Y-24343361D01*
-X28492558Y-24350742D01*
-X28420496Y-24372602D01*
-X28354084Y-24408100D01*
-X28295873Y-24455873D01*
-X28248100Y-24514084D01*
-X28212602Y-24580496D01*
-X28190742Y-24652558D01*
-X28183361Y-24727500D01*
-X28183361Y-25072500D01*
-X28190742Y-25147442D01*
-X28203528Y-25189592D01*
-X28190122Y-25182426D01*
-X28145944Y-25169025D01*
-X28129782Y-25167433D01*
-X28129782Y-24264007D01*
-X28184251Y-24234892D01*
-X28253259Y-24178259D01*
-X28309892Y-24109251D01*
-X28351975Y-24030520D01*
-X28377889Y-23945092D01*
-X28386639Y-23856250D01*
-X28386639Y-23343750D01*
-X28377889Y-23254908D01*
-X28351975Y-23169480D01*
-X28309892Y-23090749D01*
-X28253259Y-23021741D01*
-X28184251Y-22965108D01*
-X28105520Y-22923025D01*
-X28020092Y-22897111D01*
-X27931250Y-22888361D01*
-X27493750Y-22888361D01*
-X27404908Y-22897111D01*
-X27319480Y-22923025D01*
-X27240749Y-22965108D01*
-X27171741Y-23021741D01*
-X27115108Y-23090749D01*
-X27073025Y-23169480D01*
-X27047111Y-23254908D01*
-X27038361Y-23343750D01*
-X27038361Y-23856250D01*
-X27047111Y-23945092D01*
-X27073025Y-24030520D01*
-X27115108Y-24109251D01*
-X27171741Y-24178259D01*
-X27240749Y-24234892D01*
-X27319480Y-24276975D01*
-X27404908Y-24302889D01*
-X27458782Y-24308195D01*
-X27458783Y-25164500D01*
-X25145129Y-25164500D01*
-X25088491Y-25141040D01*
-X24980203Y-25119500D01*
-X24869795Y-25119500D01*
-X24761507Y-25141040D01*
-X24704869Y-25164500D01*
-X24385500Y-25164500D01*
-X24385500Y-24141211D01*
-X24388574Y-24110000D01*
-X24376304Y-23985420D01*
-X24361012Y-23935009D01*
-X24339965Y-23865628D01*
-X24280955Y-23755227D01*
-X24266293Y-23737361D01*
-X24221436Y-23682703D01*
-X24221435Y-23682702D01*
-X24201540Y-23658460D01*
-X24177299Y-23638566D01*
-X22506639Y-21967907D01*
-X22506639Y-20930000D01*
-X22502092Y-20883834D01*
-X22488626Y-20839442D01*
-X22466758Y-20798530D01*
-X22437329Y-20762671D01*
-X22401470Y-20733242D01*
-X22360558Y-20711374D01*
-X22316166Y-20697908D01*
-X22270000Y-20693361D01*
-X20570000Y-20693361D01*
-X20523834Y-20697908D01*
-X20479442Y-20711374D01*
-X20438530Y-20733242D01*
-X20402671Y-20762671D01*
-X20373242Y-20798530D01*
-X20351374Y-20839442D01*
-X20337908Y-20883834D01*
-X20333361Y-20930000D01*
-X20333361Y-22630000D01*
-X20337908Y-22676166D01*
-X20351374Y-22720558D01*
-X20373242Y-22761470D01*
-X20402671Y-22797329D01*
-X20438530Y-22826758D01*
-X20479442Y-22848626D01*
-X20523834Y-22862092D01*
-X20570000Y-22866639D01*
-X21607907Y-22866639D01*
-X23114500Y-24373233D01*
-X23114501Y-26068787D01*
-X23111427Y-26100000D01*
-X23123696Y-26224579D01*
-X23160035Y-26344371D01*
-X23219045Y-26454772D01*
-X23226861Y-26464296D01*
-X23298461Y-26551540D01*
-X23322708Y-26571439D01*
-X23664500Y-26913232D01*
-X23664500Y-31800000D01*
-X23669025Y-31845944D01*
-X23682426Y-31890122D01*
-X23704189Y-31930837D01*
-X23733476Y-31966524D01*
-X23769163Y-31995811D01*
-X23809878Y-32017574D01*
-X23854056Y-32030975D01*
-X23900000Y-32035500D01*
-X27983361Y-32035500D01*
-X27983361Y-32372500D01*
-X27990742Y-32447442D01*
-X28012602Y-32519504D01*
-X28048100Y-32585916D01*
-X28095873Y-32644127D01*
-X28154084Y-32691900D01*
-X28220496Y-32727398D01*
-X28292558Y-32749258D01*
-X28367500Y-32756639D01*
-X28597171Y-32756639D01*
-X28810196Y-32969665D01*
-X28746741Y-33021741D01*
-X28690108Y-33090749D01*
-X28648025Y-33169480D01*
-X28622111Y-33254908D01*
-X28613361Y-33343750D01*
-X28613361Y-33856250D01*
-X28622111Y-33945092D01*
-X28648025Y-34030520D01*
-X28690108Y-34109251D01*
-X28694416Y-34114500D01*
-X28305584Y-34114500D01*
-X28309892Y-34109251D01*
-X28351975Y-34030520D01*
-X28377889Y-33945092D01*
-X28386639Y-33856250D01*
-X28386639Y-33343750D01*
-X28377889Y-33254908D01*
-X28351975Y-33169480D01*
-X28309892Y-33090749D01*
-X28253259Y-33021741D01*
-X28184251Y-32965108D01*
-X28105520Y-32923025D01*
-X28020092Y-32897111D01*
-X27931250Y-32888361D01*
-X27493750Y-32888361D01*
-X27404908Y-32897111D01*
-X27319480Y-32923025D01*
-X27240749Y-32965108D01*
-X27171741Y-33021741D01*
-X27115108Y-33090749D01*
-X27073025Y-33169480D01*
-X27047111Y-33254908D01*
-X27038361Y-33343750D01*
-X27038361Y-33747612D01*
-X24703809Y-33747612D01*
-X24688388Y-33746093D01*
-X24672966Y-33747612D01*
-X24626833Y-33752156D01*
-X24567644Y-33770110D01*
-X24513095Y-33799268D01*
-X24465282Y-33838506D01*
-X24455451Y-33850486D01*
-X23488877Y-34817061D01*
-X23476895Y-34826894D01*
-X23447044Y-34863269D01*
-X23437656Y-34874708D01*
-X23408499Y-34929256D01*
-X23390544Y-34988446D01*
-X23388090Y-35013361D01*
-X22850000Y-35013361D01*
-X22803834Y-35017908D01*
-X22759442Y-35031374D01*
-X22718530Y-35053242D01*
-X22682671Y-35082671D01*
-X22653242Y-35118530D01*
-X22631374Y-35159442D01*
-X22617908Y-35203834D01*
-X22613361Y-35250000D01*
-X22613361Y-36950000D01*
-X22617908Y-36996166D01*
-X22631374Y-37040558D01*
-X22653242Y-37081470D01*
-X22682671Y-37117329D01*
-X22709686Y-37139500D01*
-X20312740Y-37139500D01*
-X20292568Y-37137522D01*
-X20285425Y-37135366D01*
-X20278837Y-37131862D01*
-X20273046Y-37127140D01*
-X20268289Y-37121389D01*
-X20264737Y-37114821D01*
-X20262530Y-37107690D01*
-X20260500Y-37088376D01*
-X20260500Y-20112740D01*
-X20262478Y-20092568D01*
-X20264634Y-20085427D01*
-X20268139Y-20078835D01*
-X20272861Y-20073045D01*
-X20278608Y-20068290D01*
-X20285179Y-20064738D01*
-X20292311Y-20062530D01*
-X20311624Y-20060500D01*
-X22709686Y-20060500D01*
-X22682671Y-20082671D01*
-X22682671Y-20082671D01*
-G37*
-X22682671Y-20082671D02*
-X22653242Y-20118530D01*
-X22631374Y-20159442D01*
-X22617908Y-20203834D01*
-X22613361Y-20250000D01*
-X22613361Y-21950000D01*
-X22617908Y-21996166D01*
-X22631374Y-22040558D01*
-X22653242Y-22081470D01*
-X22682671Y-22117329D01*
-X22718530Y-22146758D01*
-X22759442Y-22168626D01*
-X22803834Y-22182092D01*
-X22850000Y-22186639D01*
-X23396030Y-22186639D01*
-X23408498Y-22227743D01*
-X23437656Y-22282292D01*
-X23476894Y-22330106D01*
-X23488882Y-22339944D01*
-X23674555Y-22525617D01*
-X23684393Y-22537605D01*
-X23728687Y-22573955D01*
-X23732206Y-22576843D01*
-X23786754Y-22606001D01*
-X23845944Y-22623955D01*
-X23907499Y-22630018D01*
-X23922920Y-22628499D01*
-X26327538Y-22628499D01*
-X26342959Y-22630018D01*
-X26358380Y-22628499D01*
-X26358381Y-22628499D01*
-X26404514Y-22623955D01*
-X26463703Y-22606001D01*
-X26515154Y-22578499D01*
-X26776979Y-22578499D01*
-X26959602Y-22761123D01*
-X26969436Y-22773106D01*
-X27017249Y-22812344D01*
-X27071798Y-22841502D01*
-X27130987Y-22859456D01*
-X27177120Y-22864000D01*
-X27177122Y-22864000D01*
-X27192541Y-22865519D01*
-X27207960Y-22864000D01*
-X29377012Y-22864000D01*
-X29401373Y-22888361D01*
-X29068750Y-22888361D01*
-X28979908Y-22897111D01*
-X28894480Y-22923025D01*
-X28815749Y-22965108D01*
-X28746741Y-23021741D01*
-X28690108Y-23090749D01*
-X28648025Y-23169480D01*
-X28622111Y-23254908D01*
-X28613361Y-23343750D01*
-X28613361Y-23856250D01*
-X28622111Y-23945092D01*
-X28648025Y-24030520D01*
-X28690108Y-24109251D01*
-X28746741Y-24178259D01*
-X28815749Y-24234892D01*
-X28874328Y-24266204D01*
-X28797171Y-24343361D01*
-X28567500Y-24343361D01*
-X28492558Y-24350742D01*
-X28420496Y-24372602D01*
-X28354084Y-24408100D01*
-X28295873Y-24455873D01*
-X28248100Y-24514084D01*
-X28212602Y-24580496D01*
-X28190742Y-24652558D01*
-X28183361Y-24727500D01*
-X28183361Y-25072500D01*
-X28190742Y-25147442D01*
-X28203528Y-25189592D01*
-X28190122Y-25182426D01*
-X28145944Y-25169025D01*
-X28129782Y-25167433D01*
-X28129782Y-24264007D01*
-X28184251Y-24234892D01*
-X28253259Y-24178259D01*
-X28309892Y-24109251D01*
-X28351975Y-24030520D01*
-X28377889Y-23945092D01*
-X28386639Y-23856250D01*
-X28386639Y-23343750D01*
-X28377889Y-23254908D01*
-X28351975Y-23169480D01*
-X28309892Y-23090749D01*
-X28253259Y-23021741D01*
-X28184251Y-22965108D01*
-X28105520Y-22923025D01*
-X28020092Y-22897111D01*
-X27931250Y-22888361D01*
-X27493750Y-22888361D01*
-X27404908Y-22897111D01*
-X27319480Y-22923025D01*
-X27240749Y-22965108D01*
-X27171741Y-23021741D01*
-X27115108Y-23090749D01*
-X27073025Y-23169480D01*
-X27047111Y-23254908D01*
-X27038361Y-23343750D01*
-X27038361Y-23856250D01*
-X27047111Y-23945092D01*
-X27073025Y-24030520D01*
-X27115108Y-24109251D01*
-X27171741Y-24178259D01*
-X27240749Y-24234892D01*
-X27319480Y-24276975D01*
-X27404908Y-24302889D01*
-X27458782Y-24308195D01*
-X27458783Y-25164500D01*
-X25145129Y-25164500D01*
-X25088491Y-25141040D01*
-X24980203Y-25119500D01*
-X24869795Y-25119500D01*
-X24761507Y-25141040D01*
-X24704869Y-25164500D01*
-X24385500Y-25164500D01*
-X24385500Y-24141211D01*
-X24388574Y-24110000D01*
-X24376304Y-23985420D01*
-X24361012Y-23935009D01*
-X24339965Y-23865628D01*
-X24280955Y-23755227D01*
-X24266293Y-23737361D01*
-X24221436Y-23682703D01*
-X24221435Y-23682702D01*
-X24201540Y-23658460D01*
-X24177299Y-23638566D01*
-X22506639Y-21967907D01*
-X22506639Y-20930000D01*
-X22502092Y-20883834D01*
-X22488626Y-20839442D01*
-X22466758Y-20798530D01*
-X22437329Y-20762671D01*
-X22401470Y-20733242D01*
-X22360558Y-20711374D01*
-X22316166Y-20697908D01*
-X22270000Y-20693361D01*
-X20570000Y-20693361D01*
-X20523834Y-20697908D01*
-X20479442Y-20711374D01*
-X20438530Y-20733242D01*
-X20402671Y-20762671D01*
-X20373242Y-20798530D01*
-X20351374Y-20839442D01*
-X20337908Y-20883834D01*
-X20333361Y-20930000D01*
-X20333361Y-22630000D01*
-X20337908Y-22676166D01*
-X20351374Y-22720558D01*
-X20373242Y-22761470D01*
-X20402671Y-22797329D01*
-X20438530Y-22826758D01*
-X20479442Y-22848626D01*
-X20523834Y-22862092D01*
-X20570000Y-22866639D01*
-X21607907Y-22866639D01*
-X23114500Y-24373233D01*
-X23114501Y-26068787D01*
-X23111427Y-26100000D01*
-X23123696Y-26224579D01*
-X23160035Y-26344371D01*
-X23219045Y-26454772D01*
-X23226861Y-26464296D01*
-X23298461Y-26551540D01*
-X23322708Y-26571439D01*
-X23664500Y-26913232D01*
-X23664500Y-31800000D01*
-X23669025Y-31845944D01*
-X23682426Y-31890122D01*
-X23704189Y-31930837D01*
-X23733476Y-31966524D01*
-X23769163Y-31995811D01*
-X23809878Y-32017574D01*
-X23854056Y-32030975D01*
-X23900000Y-32035500D01*
-X27983361Y-32035500D01*
-X27983361Y-32372500D01*
-X27990742Y-32447442D01*
-X28012602Y-32519504D01*
-X28048100Y-32585916D01*
-X28095873Y-32644127D01*
-X28154084Y-32691900D01*
-X28220496Y-32727398D01*
-X28292558Y-32749258D01*
-X28367500Y-32756639D01*
-X28597171Y-32756639D01*
-X28810196Y-32969665D01*
-X28746741Y-33021741D01*
-X28690108Y-33090749D01*
-X28648025Y-33169480D01*
-X28622111Y-33254908D01*
-X28613361Y-33343750D01*
-X28613361Y-33856250D01*
-X28622111Y-33945092D01*
-X28648025Y-34030520D01*
-X28690108Y-34109251D01*
-X28694416Y-34114500D01*
-X28305584Y-34114500D01*
-X28309892Y-34109251D01*
-X28351975Y-34030520D01*
-X28377889Y-33945092D01*
-X28386639Y-33856250D01*
-X28386639Y-33343750D01*
-X28377889Y-33254908D01*
-X28351975Y-33169480D01*
-X28309892Y-33090749D01*
-X28253259Y-33021741D01*
-X28184251Y-32965108D01*
-X28105520Y-32923025D01*
-X28020092Y-32897111D01*
-X27931250Y-32888361D01*
-X27493750Y-32888361D01*
-X27404908Y-32897111D01*
-X27319480Y-32923025D01*
-X27240749Y-32965108D01*
-X27171741Y-33021741D01*
-X27115108Y-33090749D01*
-X27073025Y-33169480D01*
-X27047111Y-33254908D01*
-X27038361Y-33343750D01*
-X27038361Y-33747612D01*
-X24703809Y-33747612D01*
-X24688388Y-33746093D01*
-X24672966Y-33747612D01*
-X24626833Y-33752156D01*
-X24567644Y-33770110D01*
-X24513095Y-33799268D01*
-X24465282Y-33838506D01*
-X24455451Y-33850486D01*
-X23488877Y-34817061D01*
-X23476895Y-34826894D01*
-X23447044Y-34863269D01*
-X23437656Y-34874708D01*
-X23408499Y-34929256D01*
-X23390544Y-34988446D01*
-X23388090Y-35013361D01*
-X22850000Y-35013361D01*
-X22803834Y-35017908D01*
-X22759442Y-35031374D01*
-X22718530Y-35053242D01*
-X22682671Y-35082671D01*
-X22653242Y-35118530D01*
-X22631374Y-35159442D01*
-X22617908Y-35203834D01*
-X22613361Y-35250000D01*
-X22613361Y-36950000D01*
-X22617908Y-36996166D01*
-X22631374Y-37040558D01*
-X22653242Y-37081470D01*
-X22682671Y-37117329D01*
-X22709686Y-37139500D01*
-X20312740Y-37139500D01*
-X20292568Y-37137522D01*
-X20285425Y-37135366D01*
-X20278837Y-37131862D01*
-X20273046Y-37127140D01*
-X20268289Y-37121389D01*
-X20264737Y-37114821D01*
-X20262530Y-37107690D01*
-X20260500Y-37088376D01*
-X20260500Y-20112740D01*
-X20262478Y-20092568D01*
-X20264634Y-20085427D01*
-X20268139Y-20078835D01*
-X20272861Y-20073045D01*
-X20278608Y-20068290D01*
-X20285179Y-20064738D01*
-X20292311Y-20062530D01*
-X20311624Y-20060500D01*
-X22709686Y-20060500D01*
-X22682671Y-20082671D01*
-G36*
-X62907432Y-20062478D02*
-G01*
-X62914573Y-20064634D01*
-X62921165Y-20068139D01*
-X62926955Y-20072861D01*
-X62931710Y-20078608D01*
-X62935262Y-20085179D01*
-X62937470Y-20092311D01*
-X62939500Y-20111624D01*
-X62939501Y-37087250D01*
-X62937522Y-37107432D01*
-X62935366Y-37114575D01*
-X62931862Y-37121163D01*
-X62927140Y-37126954D01*
-X62921389Y-37131711D01*
-X62914821Y-37135263D01*
-X62907690Y-37137470D01*
-X62888376Y-37139500D01*
-X59572660Y-37139500D01*
-X59677413Y-37107723D01*
-X59865989Y-37006927D01*
-X60031278Y-36871278D01*
-X60166927Y-36705989D01*
-X60267723Y-36517413D01*
-X60329794Y-36312795D01*
-X60350752Y-36100000D01*
-X60329794Y-35887205D01*
-X60267723Y-35682587D01*
-X60166927Y-35494011D01*
-X60031278Y-35328722D01*
-X59865989Y-35193073D01*
-X59695500Y-35101945D01*
-X59695500Y-34621391D01*
-X59697607Y-34600000D01*
-X59692497Y-34548118D01*
-X59689199Y-34514627D01*
-X59664296Y-34432535D01*
-X59647634Y-34401363D01*
-X59623857Y-34356878D01*
-X59583072Y-34307182D01*
-X59569435Y-34290565D01*
-X59552817Y-34276927D01*
-X57647673Y-32371784D01*
-X57726795Y-32371784D01*
-X57742216Y-32373303D01*
-X57757637Y-32371784D01*
-X57757638Y-32371784D01*
-X57803771Y-32367240D01*
-X57862960Y-32349286D01*
-X57917509Y-32320128D01*
-X57965322Y-32280890D01*
-X57975160Y-32268902D01*
-X58730063Y-31514000D01*
-X59684579Y-31514000D01*
-X59700000Y-31515519D01*
-X59715421Y-31514000D01*
-X59715422Y-31514000D01*
-X59761555Y-31509456D01*
-X59820744Y-31491502D01*
-X59875293Y-31462344D01*
-X59923106Y-31423106D01*
-X59932944Y-31411118D01*
-X60711124Y-30632938D01*
-X60723106Y-30623106D01*
-X60762344Y-30575293D01*
-X60765769Y-30568886D01*
-X60791502Y-30520745D01*
-X60809456Y-30461555D01*
-X60815519Y-30400000D01*
-X60814000Y-30384579D01*
-X60814000Y-24041516D01*
-X60815519Y-24026097D01*
-X60813514Y-24005742D01*
-X60809456Y-23964543D01*
-X60791502Y-23905354D01*
-X60762344Y-23850805D01*
-X60723106Y-23802992D01*
-X60711123Y-23793158D01*
-X59092188Y-22174224D01*
-X59206674Y-22185500D01*
-X59313326Y-22185500D01*
-X59472795Y-22169794D01*
-X59677413Y-22107723D01*
-X59865989Y-22006927D01*
-X60031278Y-21871278D01*
-X60166927Y-21705989D01*
-X60267723Y-21517413D01*
-X60329794Y-21312795D01*
-X60350752Y-21100000D01*
-X60329794Y-20887205D01*
-X60267723Y-20682587D01*
-X60166927Y-20494011D01*
-X60031278Y-20328722D01*
-X59865989Y-20193073D01*
-X59677413Y-20092277D01*
-X59572660Y-20060500D01*
-X62887260Y-20060500D01*
-X62907432Y-20062478D01*
-X62907432Y-20062478D01*
-G37*
-X62907432Y-20062478D02*
-X62914573Y-20064634D01*
-X62921165Y-20068139D01*
-X62926955Y-20072861D01*
-X62931710Y-20078608D01*
-X62935262Y-20085179D01*
-X62937470Y-20092311D01*
-X62939500Y-20111624D01*
-X62939501Y-37087250D01*
-X62937522Y-37107432D01*
-X62935366Y-37114575D01*
-X62931862Y-37121163D01*
-X62927140Y-37126954D01*
-X62921389Y-37131711D01*
-X62914821Y-37135263D01*
-X62907690Y-37137470D01*
-X62888376Y-37139500D01*
-X59572660Y-37139500D01*
-X59677413Y-37107723D01*
-X59865989Y-37006927D01*
-X60031278Y-36871278D01*
-X60166927Y-36705989D01*
-X60267723Y-36517413D01*
-X60329794Y-36312795D01*
-X60350752Y-36100000D01*
-X60329794Y-35887205D01*
-X60267723Y-35682587D01*
-X60166927Y-35494011D01*
-X60031278Y-35328722D01*
-X59865989Y-35193073D01*
-X59695500Y-35101945D01*
-X59695500Y-34621391D01*
-X59697607Y-34600000D01*
-X59692497Y-34548118D01*
-X59689199Y-34514627D01*
-X59664296Y-34432535D01*
-X59647634Y-34401363D01*
-X59623857Y-34356878D01*
-X59583072Y-34307182D01*
-X59569435Y-34290565D01*
-X59552817Y-34276927D01*
-X57647673Y-32371784D01*
-X57726795Y-32371784D01*
-X57742216Y-32373303D01*
-X57757637Y-32371784D01*
-X57757638Y-32371784D01*
-X57803771Y-32367240D01*
-X57862960Y-32349286D01*
-X57917509Y-32320128D01*
-X57965322Y-32280890D01*
-X57975160Y-32268902D01*
-X58730063Y-31514000D01*
-X59684579Y-31514000D01*
-X59700000Y-31515519D01*
-X59715421Y-31514000D01*
-X59715422Y-31514000D01*
-X59761555Y-31509456D01*
-X59820744Y-31491502D01*
-X59875293Y-31462344D01*
-X59923106Y-31423106D01*
-X59932944Y-31411118D01*
-X60711124Y-30632938D01*
-X60723106Y-30623106D01*
-X60762344Y-30575293D01*
-X60765769Y-30568886D01*
-X60791502Y-30520745D01*
-X60809456Y-30461555D01*
-X60815519Y-30400000D01*
-X60814000Y-30384579D01*
-X60814000Y-24041516D01*
-X60815519Y-24026097D01*
-X60813514Y-24005742D01*
-X60809456Y-23964543D01*
-X60791502Y-23905354D01*
-X60762344Y-23850805D01*
-X60723106Y-23802992D01*
-X60711123Y-23793158D01*
-X59092188Y-22174224D01*
-X59206674Y-22185500D01*
-X59313326Y-22185500D01*
-X59472795Y-22169794D01*
-X59677413Y-22107723D01*
-X59865989Y-22006927D01*
-X60031278Y-21871278D01*
-X60166927Y-21705989D01*
-X60267723Y-21517413D01*
-X60329794Y-21312795D01*
-X60350752Y-21100000D01*
-X60329794Y-20887205D01*
-X60267723Y-20682587D01*
-X60166927Y-20494011D01*
-X60031278Y-20328722D01*
-X59865989Y-20193073D01*
-X59677413Y-20092277D01*
-X59572660Y-20060500D01*
-X62887260Y-20060500D01*
-X62907432Y-20062478D01*
-G36*
-X47246000Y-32530063D02*
-G01*
-X47246000Y-32644579D01*
-X47244481Y-32660000D01*
-X47246000Y-32675421D01*
-X47246000Y-32675422D01*
-X47250544Y-32721555D01*
-X47268498Y-32780744D01*
-X47297656Y-32835293D01*
-X47336895Y-32883106D01*
-X47348877Y-32892939D01*
-X48249908Y-33793971D01*
-X48250742Y-33802442D01*
-X48272602Y-33874504D01*
-X48308100Y-33940916D01*
-X48355873Y-33999127D01*
-X48414084Y-34046900D01*
-X48480496Y-34082398D01*
-X48552558Y-34104258D01*
-X48627500Y-34111639D01*
-X48972500Y-34111639D01*
-X49047442Y-34104258D01*
-X49119504Y-34082398D01*
-X49185916Y-34046900D01*
-X49244127Y-33999127D01*
-X49291900Y-33940916D01*
-X49316336Y-33895199D01*
-X49338100Y-33935916D01*
-X49385873Y-33994127D01*
-X49444084Y-34041900D01*
-X49510496Y-34077398D01*
-X49582558Y-34099258D01*
-X49657500Y-34106639D01*
-X50002500Y-34106639D01*
-X50077442Y-34099258D01*
-X50149504Y-34077398D01*
-X50215916Y-34041900D01*
-X50274127Y-33994127D01*
-X50321900Y-33935916D01*
-X50346977Y-33889000D01*
-X51863361Y-33889000D01*
-X51863361Y-33912000D01*
-X51867908Y-33958166D01*
-X51881374Y-34002558D01*
-X51903242Y-34043470D01*
-X51932671Y-34079329D01*
-X51968530Y-34108758D01*
-X52009442Y-34130626D01*
-X52053834Y-34144092D01*
-X52100000Y-34148639D01*
-X53150000Y-34148639D01*
-X53196166Y-34144092D01*
-X53240558Y-34130626D01*
-X53281470Y-34108758D01*
-X53317329Y-34079329D01*
-X53346758Y-34043470D01*
-X53368626Y-34002558D01*
-X53382092Y-33958166D01*
-X53386639Y-33912000D01*
-X53386639Y-33901000D01*
-X56013361Y-33901000D01*
-X56013361Y-33912000D01*
-X56017908Y-33958166D01*
-X56031374Y-34002558D01*
-X56053242Y-34043470D01*
-X56082671Y-34079329D01*
-X56118530Y-34108758D01*
-X56159442Y-34130626D01*
-X56203834Y-34144092D01*
-X56250000Y-34148639D01*
-X57300000Y-34148639D01*
-X57346166Y-34144092D01*
-X57390558Y-34130626D01*
-X57431470Y-34108758D01*
-X57467329Y-34079329D01*
-X57496758Y-34043470D01*
-X57518626Y-34002558D01*
-X57532092Y-33958166D01*
-X57536639Y-33912000D01*
-X57536639Y-33492528D01*
-X58824501Y-34780391D01*
-X58824501Y-35101944D01*
-X58654011Y-35193073D01*
-X58488722Y-35328722D01*
-X58353073Y-35494011D01*
-X58252277Y-35682587D01*
-X58190206Y-35887205D01*
-X58169248Y-36100000D01*
-X58190206Y-36312795D01*
-X58252277Y-36517413D01*
-X58353073Y-36705989D01*
-X58488722Y-36871278D01*
-X58654011Y-37006927D01*
-X58842587Y-37107723D01*
-X58947340Y-37139500D01*
-X54492660Y-37139500D01*
-X54597413Y-37107723D01*
-X54785989Y-37006927D01*
-X54951278Y-36871278D01*
-X55086927Y-36705989D01*
-X55187723Y-36517413D01*
-X55249794Y-36312795D01*
-X55270752Y-36100000D01*
-X55249794Y-35887205D01*
-X55187723Y-35682587D01*
-X55086927Y-35494011D01*
-X54951278Y-35328722D01*
-X54785989Y-35193073D01*
-X54597413Y-35092277D01*
-X54392795Y-35030206D01*
-X54233326Y-35014500D01*
-X54126674Y-35014500D01*
-X53967205Y-35030206D01*
-X53762587Y-35092277D01*
-X53667281Y-35143219D01*
-X53562941Y-35038879D01*
-X53562937Y-35038874D01*
-X52962944Y-34438882D01*
-X52953106Y-34426894D01*
-X52905293Y-34387656D01*
-X52850744Y-34358498D01*
-X52791555Y-34340544D01*
-X52745422Y-34336000D01*
-X52745421Y-34336000D01*
-X52730000Y-34334481D01*
-X52714579Y-34336000D01*
-X47829454Y-34336000D01*
-X46008851Y-32515397D01*
-X46055117Y-32490667D01*
-X46102342Y-32451911D01*
-X46208408Y-32345845D01*
-X46247164Y-32298620D01*
-X46275963Y-32244742D01*
-X46293697Y-32186281D01*
-X46293933Y-32183882D01*
-X46296332Y-32183646D01*
-X46354793Y-32165912D01*
-X46408671Y-32137113D01*
-X46455896Y-32098357D01*
-X46561962Y-31992291D01*
-X46600718Y-31945066D01*
-X46621717Y-31905780D01*
-X47246000Y-32530063D01*
-X47246000Y-32530063D01*
-G37*
-X47246000Y-32530063D02*
-X47246000Y-32644579D01*
-X47244481Y-32660000D01*
-X47246000Y-32675421D01*
-X47246000Y-32675422D01*
-X47250544Y-32721555D01*
-X47268498Y-32780744D01*
-X47297656Y-32835293D01*
-X47336895Y-32883106D01*
-X47348877Y-32892939D01*
-X48249908Y-33793971D01*
-X48250742Y-33802442D01*
-X48272602Y-33874504D01*
-X48308100Y-33940916D01*
-X48355873Y-33999127D01*
-X48414084Y-34046900D01*
-X48480496Y-34082398D01*
-X48552558Y-34104258D01*
-X48627500Y-34111639D01*
-X48972500Y-34111639D01*
-X49047442Y-34104258D01*
-X49119504Y-34082398D01*
-X49185916Y-34046900D01*
-X49244127Y-33999127D01*
-X49291900Y-33940916D01*
-X49316336Y-33895199D01*
-X49338100Y-33935916D01*
-X49385873Y-33994127D01*
-X49444084Y-34041900D01*
-X49510496Y-34077398D01*
-X49582558Y-34099258D01*
-X49657500Y-34106639D01*
-X50002500Y-34106639D01*
-X50077442Y-34099258D01*
-X50149504Y-34077398D01*
-X50215916Y-34041900D01*
-X50274127Y-33994127D01*
-X50321900Y-33935916D01*
-X50346977Y-33889000D01*
-X51863361Y-33889000D01*
-X51863361Y-33912000D01*
-X51867908Y-33958166D01*
-X51881374Y-34002558D01*
-X51903242Y-34043470D01*
-X51932671Y-34079329D01*
-X51968530Y-34108758D01*
-X52009442Y-34130626D01*
-X52053834Y-34144092D01*
-X52100000Y-34148639D01*
-X53150000Y-34148639D01*
-X53196166Y-34144092D01*
-X53240558Y-34130626D01*
-X53281470Y-34108758D01*
-X53317329Y-34079329D01*
-X53346758Y-34043470D01*
-X53368626Y-34002558D01*
-X53382092Y-33958166D01*
-X53386639Y-33912000D01*
-X53386639Y-33901000D01*
-X56013361Y-33901000D01*
-X56013361Y-33912000D01*
-X56017908Y-33958166D01*
-X56031374Y-34002558D01*
-X56053242Y-34043470D01*
-X56082671Y-34079329D01*
-X56118530Y-34108758D01*
-X56159442Y-34130626D01*
-X56203834Y-34144092D01*
-X56250000Y-34148639D01*
-X57300000Y-34148639D01*
-X57346166Y-34144092D01*
-X57390558Y-34130626D01*
-X57431470Y-34108758D01*
-X57467329Y-34079329D01*
-X57496758Y-34043470D01*
-X57518626Y-34002558D01*
-X57532092Y-33958166D01*
-X57536639Y-33912000D01*
-X57536639Y-33492528D01*
-X58824501Y-34780391D01*
-X58824501Y-35101944D01*
-X58654011Y-35193073D01*
-X58488722Y-35328722D01*
-X58353073Y-35494011D01*
-X58252277Y-35682587D01*
-X58190206Y-35887205D01*
-X58169248Y-36100000D01*
-X58190206Y-36312795D01*
-X58252277Y-36517413D01*
-X58353073Y-36705989D01*
-X58488722Y-36871278D01*
-X58654011Y-37006927D01*
-X58842587Y-37107723D01*
-X58947340Y-37139500D01*
-X54492660Y-37139500D01*
-X54597413Y-37107723D01*
-X54785989Y-37006927D01*
-X54951278Y-36871278D01*
-X55086927Y-36705989D01*
-X55187723Y-36517413D01*
-X55249794Y-36312795D01*
-X55270752Y-36100000D01*
-X55249794Y-35887205D01*
-X55187723Y-35682587D01*
-X55086927Y-35494011D01*
-X54951278Y-35328722D01*
-X54785989Y-35193073D01*
-X54597413Y-35092277D01*
-X54392795Y-35030206D01*
-X54233326Y-35014500D01*
-X54126674Y-35014500D01*
-X53967205Y-35030206D01*
-X53762587Y-35092277D01*
-X53667281Y-35143219D01*
-X53562941Y-35038879D01*
-X53562937Y-35038874D01*
-X52962944Y-34438882D01*
-X52953106Y-34426894D01*
-X52905293Y-34387656D01*
-X52850744Y-34358498D01*
-X52791555Y-34340544D01*
-X52745422Y-34336000D01*
-X52745421Y-34336000D01*
-X52730000Y-34334481D01*
-X52714579Y-34336000D01*
-X47829454Y-34336000D01*
-X46008851Y-32515397D01*
-X46055117Y-32490667D01*
-X46102342Y-32451911D01*
-X46208408Y-32345845D01*
-X46247164Y-32298620D01*
-X46275963Y-32244742D01*
-X46293697Y-32186281D01*
-X46293933Y-32183882D01*
-X46296332Y-32183646D01*
-X46354793Y-32165912D01*
-X46408671Y-32137113D01*
-X46455896Y-32098357D01*
-X46561962Y-31992291D01*
-X46600718Y-31945066D01*
-X46621717Y-31905780D01*
-X47246000Y-32530063D01*
-G36*
-X43706000Y-35060907D02*
-G01*
-X43602587Y-35092277D01*
-X43414011Y-35193073D01*
-X43248722Y-35328722D01*
-X43113073Y-35494011D01*
-X43012277Y-35682587D01*
-X42950206Y-35887205D01*
-X42929248Y-36100000D01*
-X42950206Y-36312795D01*
-X43012277Y-36517413D01*
-X43113073Y-36705989D01*
-X43248722Y-36871278D01*
-X43414011Y-37006927D01*
-X43602587Y-37107723D01*
-X43707340Y-37139500D01*
-X41792660Y-37139500D01*
-X41897413Y-37107723D01*
-X42085989Y-37006927D01*
-X42251278Y-36871278D01*
-X42386927Y-36705989D01*
-X42487723Y-36517413D01*
-X42549794Y-36312795D01*
-X42570752Y-36100000D01*
-X42549794Y-35887205D01*
-X42487723Y-35682587D01*
-X42436781Y-35587281D01*
-X43045215Y-34978848D01*
-X43082343Y-34994227D01*
-X43185801Y-35014806D01*
-X43291285Y-35014806D01*
-X43394743Y-34994227D01*
-X43492197Y-34953860D01*
-X43579904Y-34895256D01*
-X43654493Y-34820667D01*
-X43706000Y-34743581D01*
-X43706000Y-35060907D01*
-X43706000Y-35060907D01*
-G37*
-X43706000Y-35060907D02*
-X43602587Y-35092277D01*
-X43414011Y-35193073D01*
-X43248722Y-35328722D01*
-X43113073Y-35494011D01*
-X43012277Y-35682587D01*
-X42950206Y-35887205D01*
-X42929248Y-36100000D01*
-X42950206Y-36312795D01*
-X43012277Y-36517413D01*
-X43113073Y-36705989D01*
-X43248722Y-36871278D01*
-X43414011Y-37006927D01*
-X43602587Y-37107723D01*
-X43707340Y-37139500D01*
-X41792660Y-37139500D01*
-X41897413Y-37107723D01*
-X42085989Y-37006927D01*
-X42251278Y-36871278D01*
-X42386927Y-36705989D01*
-X42487723Y-36517413D01*
-X42549794Y-36312795D01*
-X42570752Y-36100000D01*
-X42549794Y-35887205D01*
-X42487723Y-35682587D01*
-X42436781Y-35587281D01*
-X43045215Y-34978848D01*
-X43082343Y-34994227D01*
-X43185801Y-35014806D01*
-X43291285Y-35014806D01*
-X43394743Y-34994227D01*
-X43492197Y-34953860D01*
-X43579904Y-34895256D01*
-X43654493Y-34820667D01*
-X43706000Y-34743581D01*
-X43706000Y-35060907D01*
-G36*
-X26529281Y-35053408D02*
-G01*
-X26452795Y-35030206D01*
-X26293326Y-35014500D01*
-X26186674Y-35014500D01*
-X26027205Y-35030206D01*
-X25822587Y-35092277D01*
-X25634011Y-35193073D01*
-X25468722Y-35328722D01*
-X25333073Y-35494011D01*
-X25232277Y-35682587D01*
-X25170206Y-35887205D01*
-X25149248Y-36100000D01*
-X25170206Y-36312795D01*
-X25232277Y-36517413D01*
-X25333073Y-36705989D01*
-X25468722Y-36871278D01*
-X25634011Y-37006927D01*
-X25822587Y-37107723D01*
-X25927340Y-37139500D01*
-X24690314Y-37139500D01*
-X24717329Y-37117329D01*
-X24746758Y-37081470D01*
-X24768626Y-37040558D01*
-X24782092Y-36996166D01*
-X24786639Y-36950000D01*
-X24786639Y-35250000D01*
-X24782092Y-35203834D01*
-X24768626Y-35159442D01*
-X24746758Y-35118530D01*
-X24717329Y-35082671D01*
-X24681470Y-35053242D01*
-X24640558Y-35031374D01*
-X24596166Y-35017908D01*
-X24550000Y-35013361D01*
-X24180701Y-35013361D01*
-X24818451Y-34375612D01*
-X27207077Y-34375612D01*
-X26529281Y-35053408D01*
-X26529281Y-35053408D01*
-G37*
-X26529281Y-35053408D02*
-X26452795Y-35030206D01*
-X26293326Y-35014500D01*
-X26186674Y-35014500D01*
-X26027205Y-35030206D01*
-X25822587Y-35092277D01*
-X25634011Y-35193073D01*
-X25468722Y-35328722D01*
-X25333073Y-35494011D01*
-X25232277Y-35682587D01*
-X25170206Y-35887205D01*
-X25149248Y-36100000D01*
-X25170206Y-36312795D01*
-X25232277Y-36517413D01*
-X25333073Y-36705989D01*
-X25468722Y-36871278D01*
-X25634011Y-37006927D01*
-X25822587Y-37107723D01*
-X25927340Y-37139500D01*
-X24690314Y-37139500D01*
-X24717329Y-37117329D01*
-X24746758Y-37081470D01*
-X24768626Y-37040558D01*
-X24782092Y-36996166D01*
-X24786639Y-36950000D01*
-X24786639Y-35250000D01*
-X24782092Y-35203834D01*
-X24768626Y-35159442D01*
-X24746758Y-35118530D01*
-X24717329Y-35082671D01*
-X24681470Y-35053242D01*
-X24640558Y-35031374D01*
-X24596166Y-35017908D01*
-X24550000Y-35013361D01*
-X24180701Y-35013361D01*
-X24818451Y-34375612D01*
-X27207077Y-34375612D01*
-X26529281Y-35053408D01*
-G36*
-X28174011Y-35193073D02*
-G01*
-X28008722Y-35328722D01*
-X27873073Y-35494011D01*
-X27772277Y-35682587D01*
-X27710206Y-35887205D01*
-X27689248Y-36100000D01*
-X27710206Y-36312795D01*
-X27772277Y-36517413D01*
-X27873073Y-36705989D01*
-X28008722Y-36871278D01*
-X28174011Y-37006927D01*
-X28362587Y-37107723D01*
-X28467340Y-37139500D01*
-X26552660Y-37139500D01*
-X26657413Y-37107723D01*
-X26845989Y-37006927D01*
-X27011278Y-36871278D01*
-X27146927Y-36705989D01*
-X27247723Y-36517413D01*
-X27309794Y-36312795D01*
-X27330752Y-36100000D01*
-X27309794Y-35887205D01*
-X27286592Y-35810719D01*
-X27911811Y-35185500D01*
-X28188179Y-35185500D01*
-X28174011Y-35193073D01*
-X28174011Y-35193073D01*
-G37*
-X28174011Y-35193073D02*
-X28008722Y-35328722D01*
-X27873073Y-35494011D01*
-X27772277Y-35682587D01*
-X27710206Y-35887205D01*
-X27689248Y-36100000D01*
-X27710206Y-36312795D01*
-X27772277Y-36517413D01*
-X27873073Y-36705989D01*
-X28008722Y-36871278D01*
-X28174011Y-37006927D01*
-X28362587Y-37107723D01*
-X28467340Y-37139500D01*
-X26552660Y-37139500D01*
-X26657413Y-37107723D01*
-X26845989Y-37006927D01*
-X27011278Y-36871278D01*
-X27146927Y-36705989D01*
-X27247723Y-36517413D01*
-X27309794Y-36312795D01*
-X27330752Y-36100000D01*
-X27309794Y-35887205D01*
-X27286592Y-35810719D01*
-X27911811Y-35185500D01*
-X28188179Y-35185500D01*
-X28174011Y-35193073D01*
-G36*
-X53118874Y-35482937D02*
-G01*
-X53118879Y-35482941D01*
-X53223219Y-35587281D01*
-X53172277Y-35682587D01*
-X53110206Y-35887205D01*
-X53089248Y-36100000D01*
-X53110206Y-36312795D01*
-X53172277Y-36517413D01*
-X53273073Y-36705989D01*
-X53408722Y-36871278D01*
-X53574011Y-37006927D01*
-X53762587Y-37107723D01*
-X53867340Y-37139500D01*
-X51952660Y-37139500D01*
-X52057413Y-37107723D01*
-X52245989Y-37006927D01*
-X52411278Y-36871278D01*
-X52546927Y-36705989D01*
-X52647723Y-36517413D01*
-X52709794Y-36312795D01*
-X52730752Y-36100000D01*
-X52709794Y-35887205D01*
-X52647723Y-35682587D01*
-X52546927Y-35494011D01*
-X52411278Y-35328722D01*
-X52245989Y-35193073D01*
-X52057413Y-35092277D01*
-X51852795Y-35030206D01*
-X51693326Y-35014500D01*
-X51586674Y-35014500D01*
-X51427205Y-35030206D01*
-X51222587Y-35092277D01*
-X51034011Y-35193073D01*
-X50868722Y-35328722D01*
-X50733073Y-35494011D01*
-X50632277Y-35682587D01*
-X50570206Y-35887205D01*
-X50549248Y-36100000D01*
-X50570206Y-36312795D01*
-X50632277Y-36517413D01*
-X50733073Y-36705989D01*
-X50868722Y-36871278D01*
-X51034011Y-37006927D01*
-X51222587Y-37107723D01*
-X51327340Y-37139500D01*
-X49412660Y-37139500D01*
-X49517413Y-37107723D01*
-X49705989Y-37006927D01*
-X49871278Y-36871278D01*
-X50006927Y-36705989D01*
-X50107723Y-36517413D01*
-X50169794Y-36312795D01*
-X50190752Y-36100000D01*
-X50169794Y-35887205D01*
-X50107723Y-35682587D01*
-X50006927Y-35494011D01*
-X49871278Y-35328722D01*
-X49705989Y-35193073D01*
-X49517413Y-35092277D01*
-X49312795Y-35030206D01*
-X49153326Y-35014500D01*
-X49046674Y-35014500D01*
-X48887205Y-35030206D01*
-X48682587Y-35092277D01*
-X48494011Y-35193073D01*
-X48328722Y-35328722D01*
-X48232417Y-35446070D01*
-X47750348Y-34964000D01*
-X52599938Y-34964000D01*
-X53118874Y-35482937D01*
-X53118874Y-35482937D01*
-G37*
-X53118874Y-35482937D02*
-X53118879Y-35482941D01*
-X53223219Y-35587281D01*
-X53172277Y-35682587D01*
-X53110206Y-35887205D01*
-X53089248Y-36100000D01*
-X53110206Y-36312795D01*
-X53172277Y-36517413D01*
-X53273073Y-36705989D01*
-X53408722Y-36871278D01*
-X53574011Y-37006927D01*
-X53762587Y-37107723D01*
-X53867340Y-37139500D01*
-X51952660Y-37139500D01*
-X52057413Y-37107723D01*
-X52245989Y-37006927D01*
-X52411278Y-36871278D01*
-X52546927Y-36705989D01*
-X52647723Y-36517413D01*
-X52709794Y-36312795D01*
-X52730752Y-36100000D01*
-X52709794Y-35887205D01*
-X52647723Y-35682587D01*
-X52546927Y-35494011D01*
-X52411278Y-35328722D01*
-X52245989Y-35193073D01*
-X52057413Y-35092277D01*
-X51852795Y-35030206D01*
-X51693326Y-35014500D01*
-X51586674Y-35014500D01*
-X51427205Y-35030206D01*
-X51222587Y-35092277D01*
-X51034011Y-35193073D01*
-X50868722Y-35328722D01*
-X50733073Y-35494011D01*
-X50632277Y-35682587D01*
-X50570206Y-35887205D01*
-X50549248Y-36100000D01*
-X50570206Y-36312795D01*
-X50632277Y-36517413D01*
-X50733073Y-36705989D01*
-X50868722Y-36871278D01*
-X51034011Y-37006927D01*
-X51222587Y-37107723D01*
-X51327340Y-37139500D01*
-X49412660Y-37139500D01*
-X49517413Y-37107723D01*
-X49705989Y-37006927D01*
-X49871278Y-36871278D01*
-X50006927Y-36705989D01*
-X50107723Y-36517413D01*
-X50169794Y-36312795D01*
-X50190752Y-36100000D01*
-X50169794Y-35887205D01*
-X50107723Y-35682587D01*
-X50006927Y-35494011D01*
-X49871278Y-35328722D01*
-X49705989Y-35193073D01*
-X49517413Y-35092277D01*
-X49312795Y-35030206D01*
-X49153326Y-35014500D01*
-X49046674Y-35014500D01*
-X48887205Y-35030206D01*
-X48682587Y-35092277D01*
-X48494011Y-35193073D01*
-X48328722Y-35328722D01*
-X48232417Y-35446070D01*
-X47750348Y-34964000D01*
-X52599938Y-34964000D01*
-X53118874Y-35482937D01*
-G36*
-X48010453Y-36112231D02*
-G01*
-X48030206Y-36312795D01*
-X48092277Y-36517413D01*
-X48193073Y-36705989D01*
-X48328722Y-36871278D01*
-X48494011Y-37006927D01*
-X48682587Y-37107723D01*
-X48787340Y-37139500D01*
-X46872660Y-37139500D01*
-X46977413Y-37107723D01*
-X47165989Y-37006927D01*
-X47331278Y-36871278D01*
-X47466927Y-36705989D01*
-X47567723Y-36517413D01*
-X47629794Y-36312795D01*
-X47650752Y-36100000D01*
-X47629794Y-35887205D01*
-X47567723Y-35682587D01*
-X47552696Y-35654474D01*
-X48010453Y-36112231D01*
-X48010453Y-36112231D01*
-G37*
-X48010453Y-36112231D02*
-X48030206Y-36312795D01*
-X48092277Y-36517413D01*
-X48193073Y-36705989D01*
-X48328722Y-36871278D01*
-X48494011Y-37006927D01*
-X48682587Y-37107723D01*
-X48787340Y-37139500D01*
-X46872660Y-37139500D01*
-X46977413Y-37107723D01*
-X47165989Y-37006927D01*
-X47331278Y-36871278D01*
-X47466927Y-36705989D01*
-X47567723Y-36517413D01*
-X47629794Y-36312795D01*
-X47650752Y-36100000D01*
-X47629794Y-35887205D01*
-X47567723Y-35682587D01*
-X47552696Y-35654474D01*
-X48010453Y-36112231D01*
-G36*
-X40708722Y-35328722D02*
-G01*
-X40573073Y-35494011D01*
-X40472277Y-35682587D01*
-X40410206Y-35887205D01*
-X40389248Y-36100000D01*
-X40410206Y-36312795D01*
-X40472277Y-36517413D01*
-X40573073Y-36705989D01*
-X40708722Y-36871278D01*
-X40874011Y-37006927D01*
-X41062587Y-37107723D01*
-X41167340Y-37139500D01*
-X39252660Y-37139500D01*
-X39357413Y-37107723D01*
-X39545989Y-37006927D01*
-X39711278Y-36871278D01*
-X39846927Y-36705989D01*
-X39947723Y-36517413D01*
-X40009794Y-36312795D01*
-X40030752Y-36100000D01*
-X40009794Y-35887205D01*
-X39947723Y-35682587D01*
-X39846927Y-35494011D01*
-X39711278Y-35328722D01*
-X39625116Y-35258011D01*
-X40794884Y-35258011D01*
-X40708722Y-35328722D01*
-X40708722Y-35328722D01*
-G37*
-X40708722Y-35328722D02*
-X40573073Y-35494011D01*
-X40472277Y-35682587D01*
-X40410206Y-35887205D01*
-X40389248Y-36100000D01*
-X40410206Y-36312795D01*
-X40472277Y-36517413D01*
-X40573073Y-36705989D01*
-X40708722Y-36871278D01*
-X40874011Y-37006927D01*
-X41062587Y-37107723D01*
-X41167340Y-37139500D01*
-X39252660Y-37139500D01*
-X39357413Y-37107723D01*
-X39545989Y-37006927D01*
-X39711278Y-36871278D01*
-X39846927Y-36705989D01*
-X39947723Y-36517413D01*
-X40009794Y-36312795D01*
-X40030752Y-36100000D01*
-X40009794Y-35887205D01*
-X39947723Y-35682587D01*
-X39846927Y-35494011D01*
-X39711278Y-35328722D01*
-X39625116Y-35258011D01*
-X40794884Y-35258011D01*
-X40708722Y-35328722D01*
-G36*
-X41865660Y-32620128D02*
-G01*
-X41865659Y-32766588D01*
-X40959359Y-33672888D01*
-X40920603Y-33720113D01*
-X40891804Y-33773991D01*
-X40874070Y-33832452D01*
-X40868082Y-33893250D01*
-X40874070Y-33954048D01*
-X40891804Y-34012509D01*
-X40920603Y-34066387D01*
-X40959359Y-34113612D01*
-X41065425Y-34219678D01*
-X41112650Y-34258434D01*
-X41161430Y-34284508D01*
-X41129938Y-34316000D01*
-X35345421Y-34316000D01*
-X35330000Y-34314481D01*
-X35314578Y-34316000D01*
-X35268445Y-34320544D01*
-X35209256Y-34338498D01*
-X35154707Y-34367656D01*
-X35106894Y-34406894D01*
-X35097063Y-34418874D01*
-X34372719Y-35143219D01*
-X34277413Y-35092277D01*
-X34072795Y-35030206D01*
-X33913326Y-35014500D01*
-X33806674Y-35014500D01*
-X33647205Y-35030206D01*
-X33442587Y-35092277D01*
-X33254011Y-35193073D01*
-X33088722Y-35328722D01*
-X32953073Y-35494011D01*
-X32852277Y-35682587D01*
-X32790206Y-35887205D01*
-X32769248Y-36100000D01*
-X32790206Y-36312795D01*
-X32852277Y-36517413D01*
-X32953073Y-36705989D01*
-X33088722Y-36871278D01*
-X33254011Y-37006927D01*
-X33442587Y-37107723D01*
-X33547340Y-37139500D01*
-X31632660Y-37139500D01*
-X31737413Y-37107723D01*
-X31925989Y-37006927D01*
-X32091278Y-36871278D01*
-X32226927Y-36705989D01*
-X32327723Y-36517413D01*
-X32389794Y-36312795D01*
-X32410752Y-36100000D01*
-X32389794Y-35887205D01*
-X32327723Y-35682587D01*
-X32226927Y-35494011D01*
-X32091278Y-35328722D01*
-X31925989Y-35193073D01*
-X31737413Y-35092277D01*
-X31532795Y-35030206D01*
-X31373326Y-35014500D01*
-X31266674Y-35014500D01*
-X31107205Y-35030206D01*
-X30902587Y-35092277D01*
-X30714011Y-35193073D01*
-X30548722Y-35328722D01*
-X30413073Y-35494011D01*
-X30312277Y-35682587D01*
-X30250206Y-35887205D01*
-X30229248Y-36100000D01*
-X30250206Y-36312795D01*
-X30312277Y-36517413D01*
-X30413073Y-36705989D01*
-X30548722Y-36871278D01*
-X30714011Y-37006927D01*
-X30902587Y-37107723D01*
-X31007340Y-37139500D01*
-X29092660Y-37139500D01*
-X29197413Y-37107723D01*
-X29385989Y-37006927D01*
-X29551278Y-36871278D01*
-X29686927Y-36705989D01*
-X29787723Y-36517413D01*
-X29849794Y-36312795D01*
-X29870752Y-36100000D01*
-X29855807Y-35948255D01*
-X30890063Y-34914000D01*
-X34358657Y-34914000D01*
-X34374078Y-34915519D01*
-X34389499Y-34914000D01*
-X34389500Y-34914000D01*
-X34435633Y-34909456D01*
-X34494822Y-34891502D01*
-X34549371Y-34862344D01*
-X34597184Y-34823106D01*
-X34607022Y-34811118D01*
-X35587574Y-33830567D01*
-X35598246Y-33884221D01*
-X35638613Y-33981675D01*
-X35697217Y-34069382D01*
-X35771806Y-34143971D01*
-X35859513Y-34202575D01*
-X35956967Y-34242942D01*
-X36060425Y-34263521D01*
-X36165909Y-34263521D01*
-X36269367Y-34242942D01*
-X36366821Y-34202575D01*
-X36454528Y-34143971D01*
-X36526342Y-34072157D01*
-X36546421Y-34074134D01*
-X36562901Y-34072511D01*
-X39304226Y-34072511D01*
-X39320704Y-34074134D01*
-X39368950Y-34069382D01*
-X39386473Y-34067656D01*
-X39449715Y-34048472D01*
-X39508000Y-34017319D01*
-X39559086Y-33975393D01*
-X39569595Y-33962588D01*
-X40129099Y-33403085D01*
-X40139260Y-33422095D01*
-X40178499Y-33469908D01*
-X40226312Y-33509147D01*
-X40280860Y-33538304D01*
-X40340050Y-33556259D01*
-X40401604Y-33562322D01*
-X40422299Y-33560284D01*
-X40459421Y-33580126D01*
-X40517882Y-33597860D01*
-X40578680Y-33603848D01*
-X40639478Y-33597860D01*
-X40697939Y-33580126D01*
-X40751817Y-33551327D01*
-X40799042Y-33512571D01*
-X41735958Y-32575655D01*
-X41774375Y-32528843D01*
-X41865660Y-32620128D01*
-X41865660Y-32620128D01*
-G37*
-X41865660Y-32620128D02*
-X41865659Y-32766588D01*
-X40959359Y-33672888D01*
-X40920603Y-33720113D01*
-X40891804Y-33773991D01*
-X40874070Y-33832452D01*
-X40868082Y-33893250D01*
-X40874070Y-33954048D01*
-X40891804Y-34012509D01*
-X40920603Y-34066387D01*
-X40959359Y-34113612D01*
-X41065425Y-34219678D01*
-X41112650Y-34258434D01*
-X41161430Y-34284508D01*
-X41129938Y-34316000D01*
-X35345421Y-34316000D01*
-X35330000Y-34314481D01*
-X35314578Y-34316000D01*
-X35268445Y-34320544D01*
-X35209256Y-34338498D01*
-X35154707Y-34367656D01*
-X35106894Y-34406894D01*
-X35097063Y-34418874D01*
-X34372719Y-35143219D01*
-X34277413Y-35092277D01*
-X34072795Y-35030206D01*
-X33913326Y-35014500D01*
-X33806674Y-35014500D01*
-X33647205Y-35030206D01*
-X33442587Y-35092277D01*
-X33254011Y-35193073D01*
-X33088722Y-35328722D01*
-X32953073Y-35494011D01*
-X32852277Y-35682587D01*
-X32790206Y-35887205D01*
-X32769248Y-36100000D01*
-X32790206Y-36312795D01*
-X32852277Y-36517413D01*
-X32953073Y-36705989D01*
-X33088722Y-36871278D01*
-X33254011Y-37006927D01*
-X33442587Y-37107723D01*
-X33547340Y-37139500D01*
-X31632660Y-37139500D01*
-X31737413Y-37107723D01*
-X31925989Y-37006927D01*
-X32091278Y-36871278D01*
-X32226927Y-36705989D01*
-X32327723Y-36517413D01*
-X32389794Y-36312795D01*
-X32410752Y-36100000D01*
-X32389794Y-35887205D01*
-X32327723Y-35682587D01*
-X32226927Y-35494011D01*
-X32091278Y-35328722D01*
-X31925989Y-35193073D01*
-X31737413Y-35092277D01*
-X31532795Y-35030206D01*
-X31373326Y-35014500D01*
-X31266674Y-35014500D01*
-X31107205Y-35030206D01*
-X30902587Y-35092277D01*
-X30714011Y-35193073D01*
-X30548722Y-35328722D01*
-X30413073Y-35494011D01*
-X30312277Y-35682587D01*
-X30250206Y-35887205D01*
-X30229248Y-36100000D01*
-X30250206Y-36312795D01*
-X30312277Y-36517413D01*
-X30413073Y-36705989D01*
-X30548722Y-36871278D01*
-X30714011Y-37006927D01*
-X30902587Y-37107723D01*
-X31007340Y-37139500D01*
-X29092660Y-37139500D01*
-X29197413Y-37107723D01*
-X29385989Y-37006927D01*
-X29551278Y-36871278D01*
-X29686927Y-36705989D01*
-X29787723Y-36517413D01*
-X29849794Y-36312795D01*
-X29870752Y-36100000D01*
-X29855807Y-35948255D01*
-X30890063Y-34914000D01*
-X34358657Y-34914000D01*
-X34374078Y-34915519D01*
-X34389499Y-34914000D01*
-X34389500Y-34914000D01*
-X34435633Y-34909456D01*
-X34494822Y-34891502D01*
-X34549371Y-34862344D01*
-X34597184Y-34823106D01*
-X34607022Y-34811118D01*
-X35587574Y-33830567D01*
-X35598246Y-33884221D01*
-X35638613Y-33981675D01*
-X35697217Y-34069382D01*
-X35771806Y-34143971D01*
-X35859513Y-34202575D01*
-X35956967Y-34242942D01*
-X36060425Y-34263521D01*
-X36165909Y-34263521D01*
-X36269367Y-34242942D01*
-X36366821Y-34202575D01*
-X36454528Y-34143971D01*
-X36526342Y-34072157D01*
-X36546421Y-34074134D01*
-X36562901Y-34072511D01*
-X39304226Y-34072511D01*
-X39320704Y-34074134D01*
-X39368950Y-34069382D01*
-X39386473Y-34067656D01*
-X39449715Y-34048472D01*
-X39508000Y-34017319D01*
-X39559086Y-33975393D01*
-X39569595Y-33962588D01*
-X40129099Y-33403085D01*
-X40139260Y-33422095D01*
-X40178499Y-33469908D01*
-X40226312Y-33509147D01*
-X40280860Y-33538304D01*
-X40340050Y-33556259D01*
-X40401604Y-33562322D01*
-X40422299Y-33560284D01*
-X40459421Y-33580126D01*
-X40517882Y-33597860D01*
-X40578680Y-33603848D01*
-X40639478Y-33597860D01*
-X40697939Y-33580126D01*
-X40751817Y-33551327D01*
-X40799042Y-33512571D01*
-X41735958Y-32575655D01*
-X41774375Y-32528843D01*
-X41865660Y-32620128D01*
-G36*
-X29855446Y-30723654D02*
-G01*
-X29914050Y-30811361D01*
-X29988639Y-30885950D01*
-X30016001Y-30904233D01*
-X30016000Y-33656690D01*
-X29961639Y-33711051D01*
-X29961639Y-33343750D01*
-X29952889Y-33254908D01*
-X29926975Y-33169480D01*
-X29884892Y-33090749D01*
-X29828259Y-33021741D01*
-X29759251Y-32965108D01*
-X29680520Y-32923025D01*
-X29617268Y-32903838D01*
-X29612325Y-32887546D01*
-X29598961Y-32843489D01*
-X29567808Y-32785204D01*
-X29525882Y-32734118D01*
-X29513082Y-32723613D01*
-X29046639Y-32257171D01*
-X29046639Y-32027500D01*
-X29039258Y-31952558D01*
-X29017398Y-31880496D01*
-X28981900Y-31814084D01*
-X28934127Y-31755873D01*
-X28875916Y-31708100D01*
-X28809504Y-31672602D01*
-X28737442Y-31650742D01*
-X28662500Y-31643361D01*
-X28367500Y-31643361D01*
-X28335500Y-31646513D01*
-X28335500Y-31553487D01*
-X28367500Y-31556639D01*
-X28662500Y-31556639D01*
-X28737442Y-31549258D01*
-X28809504Y-31527398D01*
-X28875916Y-31491900D01*
-X28934127Y-31444127D01*
-X28981900Y-31385916D01*
-X29017398Y-31319504D01*
-X29039258Y-31247442D01*
-X29046639Y-31172500D01*
-X29046639Y-30827500D01*
-X29039258Y-30752558D01*
-X29017398Y-30680496D01*
-X29004037Y-30655500D01*
-X29669160Y-30655500D01*
-X29685638Y-30657123D01*
-X29751407Y-30650645D01*
-X29751981Y-30650471D01*
-X29814649Y-30631461D01*
-X29816785Y-30630319D01*
-X29855446Y-30723654D01*
-X29855446Y-30723654D01*
-G37*
-X29855446Y-30723654D02*
-X29914050Y-30811361D01*
-X29988639Y-30885950D01*
-X30016001Y-30904233D01*
-X30016000Y-33656690D01*
-X29961639Y-33711051D01*
-X29961639Y-33343750D01*
-X29952889Y-33254908D01*
-X29926975Y-33169480D01*
-X29884892Y-33090749D01*
-X29828259Y-33021741D01*
-X29759251Y-32965108D01*
-X29680520Y-32923025D01*
-X29617268Y-32903838D01*
-X29612325Y-32887546D01*
-X29598961Y-32843489D01*
-X29567808Y-32785204D01*
-X29525882Y-32734118D01*
-X29513082Y-32723613D01*
-X29046639Y-32257171D01*
-X29046639Y-32027500D01*
-X29039258Y-31952558D01*
-X29017398Y-31880496D01*
-X28981900Y-31814084D01*
-X28934127Y-31755873D01*
-X28875916Y-31708100D01*
-X28809504Y-31672602D01*
-X28737442Y-31650742D01*
-X28662500Y-31643361D01*
-X28367500Y-31643361D01*
-X28335500Y-31646513D01*
-X28335500Y-31553487D01*
-X28367500Y-31556639D01*
-X28662500Y-31556639D01*
-X28737442Y-31549258D01*
-X28809504Y-31527398D01*
-X28875916Y-31491900D01*
-X28934127Y-31444127D01*
-X28981900Y-31385916D01*
-X29017398Y-31319504D01*
-X29039258Y-31247442D01*
-X29046639Y-31172500D01*
-X29046639Y-30827500D01*
-X29039258Y-30752558D01*
-X29017398Y-30680496D01*
-X29004037Y-30655500D01*
-X29669160Y-30655500D01*
-X29685638Y-30657123D01*
-X29751407Y-30650645D01*
-X29751981Y-30650471D01*
-X29814649Y-30631461D01*
-X29816785Y-30630319D01*
-X29855446Y-30723654D01*
-G36*
-X48260050Y-32274113D02*
-G01*
-X48269884Y-32286096D01*
-X48317697Y-32325334D01*
-X48372246Y-32354492D01*
-X48418423Y-32368499D01*
-X48431435Y-32372446D01*
-X48492989Y-32378509D01*
-X48508411Y-32376990D01*
-X49282431Y-32376990D01*
-X49280742Y-32382558D01*
-X49273361Y-32457500D01*
-X49273361Y-32717576D01*
-X48942577Y-33048361D01*
-X48627500Y-33048361D01*
-X48552558Y-33055742D01*
-X48480496Y-33077602D01*
-X48442157Y-33098095D01*
-X47874000Y-32529938D01*
-X47874000Y-32415421D01*
-X47875519Y-32399999D01*
-X47869456Y-32338445D01*
-X47863019Y-32317224D01*
-X47851502Y-32279256D01*
-X47822344Y-32224707D01*
-X47783106Y-32176894D01*
-X47771125Y-32167062D01*
-X47062531Y-31458468D01*
-X47115777Y-31430007D01*
-X47163002Y-31391251D01*
-X47269068Y-31285185D01*
-X47269994Y-31284056D01*
-X48260050Y-32274113D01*
-X48260050Y-32274113D01*
-G37*
-X48260050Y-32274113D02*
-X48269884Y-32286096D01*
-X48317697Y-32325334D01*
-X48372246Y-32354492D01*
-X48418423Y-32368499D01*
-X48431435Y-32372446D01*
-X48492989Y-32378509D01*
-X48508411Y-32376990D01*
-X49282431Y-32376990D01*
-X49280742Y-32382558D01*
-X49273361Y-32457500D01*
-X49273361Y-32717576D01*
-X48942577Y-33048361D01*
-X48627500Y-33048361D01*
-X48552558Y-33055742D01*
-X48480496Y-33077602D01*
-X48442157Y-33098095D01*
-X47874000Y-32529938D01*
-X47874000Y-32415421D01*
-X47875519Y-32399999D01*
-X47869456Y-32338445D01*
-X47863019Y-32317224D01*
-X47851502Y-32279256D01*
-X47822344Y-32224707D01*
-X47783106Y-32176894D01*
-X47771125Y-32167062D01*
-X47062531Y-31458468D01*
-X47115777Y-31430007D01*
-X47163002Y-31391251D01*
-X47269068Y-31285185D01*
-X47269994Y-31284056D01*
-X48260050Y-32274113D01*
-G36*
-X32683800Y-30804921D02*
-G01*
-X32787258Y-30825500D01*
-X32892742Y-30825500D01*
-X32899657Y-30824125D01*
-X33043361Y-30967829D01*
-X33043361Y-31062500D01*
-X33050742Y-31137442D01*
-X33072602Y-31209504D01*
-X33108100Y-31275916D01*
-X33155873Y-31334127D01*
-X33214084Y-31381900D01*
-X33280496Y-31417398D01*
-X33352558Y-31439258D01*
-X33427500Y-31446639D01*
-X33772500Y-31446639D01*
-X33847442Y-31439258D01*
-X33919504Y-31417398D01*
-X33985916Y-31381900D01*
-X34044127Y-31334127D01*
-X34091900Y-31275916D01*
-X34100000Y-31260762D01*
-X34108100Y-31275916D01*
-X34155873Y-31334127D01*
-X34214084Y-31381900D01*
-X34280496Y-31417398D01*
-X34352558Y-31439258D01*
-X34427500Y-31446639D01*
-X34772500Y-31446639D01*
-X34847442Y-31439258D01*
-X34919504Y-31417398D01*
-X34985916Y-31381900D01*
-X35044127Y-31334127D01*
-X35064498Y-31309305D01*
-X35064499Y-31950866D01*
-X34887867Y-32127499D01*
-X31793306Y-32127499D01*
-X31767001Y-32124908D01*
-X31740696Y-32127499D01*
-X31740694Y-32127499D01*
-X31662025Y-32135247D01*
-X31561082Y-32165868D01*
-X31522342Y-32186575D01*
-X31468053Y-32215593D01*
-X31439650Y-32238903D01*
-X31386513Y-32282511D01*
-X31369743Y-32302946D01*
-X30644000Y-33028690D01*
-X30644000Y-31378245D01*
-X30648100Y-31385916D01*
-X30695873Y-31444127D01*
-X30754084Y-31491900D01*
-X30820496Y-31527398D01*
-X30892558Y-31549258D01*
-X30967500Y-31556639D01*
-X31262500Y-31556639D01*
-X31337442Y-31549258D01*
-X31409504Y-31527398D01*
-X31475916Y-31491900D01*
-X31534127Y-31444127D01*
-X31581900Y-31385916D01*
-X31600000Y-31352053D01*
-X31618100Y-31385916D01*
-X31665873Y-31444127D01*
-X31724084Y-31491900D01*
-X31790496Y-31527398D01*
-X31862558Y-31549258D01*
-X31937500Y-31556639D01*
-X32232500Y-31556639D01*
-X32307442Y-31549258D01*
-X32379504Y-31527398D01*
-X32445916Y-31491900D01*
-X32504127Y-31444127D01*
-X32551900Y-31385916D01*
-X32587398Y-31319504D01*
-X32609258Y-31247442D01*
-X32616639Y-31172500D01*
-X32616639Y-30827500D01*
-X32611464Y-30774958D01*
-X32683800Y-30804921D01*
-X32683800Y-30804921D01*
-G37*
-X32683800Y-30804921D02*
-X32787258Y-30825500D01*
-X32892742Y-30825500D01*
-X32899657Y-30824125D01*
-X33043361Y-30967829D01*
-X33043361Y-31062500D01*
-X33050742Y-31137442D01*
-X33072602Y-31209504D01*
-X33108100Y-31275916D01*
-X33155873Y-31334127D01*
-X33214084Y-31381900D01*
-X33280496Y-31417398D01*
-X33352558Y-31439258D01*
-X33427500Y-31446639D01*
-X33772500Y-31446639D01*
-X33847442Y-31439258D01*
-X33919504Y-31417398D01*
-X33985916Y-31381900D01*
-X34044127Y-31334127D01*
-X34091900Y-31275916D01*
-X34100000Y-31260762D01*
-X34108100Y-31275916D01*
-X34155873Y-31334127D01*
-X34214084Y-31381900D01*
-X34280496Y-31417398D01*
-X34352558Y-31439258D01*
-X34427500Y-31446639D01*
-X34772500Y-31446639D01*
-X34847442Y-31439258D01*
-X34919504Y-31417398D01*
-X34985916Y-31381900D01*
-X35044127Y-31334127D01*
-X35064498Y-31309305D01*
-X35064499Y-31950866D01*
-X34887867Y-32127499D01*
-X31793306Y-32127499D01*
-X31767001Y-32124908D01*
-X31740696Y-32127499D01*
-X31740694Y-32127499D01*
-X31662025Y-32135247D01*
-X31561082Y-32165868D01*
-X31522342Y-32186575D01*
-X31468053Y-32215593D01*
-X31439650Y-32238903D01*
-X31386513Y-32282511D01*
-X31369743Y-32302946D01*
-X30644000Y-33028690D01*
-X30644000Y-31378245D01*
-X30648100Y-31385916D01*
-X30695873Y-31444127D01*
-X30754084Y-31491900D01*
-X30820496Y-31527398D01*
-X30892558Y-31549258D01*
-X30967500Y-31556639D01*
-X31262500Y-31556639D01*
-X31337442Y-31549258D01*
-X31409504Y-31527398D01*
-X31475916Y-31491900D01*
-X31534127Y-31444127D01*
-X31581900Y-31385916D01*
-X31600000Y-31352053D01*
-X31618100Y-31385916D01*
-X31665873Y-31444127D01*
-X31724084Y-31491900D01*
-X31790496Y-31527398D01*
-X31862558Y-31549258D01*
-X31937500Y-31556639D01*
-X32232500Y-31556639D01*
-X32307442Y-31549258D01*
-X32379504Y-31527398D01*
-X32445916Y-31491900D01*
-X32504127Y-31444127D01*
-X32551900Y-31385916D01*
-X32587398Y-31319504D01*
-X32609258Y-31247442D01*
-X32616639Y-31172500D01*
-X32616639Y-30827500D01*
-X32611464Y-30774958D01*
-X32683800Y-30804921D01*
-G36*
-X46864594Y-29440314D02*
-G01*
-X46914319Y-29466893D01*
-X46921386Y-29469037D01*
-X47782939Y-30330590D01*
-X47830164Y-30369346D01*
-X47884042Y-30398145D01*
-X47942503Y-30415879D01*
-X48003301Y-30421867D01*
-X48064099Y-30415879D01*
-X48122560Y-30398145D01*
-X48176438Y-30369346D01*
-X48223663Y-30330590D01*
-X48288753Y-30265500D01*
-X48587591Y-30265500D01*
-X48600496Y-30272398D01*
-X48672558Y-30294258D01*
-X48747500Y-30301639D01*
-X48854490Y-30301639D01*
-X48893693Y-30305500D01*
-X49043190Y-30305500D01*
-X49373361Y-30635672D01*
-X49373361Y-30662500D01*
-X49380742Y-30737442D01*
-X49402602Y-30809504D01*
-X49438100Y-30875916D01*
-X49485873Y-30934127D01*
-X49544084Y-30981900D01*
-X49610496Y-31017398D01*
-X49682558Y-31039258D01*
-X49757500Y-31046639D01*
-X49864490Y-31046639D01*
-X49903693Y-31050500D01*
-X49913097Y-31050500D01*
-X50359653Y-31497057D01*
-X50376421Y-31517489D01*
-X50396852Y-31534256D01*
-X50396854Y-31534258D01*
-X50457961Y-31584407D01*
-X50550990Y-31634132D01*
-X50651933Y-31664753D01*
-X50730602Y-31672501D01*
-X50730603Y-31672501D01*
-X50756908Y-31675092D01*
-X50783213Y-31672501D01*
-X50978221Y-31672501D01*
-X51054710Y-31748990D01*
-X48623053Y-31748990D01*
-X47786970Y-30912908D01*
-X47786970Y-30903466D01*
-X47788489Y-30888045D01*
-X47782426Y-30826490D01*
-X47764472Y-30767301D01*
-X47735314Y-30712752D01*
-X47720694Y-30694937D01*
-X47711144Y-30683300D01*
-X47707911Y-30650471D01*
-X47690177Y-30592010D01*
-X47661378Y-30538132D01*
-X47622622Y-30490907D01*
-X46685706Y-29553991D01*
-X46684159Y-29552722D01*
-X46747807Y-29500488D01*
-X46764583Y-29480046D01*
-X46820339Y-29424290D01*
-X46834614Y-29424290D01*
-X46864594Y-29440314D01*
-X46864594Y-29440314D01*
-G37*
-X46864594Y-29440314D02*
-X46914319Y-29466893D01*
-X46921386Y-29469037D01*
-X47782939Y-30330590D01*
-X47830164Y-30369346D01*
-X47884042Y-30398145D01*
-X47942503Y-30415879D01*
-X48003301Y-30421867D01*
-X48064099Y-30415879D01*
-X48122560Y-30398145D01*
-X48176438Y-30369346D01*
-X48223663Y-30330590D01*
-X48288753Y-30265500D01*
-X48587591Y-30265500D01*
-X48600496Y-30272398D01*
-X48672558Y-30294258D01*
-X48747500Y-30301639D01*
-X48854490Y-30301639D01*
-X48893693Y-30305500D01*
-X49043190Y-30305500D01*
-X49373361Y-30635672D01*
-X49373361Y-30662500D01*
-X49380742Y-30737442D01*
-X49402602Y-30809504D01*
-X49438100Y-30875916D01*
-X49485873Y-30934127D01*
-X49544084Y-30981900D01*
-X49610496Y-31017398D01*
-X49682558Y-31039258D01*
-X49757500Y-31046639D01*
-X49864490Y-31046639D01*
-X49903693Y-31050500D01*
-X49913097Y-31050500D01*
-X50359653Y-31497057D01*
-X50376421Y-31517489D01*
-X50396852Y-31534256D01*
-X50396854Y-31534258D01*
-X50457961Y-31584407D01*
-X50550990Y-31634132D01*
-X50651933Y-31664753D01*
-X50730602Y-31672501D01*
-X50730603Y-31672501D01*
-X50756908Y-31675092D01*
-X50783213Y-31672501D01*
-X50978221Y-31672501D01*
-X51054710Y-31748990D01*
-X48623053Y-31748990D01*
-X47786970Y-30912908D01*
-X47786970Y-30903466D01*
-X47788489Y-30888045D01*
-X47782426Y-30826490D01*
-X47764472Y-30767301D01*
-X47735314Y-30712752D01*
-X47720694Y-30694937D01*
-X47711144Y-30683300D01*
-X47707911Y-30650471D01*
-X47690177Y-30592010D01*
-X47661378Y-30538132D01*
-X47622622Y-30490907D01*
-X46685706Y-29553991D01*
-X46684159Y-29552722D01*
-X46747807Y-29500488D01*
-X46764583Y-29480046D01*
-X46820339Y-29424290D01*
-X46834614Y-29424290D01*
-X46864594Y-29440314D01*
-G36*
-X53834321Y-28523140D02*
-G01*
-X53894064Y-28595936D01*
-X53966860Y-28655679D01*
-X54049913Y-28700072D01*
-X54140031Y-28727408D01*
-X54233750Y-28736639D01*
-X55146250Y-28736639D01*
-X55239969Y-28727408D01*
-X55330087Y-28700072D01*
-X55413140Y-28655679D01*
-X55422497Y-28648000D01*
-X55755318Y-28648000D01*
-X55776860Y-28665679D01*
-X55859913Y-28710072D01*
-X55950031Y-28737408D01*
-X56043750Y-28746639D01*
-X56956250Y-28746639D01*
-X57049969Y-28737408D01*
-X57140087Y-28710072D01*
-X57223140Y-28665679D01*
-X57232497Y-28658000D01*
-X57464500Y-28658000D01*
-X57464501Y-28980652D01*
-X57445593Y-29003692D01*
-X57395868Y-29096721D01*
-X57365247Y-29197664D01*
-X57358359Y-29267602D01*
-X57354908Y-29302639D01*
-X57357499Y-29328944D01*
-X57357499Y-29737883D01*
-X57339258Y-29798015D01*
-X57331720Y-29874554D01*
-X57328919Y-29902990D01*
-X57331510Y-29929295D01*
-X57331510Y-30209705D01*
-X57234706Y-30306510D01*
-X56801307Y-30306510D01*
-X56775000Y-30303919D01*
-X56697469Y-30311555D01*
-X56670024Y-30314258D01*
-X56569081Y-30344879D01*
-X56476053Y-30394604D01*
-X56394512Y-30461522D01*
-X56327594Y-30543063D01*
-X56277869Y-30636091D01*
-X56247248Y-30737034D01*
-X56236909Y-30842010D01*
-X56239500Y-30868317D01*
-X56239500Y-30876395D01*
-X56203834Y-30879908D01*
-X56159442Y-30893374D01*
-X56144239Y-30901500D01*
-X53255761Y-30901500D01*
-X53240558Y-30893374D01*
-X53196166Y-30879908D01*
-X53150000Y-30875361D01*
-X52100000Y-30875361D01*
-X52053834Y-30879908D01*
-X52009442Y-30893374D01*
-X51994239Y-30901500D01*
-X51721840Y-30901500D01*
-X51597295Y-30776955D01*
-X51580519Y-30756513D01*
-X51498978Y-30689595D01*
-X51405950Y-30639870D01*
-X51305007Y-30609249D01*
-X51226338Y-30601501D01*
-X51226336Y-30601501D01*
-X51200031Y-30598910D01*
-X51173726Y-30601501D01*
-X50978720Y-30601501D01*
-X50732479Y-30355260D01*
-X50757752Y-30271946D01*
-X50765500Y-30193277D01*
-X50765500Y-29511307D01*
-X50768091Y-29485000D01*
-X50757752Y-29380024D01*
-X50727131Y-29279081D01*
-X50677406Y-29186053D01*
-X50610488Y-29104512D01*
-X50528947Y-29037594D01*
-X50435919Y-28987869D01*
-X50334976Y-28957248D01*
-X50333899Y-28957142D01*
-X50374127Y-28924127D01*
-X50421900Y-28865916D01*
-X50457398Y-28799504D01*
-X50468361Y-28763364D01*
-X50468361Y-28771250D01*
-X50477111Y-28860092D01*
-X50503025Y-28945520D01*
-X50545108Y-29024251D01*
-X50601741Y-29093259D01*
-X50670749Y-29149892D01*
-X50749480Y-29191975D01*
-X50834908Y-29217889D01*
-X50923750Y-29226639D01*
-X51436250Y-29226639D01*
-X51525092Y-29217889D01*
-X51610520Y-29191975D01*
-X51689251Y-29149892D01*
-X51758259Y-29093259D01*
-X51779500Y-29067377D01*
-X51779500Y-29582742D01*
-X51784657Y-29608668D01*
-X51787248Y-29634976D01*
-X51794921Y-29660270D01*
-X51800079Y-29686200D01*
-X51810198Y-29710630D01*
-X51817870Y-29735919D01*
-X51830326Y-29759222D01*
-X51840446Y-29783654D01*
-X51855139Y-29805643D01*
-X51867595Y-29828947D01*
-X51884359Y-29849374D01*
-X51899050Y-29871361D01*
-X51917746Y-29890057D01*
-X51934513Y-29910488D01*
-X51954944Y-29927255D01*
-X51973639Y-29945950D01*
-X51995625Y-29960641D01*
-X52016054Y-29977406D01*
-X52039360Y-29989864D01*
-X52061346Y-30004554D01*
-X52085774Y-30014672D01*
-X52109082Y-30027131D01*
-X52134374Y-30034803D01*
-X52158800Y-30044921D01*
-X52184730Y-30050079D01*
-X52210025Y-30057752D01*
-X52236332Y-30060343D01*
-X52262258Y-30065500D01*
-X52288693Y-30065500D01*
-X52315000Y-30068091D01*
-X52341307Y-30065500D01*
-X52367742Y-30065500D01*
-X52393668Y-30060343D01*
-X52419976Y-30057752D01*
-X52445273Y-30050078D01*
-X52471200Y-30044921D01*
-X52495624Y-30034804D01*
-X52520919Y-30027131D01*
-X52544231Y-30014671D01*
-X52568654Y-30004554D01*
-X52590636Y-29989866D01*
-X52613947Y-29977406D01*
-X52634380Y-29960637D01*
-X52656361Y-29945950D01*
-X52675051Y-29927260D01*
-X52695488Y-29910488D01*
-X52712260Y-29890051D01*
-X52730950Y-29871361D01*
-X52745637Y-29849380D01*
-X52762406Y-29828947D01*
-X52774866Y-29805636D01*
-X52789554Y-29783654D01*
-X52799671Y-29759231D01*
-X52812131Y-29735919D01*
-X52819804Y-29710624D01*
-X52829921Y-29686200D01*
-X52835078Y-29660273D01*
-X52842752Y-29634976D01*
-X52845343Y-29608668D01*
-X52850500Y-29582742D01*
-X52850500Y-29175395D01*
-X52865873Y-29194127D01*
-X52924084Y-29241900D01*
-X52990496Y-29277398D01*
-X53062558Y-29299258D01*
-X53137500Y-29306639D01*
-X53432500Y-29306639D01*
-X53507442Y-29299258D01*
-X53579504Y-29277398D01*
-X53645916Y-29241900D01*
-X53704127Y-29194127D01*
-X53751900Y-29135916D01*
-X53787398Y-29069504D01*
-X53809258Y-28997442D01*
-X53816639Y-28922500D01*
-X53816639Y-28577500D01*
-X53809258Y-28502558D01*
-X53790805Y-28441728D01*
-X53834321Y-28523140D01*
-X53834321Y-28523140D01*
-G37*
-X53834321Y-28523140D02*
-X53894064Y-28595936D01*
-X53966860Y-28655679D01*
-X54049913Y-28700072D01*
-X54140031Y-28727408D01*
-X54233750Y-28736639D01*
-X55146250Y-28736639D01*
-X55239969Y-28727408D01*
-X55330087Y-28700072D01*
-X55413140Y-28655679D01*
-X55422497Y-28648000D01*
-X55755318Y-28648000D01*
-X55776860Y-28665679D01*
-X55859913Y-28710072D01*
-X55950031Y-28737408D01*
-X56043750Y-28746639D01*
-X56956250Y-28746639D01*
-X57049969Y-28737408D01*
-X57140087Y-28710072D01*
-X57223140Y-28665679D01*
-X57232497Y-28658000D01*
-X57464500Y-28658000D01*
-X57464501Y-28980652D01*
-X57445593Y-29003692D01*
-X57395868Y-29096721D01*
-X57365247Y-29197664D01*
-X57358359Y-29267602D01*
-X57354908Y-29302639D01*
-X57357499Y-29328944D01*
-X57357499Y-29737883D01*
-X57339258Y-29798015D01*
-X57331720Y-29874554D01*
-X57328919Y-29902990D01*
-X57331510Y-29929295D01*
-X57331510Y-30209705D01*
-X57234706Y-30306510D01*
-X56801307Y-30306510D01*
-X56775000Y-30303919D01*
-X56697469Y-30311555D01*
-X56670024Y-30314258D01*
-X56569081Y-30344879D01*
-X56476053Y-30394604D01*
-X56394512Y-30461522D01*
-X56327594Y-30543063D01*
-X56277869Y-30636091D01*
-X56247248Y-30737034D01*
-X56236909Y-30842010D01*
-X56239500Y-30868317D01*
-X56239500Y-30876395D01*
-X56203834Y-30879908D01*
-X56159442Y-30893374D01*
-X56144239Y-30901500D01*
-X53255761Y-30901500D01*
-X53240558Y-30893374D01*
-X53196166Y-30879908D01*
-X53150000Y-30875361D01*
-X52100000Y-30875361D01*
-X52053834Y-30879908D01*
-X52009442Y-30893374D01*
-X51994239Y-30901500D01*
-X51721840Y-30901500D01*
-X51597295Y-30776955D01*
-X51580519Y-30756513D01*
-X51498978Y-30689595D01*
-X51405950Y-30639870D01*
-X51305007Y-30609249D01*
-X51226338Y-30601501D01*
-X51226336Y-30601501D01*
-X51200031Y-30598910D01*
-X51173726Y-30601501D01*
-X50978720Y-30601501D01*
-X50732479Y-30355260D01*
-X50757752Y-30271946D01*
-X50765500Y-30193277D01*
-X50765500Y-29511307D01*
-X50768091Y-29485000D01*
-X50757752Y-29380024D01*
-X50727131Y-29279081D01*
-X50677406Y-29186053D01*
-X50610488Y-29104512D01*
-X50528947Y-29037594D01*
-X50435919Y-28987869D01*
-X50334976Y-28957248D01*
-X50333899Y-28957142D01*
-X50374127Y-28924127D01*
-X50421900Y-28865916D01*
-X50457398Y-28799504D01*
-X50468361Y-28763364D01*
-X50468361Y-28771250D01*
-X50477111Y-28860092D01*
-X50503025Y-28945520D01*
-X50545108Y-29024251D01*
-X50601741Y-29093259D01*
-X50670749Y-29149892D01*
-X50749480Y-29191975D01*
-X50834908Y-29217889D01*
-X50923750Y-29226639D01*
-X51436250Y-29226639D01*
-X51525092Y-29217889D01*
-X51610520Y-29191975D01*
-X51689251Y-29149892D01*
-X51758259Y-29093259D01*
-X51779500Y-29067377D01*
-X51779500Y-29582742D01*
-X51784657Y-29608668D01*
-X51787248Y-29634976D01*
-X51794921Y-29660270D01*
-X51800079Y-29686200D01*
-X51810198Y-29710630D01*
-X51817870Y-29735919D01*
-X51830326Y-29759222D01*
-X51840446Y-29783654D01*
-X51855139Y-29805643D01*
-X51867595Y-29828947D01*
-X51884359Y-29849374D01*
-X51899050Y-29871361D01*
-X51917746Y-29890057D01*
-X51934513Y-29910488D01*
-X51954944Y-29927255D01*
-X51973639Y-29945950D01*
-X51995625Y-29960641D01*
-X52016054Y-29977406D01*
-X52039360Y-29989864D01*
-X52061346Y-30004554D01*
-X52085774Y-30014672D01*
-X52109082Y-30027131D01*
-X52134374Y-30034803D01*
-X52158800Y-30044921D01*
-X52184730Y-30050079D01*
-X52210025Y-30057752D01*
-X52236332Y-30060343D01*
-X52262258Y-30065500D01*
-X52288693Y-30065500D01*
-X52315000Y-30068091D01*
-X52341307Y-30065500D01*
-X52367742Y-30065500D01*
-X52393668Y-30060343D01*
-X52419976Y-30057752D01*
-X52445273Y-30050078D01*
-X52471200Y-30044921D01*
-X52495624Y-30034804D01*
-X52520919Y-30027131D01*
-X52544231Y-30014671D01*
-X52568654Y-30004554D01*
-X52590636Y-29989866D01*
-X52613947Y-29977406D01*
-X52634380Y-29960637D01*
-X52656361Y-29945950D01*
-X52675051Y-29927260D01*
-X52695488Y-29910488D01*
-X52712260Y-29890051D01*
-X52730950Y-29871361D01*
-X52745637Y-29849380D01*
-X52762406Y-29828947D01*
-X52774866Y-29805636D01*
-X52789554Y-29783654D01*
-X52799671Y-29759231D01*
-X52812131Y-29735919D01*
-X52819804Y-29710624D01*
-X52829921Y-29686200D01*
-X52835078Y-29660273D01*
-X52842752Y-29634976D01*
-X52845343Y-29608668D01*
-X52850500Y-29582742D01*
-X52850500Y-29175395D01*
-X52865873Y-29194127D01*
-X52924084Y-29241900D01*
-X52990496Y-29277398D01*
-X53062558Y-29299258D01*
-X53137500Y-29306639D01*
-X53432500Y-29306639D01*
-X53507442Y-29299258D01*
-X53579504Y-29277398D01*
-X53645916Y-29241900D01*
-X53704127Y-29194127D01*
-X53751900Y-29135916D01*
-X53787398Y-29069504D01*
-X53809258Y-28997442D01*
-X53816639Y-28922500D01*
-X53816639Y-28577500D01*
-X53809258Y-28502558D01*
-X53790805Y-28441728D01*
-X53834321Y-28523140D01*
-G36*
-X31618100Y-26385916D02*
-G01*
-X31665873Y-26444127D01*
-X31724084Y-26491900D01*
-X31749500Y-26505485D01*
-X31749501Y-27518512D01*
-X31747877Y-27535000D01*
-X31754355Y-27600769D01*
-X31771569Y-27657514D01*
-X31773540Y-27664011D01*
-X31804693Y-27722296D01*
-X31846619Y-27773382D01*
-X31859418Y-27783886D01*
-X32051109Y-27975577D01*
-X32061618Y-27988382D01*
-X32112704Y-28030308D01*
-X32170989Y-28061461D01*
-X32189337Y-28067027D01*
-X32186132Y-28073024D01*
-X32169109Y-28129141D01*
-X32163361Y-28187500D01*
-X32163361Y-28312500D01*
-X32169109Y-28370859D01*
-X32186132Y-28426976D01*
-X32213775Y-28478693D01*
-X32231261Y-28500000D01*
-X32213775Y-28521307D01*
-X32186132Y-28573024D01*
-X32169109Y-28629141D01*
-X32163361Y-28687500D01*
-X32163361Y-28812500D01*
-X32169109Y-28870859D01*
-X32186132Y-28926976D01*
-X32213775Y-28978693D01*
-X32231261Y-29000000D01*
-X32213775Y-29021307D01*
-X32186132Y-29073024D01*
-X32169109Y-29129141D01*
-X32163361Y-29187500D01*
-X32163361Y-29312500D01*
-X32166694Y-29346338D01*
-X31859418Y-29653614D01*
-X31846619Y-29664118D01*
-X31804693Y-29715204D01*
-X31773540Y-29773489D01*
-X31754355Y-29836731D01*
-X31747877Y-29902500D01*
-X31749501Y-29918988D01*
-X31749500Y-30494515D01*
-X31724084Y-30508100D01*
-X31665873Y-30555873D01*
-X31618100Y-30614084D01*
-X31600000Y-30647947D01*
-X31581900Y-30614084D01*
-X31534127Y-30555873D01*
-X31475916Y-30508100D01*
-X31450500Y-30494515D01*
-X31450500Y-29977622D01*
-X31461470Y-29971758D01*
-X31497329Y-29942329D01*
-X31526758Y-29906470D01*
-X31548626Y-29865558D01*
-X31562092Y-29821166D01*
-X31566639Y-29775000D01*
-X31566639Y-29125000D01*
-X31562092Y-29078834D01*
-X31548626Y-29034442D01*
-X31526758Y-28993530D01*
-X31497329Y-28957671D01*
-X31461470Y-28928242D01*
-X31420558Y-28906374D01*
-X31376166Y-28892908D01*
-X31330000Y-28888361D01*
-X30270000Y-28888361D01*
-X30223834Y-28892908D01*
-X30179442Y-28906374D01*
-X30138530Y-28928242D01*
-X30102671Y-28957671D01*
-X30093538Y-28968800D01*
-X30028654Y-28925446D01*
-X29931200Y-28885079D01*
-X29827742Y-28864500D01*
-X29722258Y-28864500D01*
-X29618800Y-28885079D01*
-X29521346Y-28925446D01*
-X29433639Y-28984050D01*
-X29359050Y-29058639D01*
-X29356929Y-29061813D01*
-X29348626Y-29034442D01*
-X29326758Y-28993530D01*
-X29311551Y-28975000D01*
-X29326758Y-28956470D01*
-X29348626Y-28915558D01*
-X29362092Y-28871166D01*
-X29366639Y-28825000D01*
-X29366639Y-28175000D01*
-X29362092Y-28128834D01*
-X29348626Y-28084442D01*
-X29326758Y-28043530D01*
-X29311551Y-28025000D01*
-X29326758Y-28006470D01*
-X29348626Y-27965558D01*
-X29362092Y-27921166D01*
-X29363143Y-27910500D01*
-X29380134Y-27910500D01*
-X29384050Y-27916361D01*
-X29458639Y-27990950D01*
-X29546346Y-28049554D01*
-X29643800Y-28089921D01*
-X29747258Y-28110500D01*
-X29852742Y-28110500D01*
-X29956200Y-28089921D01*
-X30053654Y-28049554D01*
-X30089141Y-28025842D01*
-X30102671Y-28042329D01*
-X30138530Y-28071758D01*
-X30179442Y-28093626D01*
-X30223834Y-28107092D01*
-X30270000Y-28111639D01*
-X31330000Y-28111639D01*
-X31376166Y-28107092D01*
-X31420558Y-28093626D01*
-X31461470Y-28071758D01*
-X31497329Y-28042329D01*
-X31526758Y-28006470D01*
-X31548626Y-27965558D01*
-X31562092Y-27921166D01*
-X31566639Y-27875000D01*
-X31566639Y-27225000D01*
-X31562092Y-27178834D01*
-X31548626Y-27134442D01*
-X31526758Y-27093530D01*
-X31497329Y-27057671D01*
-X31461470Y-27028242D01*
-X31450500Y-27022378D01*
-X31450500Y-26505485D01*
-X31475916Y-26491900D01*
-X31534127Y-26444127D01*
-X31581900Y-26385916D01*
-X31600000Y-26352053D01*
-X31618100Y-26385916D01*
-X31618100Y-26385916D01*
-G37*
-X31618100Y-26385916D02*
-X31665873Y-26444127D01*
-X31724084Y-26491900D01*
-X31749500Y-26505485D01*
-X31749501Y-27518512D01*
-X31747877Y-27535000D01*
-X31754355Y-27600769D01*
-X31771569Y-27657514D01*
-X31773540Y-27664011D01*
-X31804693Y-27722296D01*
-X31846619Y-27773382D01*
-X31859418Y-27783886D01*
-X32051109Y-27975577D01*
-X32061618Y-27988382D01*
-X32112704Y-28030308D01*
-X32170989Y-28061461D01*
-X32189337Y-28067027D01*
-X32186132Y-28073024D01*
-X32169109Y-28129141D01*
-X32163361Y-28187500D01*
-X32163361Y-28312500D01*
-X32169109Y-28370859D01*
-X32186132Y-28426976D01*
-X32213775Y-28478693D01*
-X32231261Y-28500000D01*
-X32213775Y-28521307D01*
-X32186132Y-28573024D01*
-X32169109Y-28629141D01*
-X32163361Y-28687500D01*
-X32163361Y-28812500D01*
-X32169109Y-28870859D01*
-X32186132Y-28926976D01*
-X32213775Y-28978693D01*
-X32231261Y-29000000D01*
-X32213775Y-29021307D01*
-X32186132Y-29073024D01*
-X32169109Y-29129141D01*
-X32163361Y-29187500D01*
-X32163361Y-29312500D01*
-X32166694Y-29346338D01*
-X31859418Y-29653614D01*
-X31846619Y-29664118D01*
-X31804693Y-29715204D01*
-X31773540Y-29773489D01*
-X31754355Y-29836731D01*
-X31747877Y-29902500D01*
-X31749501Y-29918988D01*
-X31749500Y-30494515D01*
-X31724084Y-30508100D01*
-X31665873Y-30555873D01*
-X31618100Y-30614084D01*
-X31600000Y-30647947D01*
-X31581900Y-30614084D01*
-X31534127Y-30555873D01*
-X31475916Y-30508100D01*
-X31450500Y-30494515D01*
-X31450500Y-29977622D01*
-X31461470Y-29971758D01*
-X31497329Y-29942329D01*
-X31526758Y-29906470D01*
-X31548626Y-29865558D01*
-X31562092Y-29821166D01*
-X31566639Y-29775000D01*
-X31566639Y-29125000D01*
-X31562092Y-29078834D01*
-X31548626Y-29034442D01*
-X31526758Y-28993530D01*
-X31497329Y-28957671D01*
-X31461470Y-28928242D01*
-X31420558Y-28906374D01*
-X31376166Y-28892908D01*
-X31330000Y-28888361D01*
-X30270000Y-28888361D01*
-X30223834Y-28892908D01*
-X30179442Y-28906374D01*
-X30138530Y-28928242D01*
-X30102671Y-28957671D01*
-X30093538Y-28968800D01*
-X30028654Y-28925446D01*
-X29931200Y-28885079D01*
-X29827742Y-28864500D01*
-X29722258Y-28864500D01*
-X29618800Y-28885079D01*
-X29521346Y-28925446D01*
-X29433639Y-28984050D01*
-X29359050Y-29058639D01*
-X29356929Y-29061813D01*
-X29348626Y-29034442D01*
-X29326758Y-28993530D01*
-X29311551Y-28975000D01*
-X29326758Y-28956470D01*
-X29348626Y-28915558D01*
-X29362092Y-28871166D01*
-X29366639Y-28825000D01*
-X29366639Y-28175000D01*
-X29362092Y-28128834D01*
-X29348626Y-28084442D01*
-X29326758Y-28043530D01*
-X29311551Y-28025000D01*
-X29326758Y-28006470D01*
-X29348626Y-27965558D01*
-X29362092Y-27921166D01*
-X29363143Y-27910500D01*
-X29380134Y-27910500D01*
-X29384050Y-27916361D01*
-X29458639Y-27990950D01*
-X29546346Y-28049554D01*
-X29643800Y-28089921D01*
-X29747258Y-28110500D01*
-X29852742Y-28110500D01*
-X29956200Y-28089921D01*
-X30053654Y-28049554D01*
-X30089141Y-28025842D01*
-X30102671Y-28042329D01*
-X30138530Y-28071758D01*
-X30179442Y-28093626D01*
-X30223834Y-28107092D01*
-X30270000Y-28111639D01*
-X31330000Y-28111639D01*
-X31376166Y-28107092D01*
-X31420558Y-28093626D01*
-X31461470Y-28071758D01*
-X31497329Y-28042329D01*
-X31526758Y-28006470D01*
-X31548626Y-27965558D01*
-X31562092Y-27921166D01*
-X31566639Y-27875000D01*
-X31566639Y-27225000D01*
-X31562092Y-27178834D01*
-X31548626Y-27134442D01*
-X31526758Y-27093530D01*
-X31497329Y-27057671D01*
-X31461470Y-27028242D01*
-X31450500Y-27022378D01*
-X31450500Y-26505485D01*
-X31475916Y-26491900D01*
-X31534127Y-26444127D01*
-X31581900Y-26385916D01*
-X31600000Y-26352053D01*
-X31618100Y-26385916D01*
-G36*
-X32084500Y-21882742D02*
-G01*
-X32105079Y-21986200D01*
-X32145446Y-22083654D01*
-X32204050Y-22171361D01*
-X32278639Y-22245950D01*
-X32366346Y-22304554D01*
-X32463800Y-22344921D01*
-X32567258Y-22365500D01*
-X32672742Y-22365500D01*
-X32705017Y-22359080D01*
-X32708872Y-22362935D01*
-X32708877Y-22362939D01*
-X33169944Y-22824007D01*
-X33179778Y-22835990D01*
-X33227591Y-22875228D01*
-X33282140Y-22904386D01*
-X33341329Y-22922340D01*
-X33348284Y-22923025D01*
-X33402884Y-22928403D01*
-X33418305Y-22926884D01*
-X36946427Y-22926884D01*
-X39037596Y-25018054D01*
-X38991329Y-25042785D01*
-X38944104Y-25081541D01*
-X38838038Y-25187607D01*
-X38799282Y-25234832D01*
-X38770483Y-25288710D01*
-X38752749Y-25347171D01*
-X38752513Y-25349569D01*
-X38750115Y-25349805D01*
-X38691654Y-25367539D01*
-X38637776Y-25396338D01*
-X38590551Y-25435094D01*
-X38484485Y-25541160D01*
-X38445729Y-25588385D01*
-X38420999Y-25634651D01*
-X37665229Y-24878882D01*
-X37655391Y-24866894D01*
-X37630667Y-24846604D01*
-X37312944Y-24528882D01*
-X37303106Y-24516894D01*
-X37255293Y-24477656D01*
-X37200744Y-24448498D01*
-X37141555Y-24430544D01*
-X37095422Y-24426000D01*
-X37095421Y-24426000D01*
-X37080000Y-24424481D01*
-X37064579Y-24426000D01*
-X36250422Y-24426000D01*
-X36235001Y-24424481D01*
-X36173446Y-24430544D01*
-X36114256Y-24448498D01*
-X36072063Y-24471052D01*
-X36059708Y-24477656D01*
-X36011895Y-24516894D01*
-X36002057Y-24528882D01*
-X35813047Y-24717892D01*
-X35813041Y-24717897D01*
-X35777576Y-24753362D01*
-X35517500Y-24753362D01*
-X35442558Y-24760743D01*
-X35370496Y-24782603D01*
-X35304084Y-24818101D01*
-X35245873Y-24865874D01*
-X35198100Y-24924085D01*
-X35162602Y-24990497D01*
-X35140742Y-25062559D01*
-X35133361Y-25137501D01*
-X35133361Y-25397577D01*
-X34923367Y-25607572D01*
-X34911385Y-25617405D01*
-X34886193Y-25648102D01*
-X34872146Y-25665219D01*
-X34842989Y-25719767D01*
-X34825034Y-25778957D01*
-X34818971Y-25840511D01*
-X34820491Y-25855942D01*
-X34820490Y-26545727D01*
-X34818971Y-26561149D01*
-X34820490Y-26576570D01*
-X34825034Y-26622703D01*
-X34842988Y-26681892D01*
-X34872146Y-26736441D01*
-X34911384Y-26784255D01*
-X34923372Y-26794093D01*
-X34930429Y-26801150D01*
-X34865118Y-26828203D01*
-X34777411Y-26886807D01*
-X34702822Y-26961396D01*
-X34644218Y-27049103D01*
-X34603851Y-27146557D01*
-X34583272Y-27250015D01*
-X34583272Y-27355499D01*
-X34603851Y-27458957D01*
-X34644218Y-27556411D01*
-X34693943Y-27630829D01*
-X34688361Y-27687500D01*
-X34688361Y-27812500D01*
-X34694109Y-27870859D01*
-X34711132Y-27926976D01*
-X34738775Y-27978693D01*
-X34756261Y-28000000D01*
-X34738775Y-28021307D01*
-X34711132Y-28073024D01*
-X34694109Y-28129141D01*
-X34688361Y-28187500D01*
-X34688361Y-28312500D01*
-X34694109Y-28370859D01*
-X34711132Y-28426976D01*
-X34738775Y-28478693D01*
-X34756261Y-28500000D01*
-X34738775Y-28521307D01*
-X34711132Y-28573024D01*
-X34694109Y-28629141D01*
-X34688361Y-28687500D01*
-X34688361Y-28812500D01*
-X34694109Y-28870859D01*
-X34711132Y-28926976D01*
-X34738775Y-28978693D01*
-X34756261Y-29000000D01*
-X34738775Y-29021307D01*
-X34711132Y-29073024D01*
-X34694109Y-29129141D01*
-X34688361Y-29187500D01*
-X34688361Y-29217217D01*
-X34676976Y-29211132D01*
-X34620859Y-29194109D01*
-X34562500Y-29188361D01*
-X34437500Y-29188361D01*
-X34379141Y-29194109D01*
-X34323024Y-29211132D01*
-X34271307Y-29238775D01*
-X34250000Y-29256261D01*
-X34228693Y-29238775D01*
-X34176976Y-29211132D01*
-X34120859Y-29194109D01*
-X34062500Y-29188361D01*
-X33937500Y-29188361D01*
-X33879141Y-29194109D01*
-X33823024Y-29211132D01*
-X33771307Y-29238775D01*
-X33750000Y-29256261D01*
-X33728693Y-29238775D01*
-X33676976Y-29211132D01*
-X33620859Y-29194109D01*
-X33562500Y-29188361D01*
-X33437500Y-29188361D01*
-X33379141Y-29194109D01*
-X33323024Y-29211132D01*
-X33311639Y-29217217D01*
-X33311639Y-29187500D01*
-X33305891Y-29129141D01*
-X33288868Y-29073024D01*
-X33261225Y-29021307D01*
-X33243739Y-29000000D01*
-X33261225Y-28978693D01*
-X33288868Y-28926976D01*
-X33305891Y-28870859D01*
-X33311639Y-28812500D01*
-X33311639Y-28687500D01*
-X33305891Y-28629141D01*
-X33288868Y-28573024D01*
-X33261225Y-28521307D01*
-X33243739Y-28500000D01*
-X33261225Y-28478693D01*
-X33288868Y-28426976D01*
-X33305891Y-28370859D01*
-X33311639Y-28312500D01*
-X33311639Y-28187500D01*
-X33305891Y-28129141D01*
-X33288868Y-28073024D01*
-X33261225Y-28021307D01*
-X33243739Y-28000000D01*
-X33261225Y-27978693D01*
-X33288868Y-27926976D01*
-X33290556Y-27921412D01*
-X33403662Y-27808306D01*
-X33437500Y-27811639D01*
-X33562500Y-27811639D01*
-X33620859Y-27805891D01*
-X33676976Y-27788868D01*
-X33728693Y-27761225D01*
-X33774023Y-27724023D01*
-X33811225Y-27678693D01*
-X33838868Y-27626976D01*
-X33855891Y-27570859D01*
-X33861639Y-27512500D01*
-X33861639Y-26962500D01*
-X33855891Y-26904141D01*
-X33838868Y-26848024D01*
-X33811225Y-26796307D01*
-X33774023Y-26750977D01*
-X33728693Y-26713775D01*
-X33676976Y-26686132D01*
-X33650102Y-26677980D01*
-X33685453Y-26642629D01*
-X33713437Y-26600748D01*
-X33728639Y-26615950D01*
-X33816346Y-26674554D01*
-X33913800Y-26714921D01*
-X34017258Y-26735500D01*
-X34122742Y-26735500D01*
-X34226200Y-26714921D01*
-X34323654Y-26674554D01*
-X34411361Y-26615950D01*
-X34485950Y-26541361D01*
-X34544554Y-26453654D01*
-X34584921Y-26356200D01*
-X34605500Y-26252742D01*
-X34605500Y-26147258D01*
-X34584921Y-26043800D01*
-X34544554Y-25946346D01*
-X34485950Y-25858639D01*
-X34411361Y-25784050D01*
-X34323654Y-25725446D01*
-X34226200Y-25685079D01*
-X34122742Y-25664500D01*
-X34017258Y-25664500D01*
-X33984983Y-25670920D01*
-X31634000Y-23319938D01*
-X31634000Y-22139093D01*
-X31737413Y-22107723D01*
-X31925989Y-22006927D01*
-X32084500Y-21876841D01*
-X32084500Y-21882742D01*
-X32084500Y-21882742D01*
-G37*
-X32084500Y-21882742D02*
-X32105079Y-21986200D01*
-X32145446Y-22083654D01*
-X32204050Y-22171361D01*
-X32278639Y-22245950D01*
-X32366346Y-22304554D01*
-X32463800Y-22344921D01*
-X32567258Y-22365500D01*
-X32672742Y-22365500D01*
-X32705017Y-22359080D01*
-X32708872Y-22362935D01*
-X32708877Y-22362939D01*
-X33169944Y-22824007D01*
-X33179778Y-22835990D01*
-X33227591Y-22875228D01*
-X33282140Y-22904386D01*
-X33341329Y-22922340D01*
-X33348284Y-22923025D01*
-X33402884Y-22928403D01*
-X33418305Y-22926884D01*
-X36946427Y-22926884D01*
-X39037596Y-25018054D01*
-X38991329Y-25042785D01*
-X38944104Y-25081541D01*
-X38838038Y-25187607D01*
-X38799282Y-25234832D01*
-X38770483Y-25288710D01*
-X38752749Y-25347171D01*
-X38752513Y-25349569D01*
-X38750115Y-25349805D01*
-X38691654Y-25367539D01*
-X38637776Y-25396338D01*
-X38590551Y-25435094D01*
-X38484485Y-25541160D01*
-X38445729Y-25588385D01*
-X38420999Y-25634651D01*
-X37665229Y-24878882D01*
-X37655391Y-24866894D01*
-X37630667Y-24846604D01*
-X37312944Y-24528882D01*
-X37303106Y-24516894D01*
-X37255293Y-24477656D01*
-X37200744Y-24448498D01*
-X37141555Y-24430544D01*
-X37095422Y-24426000D01*
-X37095421Y-24426000D01*
-X37080000Y-24424481D01*
-X37064579Y-24426000D01*
-X36250422Y-24426000D01*
-X36235001Y-24424481D01*
-X36173446Y-24430544D01*
-X36114256Y-24448498D01*
-X36072063Y-24471052D01*
-X36059708Y-24477656D01*
-X36011895Y-24516894D01*
-X36002057Y-24528882D01*
-X35813047Y-24717892D01*
-X35813041Y-24717897D01*
-X35777576Y-24753362D01*
-X35517500Y-24753362D01*
-X35442558Y-24760743D01*
-X35370496Y-24782603D01*
-X35304084Y-24818101D01*
-X35245873Y-24865874D01*
-X35198100Y-24924085D01*
-X35162602Y-24990497D01*
-X35140742Y-25062559D01*
-X35133361Y-25137501D01*
-X35133361Y-25397577D01*
-X34923367Y-25607572D01*
-X34911385Y-25617405D01*
-X34886193Y-25648102D01*
-X34872146Y-25665219D01*
-X34842989Y-25719767D01*
-X34825034Y-25778957D01*
-X34818971Y-25840511D01*
-X34820491Y-25855942D01*
-X34820490Y-26545727D01*
-X34818971Y-26561149D01*
-X34820490Y-26576570D01*
-X34825034Y-26622703D01*
-X34842988Y-26681892D01*
-X34872146Y-26736441D01*
-X34911384Y-26784255D01*
-X34923372Y-26794093D01*
-X34930429Y-26801150D01*
-X34865118Y-26828203D01*
-X34777411Y-26886807D01*
-X34702822Y-26961396D01*
-X34644218Y-27049103D01*
-X34603851Y-27146557D01*
-X34583272Y-27250015D01*
-X34583272Y-27355499D01*
-X34603851Y-27458957D01*
-X34644218Y-27556411D01*
-X34693943Y-27630829D01*
-X34688361Y-27687500D01*
-X34688361Y-27812500D01*
-X34694109Y-27870859D01*
-X34711132Y-27926976D01*
-X34738775Y-27978693D01*
-X34756261Y-28000000D01*
-X34738775Y-28021307D01*
-X34711132Y-28073024D01*
-X34694109Y-28129141D01*
-X34688361Y-28187500D01*
-X34688361Y-28312500D01*
-X34694109Y-28370859D01*
-X34711132Y-28426976D01*
-X34738775Y-28478693D01*
-X34756261Y-28500000D01*
-X34738775Y-28521307D01*
-X34711132Y-28573024D01*
-X34694109Y-28629141D01*
-X34688361Y-28687500D01*
-X34688361Y-28812500D01*
-X34694109Y-28870859D01*
-X34711132Y-28926976D01*
-X34738775Y-28978693D01*
-X34756261Y-29000000D01*
-X34738775Y-29021307D01*
-X34711132Y-29073024D01*
-X34694109Y-29129141D01*
-X34688361Y-29187500D01*
-X34688361Y-29217217D01*
-X34676976Y-29211132D01*
-X34620859Y-29194109D01*
-X34562500Y-29188361D01*
-X34437500Y-29188361D01*
-X34379141Y-29194109D01*
-X34323024Y-29211132D01*
-X34271307Y-29238775D01*
-X34250000Y-29256261D01*
-X34228693Y-29238775D01*
-X34176976Y-29211132D01*
-X34120859Y-29194109D01*
-X34062500Y-29188361D01*
-X33937500Y-29188361D01*
-X33879141Y-29194109D01*
-X33823024Y-29211132D01*
-X33771307Y-29238775D01*
-X33750000Y-29256261D01*
-X33728693Y-29238775D01*
-X33676976Y-29211132D01*
-X33620859Y-29194109D01*
-X33562500Y-29188361D01*
-X33437500Y-29188361D01*
-X33379141Y-29194109D01*
-X33323024Y-29211132D01*
-X33311639Y-29217217D01*
-X33311639Y-29187500D01*
-X33305891Y-29129141D01*
-X33288868Y-29073024D01*
-X33261225Y-29021307D01*
-X33243739Y-29000000D01*
-X33261225Y-28978693D01*
-X33288868Y-28926976D01*
-X33305891Y-28870859D01*
-X33311639Y-28812500D01*
-X33311639Y-28687500D01*
-X33305891Y-28629141D01*
-X33288868Y-28573024D01*
-X33261225Y-28521307D01*
-X33243739Y-28500000D01*
-X33261225Y-28478693D01*
-X33288868Y-28426976D01*
-X33305891Y-28370859D01*
-X33311639Y-28312500D01*
-X33311639Y-28187500D01*
-X33305891Y-28129141D01*
-X33288868Y-28073024D01*
-X33261225Y-28021307D01*
-X33243739Y-28000000D01*
-X33261225Y-27978693D01*
-X33288868Y-27926976D01*
-X33290556Y-27921412D01*
-X33403662Y-27808306D01*
-X33437500Y-27811639D01*
-X33562500Y-27811639D01*
-X33620859Y-27805891D01*
-X33676976Y-27788868D01*
-X33728693Y-27761225D01*
-X33774023Y-27724023D01*
-X33811225Y-27678693D01*
-X33838868Y-27626976D01*
-X33855891Y-27570859D01*
-X33861639Y-27512500D01*
-X33861639Y-26962500D01*
-X33855891Y-26904141D01*
-X33838868Y-26848024D01*
-X33811225Y-26796307D01*
-X33774023Y-26750977D01*
-X33728693Y-26713775D01*
-X33676976Y-26686132D01*
-X33650102Y-26677980D01*
-X33685453Y-26642629D01*
-X33713437Y-26600748D01*
-X33728639Y-26615950D01*
-X33816346Y-26674554D01*
-X33913800Y-26714921D01*
-X34017258Y-26735500D01*
-X34122742Y-26735500D01*
-X34226200Y-26714921D01*
-X34323654Y-26674554D01*
-X34411361Y-26615950D01*
-X34485950Y-26541361D01*
-X34544554Y-26453654D01*
-X34584921Y-26356200D01*
-X34605500Y-26252742D01*
-X34605500Y-26147258D01*
-X34584921Y-26043800D01*
-X34544554Y-25946346D01*
-X34485950Y-25858639D01*
-X34411361Y-25784050D01*
-X34323654Y-25725446D01*
-X34226200Y-25685079D01*
-X34122742Y-25664500D01*
-X34017258Y-25664500D01*
-X33984983Y-25670920D01*
-X31634000Y-23319938D01*
-X31634000Y-22139093D01*
-X31737413Y-22107723D01*
-X31925989Y-22006927D01*
-X32084500Y-21876841D01*
-X32084500Y-21882742D01*
-G36*
-X51793361Y-23923172D02*
-G01*
-X51793361Y-24346250D01*
-X51797082Y-24384024D01*
-X51612953Y-24568153D01*
-X51592511Y-24584929D01*
-X51525593Y-24666470D01*
-X51475868Y-24759499D01*
-X51445247Y-24860442D01*
-X51439845Y-24915292D01*
-X51434908Y-24965417D01*
-X51435543Y-24971862D01*
-X51400000Y-24968361D01*
-X50340000Y-24968361D01*
-X50293834Y-24972908D01*
-X50249442Y-24986374D01*
-X50208530Y-25008242D01*
-X50172671Y-25037671D01*
-X50143242Y-25073530D01*
-X50121374Y-25114442D01*
-X50107908Y-25158834D01*
-X50103361Y-25205000D01*
-X50103361Y-25855000D01*
-X50107908Y-25901166D01*
-X50121374Y-25945558D01*
-X50143242Y-25986470D01*
-X50172671Y-26022329D01*
-X50208530Y-26051758D01*
-X50249442Y-26073626D01*
-X50293834Y-26087092D01*
-X50340000Y-26091639D01*
-X51400000Y-26091639D01*
-X51437500Y-26087946D01*
-X51437500Y-26346684D01*
-X51434908Y-26372999D01*
-X51445248Y-26477975D01*
-X51445862Y-26480000D01*
-X51445248Y-26482025D01*
-X51434908Y-26587001D01*
-X51437500Y-26613316D01*
-X51437500Y-26872054D01*
-X51400000Y-26868361D01*
-X50340000Y-26868361D01*
-X50293834Y-26872908D01*
-X50249442Y-26886374D01*
-X50208530Y-26908242D01*
-X50172671Y-26937671D01*
-X50143242Y-26973530D01*
-X50121374Y-27014442D01*
-X50107908Y-27058834D01*
-X50103361Y-27105000D01*
-X50103361Y-27230526D01*
-X50091900Y-27209084D01*
-X50044127Y-27150873D01*
-X49985916Y-27103100D01*
-X49919504Y-27067602D01*
-X49847442Y-27045742D01*
-X49772500Y-27038361D01*
-X49427500Y-27038361D01*
-X49352558Y-27045742D01*
-X49280496Y-27067602D01*
-X49214084Y-27103100D01*
-X49155873Y-27150873D01*
-X49108100Y-27209084D01*
-X49072602Y-27275496D01*
-X49050742Y-27347558D01*
-X49043361Y-27422500D01*
-X49043361Y-27717500D01*
-X49050742Y-27792442D01*
-X49072602Y-27864504D01*
-X49108100Y-27930916D01*
-X49155873Y-27989127D01*
-X49214084Y-28036900D01*
-X49280496Y-28072398D01*
-X49352558Y-28094258D01*
-X49427500Y-28101639D01*
-X49472934Y-28101639D01*
-X49438100Y-28144084D01*
-X49402602Y-28210496D01*
-X49380742Y-28282558D01*
-X49373361Y-28357500D01*
-X49373361Y-28652500D01*
-X49380742Y-28727442D01*
-X49402602Y-28799504D01*
-X49438100Y-28865916D01*
-X49485873Y-28924127D01*
-X49544084Y-28971900D01*
-X49577947Y-28990000D01*
-X49544084Y-29008100D01*
-X49485873Y-29055873D01*
-X49479877Y-29063179D01*
-X49429512Y-29104512D01*
-X49412740Y-29124950D01*
-X49343189Y-29194500D01*
-X48351811Y-29194500D01*
-X48175500Y-29018190D01*
-X48175500Y-28476306D01*
-X48178091Y-28450001D01*
-X48175500Y-28423693D01*
-X48175500Y-28141811D01*
-X48277257Y-28040054D01*
-X48327406Y-27978947D01*
-X48377131Y-27885919D01*
-X48407751Y-27784977D01*
-X48418091Y-27680001D01*
-X48407751Y-27575024D01*
-X48407486Y-27574149D01*
-X48418468Y-27537947D01*
-X48424945Y-27472178D01*
-X48421556Y-27437767D01*
-X48424946Y-27403346D01*
-X48418469Y-27337577D01*
-X48401418Y-27281368D01*
-X48413551Y-27241369D01*
-X48418458Y-27237342D01*
-X48428959Y-27224547D01*
-X48428963Y-27224543D01*
-X48443869Y-27206380D01*
-X48460385Y-27186256D01*
-X48491538Y-27127971D01*
-X48498506Y-27105000D01*
-X48510723Y-27064730D01*
-X48517200Y-26998960D01*
-X48515577Y-26982480D01*
-X48515577Y-26496557D01*
-X48517200Y-26480077D01*
-X48510723Y-26414307D01*
-X48492794Y-26355207D01*
-X48491538Y-26351066D01*
-X48460385Y-26292781D01*
-X48418459Y-26241695D01*
-X48405659Y-26231191D01*
-X47485500Y-25311032D01*
-X47485500Y-24907216D01*
-X47495198Y-24930630D01*
-X47502869Y-24955917D01*
-X47515327Y-24979224D01*
-X47525446Y-25003654D01*
-X47540135Y-25025638D01*
-X47552594Y-25048947D01*
-X47569361Y-25069377D01*
-X47584050Y-25091361D01*
-X47602748Y-25110059D01*
-X47619513Y-25130487D01*
-X47639941Y-25147252D01*
-X47658639Y-25165950D01*
-X47680623Y-25180639D01*
-X47701053Y-25197406D01*
-X47724362Y-25209865D01*
-X47746346Y-25224554D01*
-X47770776Y-25234673D01*
-X47794083Y-25247131D01*
-X47819370Y-25254802D01*
-X47843800Y-25264921D01*
-X47869736Y-25270080D01*
-X47895024Y-25277751D01*
-X47921325Y-25280342D01*
-X47947258Y-25285500D01*
-X47973695Y-25285500D01*
-X48000000Y-25288091D01*
-X48026305Y-25285500D01*
-X48052742Y-25285500D01*
-X48078675Y-25280342D01*
-X48104976Y-25277751D01*
-X48130264Y-25270080D01*
-X48156200Y-25264921D01*
-X48180630Y-25254802D01*
-X48205917Y-25247131D01*
-X48229224Y-25234673D01*
-X48253654Y-25224554D01*
-X48275638Y-25209865D01*
-X48298947Y-25197406D01*
-X48319378Y-25180639D01*
-X48341361Y-25165950D01*
-X48415950Y-25091361D01*
-X48415952Y-25091358D01*
-X49247257Y-24260054D01*
-X49247260Y-24260050D01*
-X50238821Y-23268490D01*
-X51138680Y-23268490D01*
-X51793361Y-23923172D01*
-X51793361Y-23923172D01*
-G37*
-X51793361Y-23923172D02*
-X51793361Y-24346250D01*
-X51797082Y-24384024D01*
-X51612953Y-24568153D01*
-X51592511Y-24584929D01*
-X51525593Y-24666470D01*
-X51475868Y-24759499D01*
-X51445247Y-24860442D01*
-X51439845Y-24915292D01*
-X51434908Y-24965417D01*
-X51435543Y-24971862D01*
-X51400000Y-24968361D01*
-X50340000Y-24968361D01*
-X50293834Y-24972908D01*
-X50249442Y-24986374D01*
-X50208530Y-25008242D01*
-X50172671Y-25037671D01*
-X50143242Y-25073530D01*
-X50121374Y-25114442D01*
-X50107908Y-25158834D01*
-X50103361Y-25205000D01*
-X50103361Y-25855000D01*
-X50107908Y-25901166D01*
-X50121374Y-25945558D01*
-X50143242Y-25986470D01*
-X50172671Y-26022329D01*
-X50208530Y-26051758D01*
-X50249442Y-26073626D01*
-X50293834Y-26087092D01*
-X50340000Y-26091639D01*
-X51400000Y-26091639D01*
-X51437500Y-26087946D01*
-X51437500Y-26346684D01*
-X51434908Y-26372999D01*
-X51445248Y-26477975D01*
-X51445862Y-26480000D01*
-X51445248Y-26482025D01*
-X51434908Y-26587001D01*
-X51437500Y-26613316D01*
-X51437500Y-26872054D01*
-X51400000Y-26868361D01*
-X50340000Y-26868361D01*
-X50293834Y-26872908D01*
-X50249442Y-26886374D01*
-X50208530Y-26908242D01*
-X50172671Y-26937671D01*
-X50143242Y-26973530D01*
-X50121374Y-27014442D01*
-X50107908Y-27058834D01*
-X50103361Y-27105000D01*
-X50103361Y-27230526D01*
-X50091900Y-27209084D01*
-X50044127Y-27150873D01*
-X49985916Y-27103100D01*
-X49919504Y-27067602D01*
-X49847442Y-27045742D01*
-X49772500Y-27038361D01*
-X49427500Y-27038361D01*
-X49352558Y-27045742D01*
-X49280496Y-27067602D01*
-X49214084Y-27103100D01*
-X49155873Y-27150873D01*
-X49108100Y-27209084D01*
-X49072602Y-27275496D01*
-X49050742Y-27347558D01*
-X49043361Y-27422500D01*
-X49043361Y-27717500D01*
-X49050742Y-27792442D01*
-X49072602Y-27864504D01*
-X49108100Y-27930916D01*
-X49155873Y-27989127D01*
-X49214084Y-28036900D01*
-X49280496Y-28072398D01*
-X49352558Y-28094258D01*
-X49427500Y-28101639D01*
-X49472934Y-28101639D01*
-X49438100Y-28144084D01*
-X49402602Y-28210496D01*
-X49380742Y-28282558D01*
-X49373361Y-28357500D01*
-X49373361Y-28652500D01*
-X49380742Y-28727442D01*
-X49402602Y-28799504D01*
-X49438100Y-28865916D01*
-X49485873Y-28924127D01*
-X49544084Y-28971900D01*
-X49577947Y-28990000D01*
-X49544084Y-29008100D01*
-X49485873Y-29055873D01*
-X49479877Y-29063179D01*
-X49429512Y-29104512D01*
-X49412740Y-29124950D01*
-X49343189Y-29194500D01*
-X48351811Y-29194500D01*
-X48175500Y-29018190D01*
-X48175500Y-28476306D01*
-X48178091Y-28450001D01*
-X48175500Y-28423693D01*
-X48175500Y-28141811D01*
-X48277257Y-28040054D01*
-X48327406Y-27978947D01*
-X48377131Y-27885919D01*
-X48407751Y-27784977D01*
-X48418091Y-27680001D01*
-X48407751Y-27575024D01*
-X48407486Y-27574149D01*
-X48418468Y-27537947D01*
-X48424945Y-27472178D01*
-X48421556Y-27437767D01*
-X48424946Y-27403346D01*
-X48418469Y-27337577D01*
-X48401418Y-27281368D01*
-X48413551Y-27241369D01*
-X48418458Y-27237342D01*
-X48428959Y-27224547D01*
-X48428963Y-27224543D01*
-X48443869Y-27206380D01*
-X48460385Y-27186256D01*
-X48491538Y-27127971D01*
-X48498506Y-27105000D01*
-X48510723Y-27064730D01*
-X48517200Y-26998960D01*
-X48515577Y-26982480D01*
-X48515577Y-26496557D01*
-X48517200Y-26480077D01*
-X48510723Y-26414307D01*
-X48492794Y-26355207D01*
-X48491538Y-26351066D01*
-X48460385Y-26292781D01*
-X48418459Y-26241695D01*
-X48405659Y-26231191D01*
-X47485500Y-25311032D01*
-X47485500Y-24907216D01*
-X47495198Y-24930630D01*
-X47502869Y-24955917D01*
-X47515327Y-24979224D01*
-X47525446Y-25003654D01*
-X47540135Y-25025638D01*
-X47552594Y-25048947D01*
-X47569361Y-25069377D01*
-X47584050Y-25091361D01*
-X47602748Y-25110059D01*
-X47619513Y-25130487D01*
-X47639941Y-25147252D01*
-X47658639Y-25165950D01*
-X47680623Y-25180639D01*
-X47701053Y-25197406D01*
-X47724362Y-25209865D01*
-X47746346Y-25224554D01*
-X47770776Y-25234673D01*
-X47794083Y-25247131D01*
-X47819370Y-25254802D01*
-X47843800Y-25264921D01*
-X47869736Y-25270080D01*
-X47895024Y-25277751D01*
-X47921325Y-25280342D01*
-X47947258Y-25285500D01*
-X47973695Y-25285500D01*
-X48000000Y-25288091D01*
-X48026305Y-25285500D01*
-X48052742Y-25285500D01*
-X48078675Y-25280342D01*
-X48104976Y-25277751D01*
-X48130264Y-25270080D01*
-X48156200Y-25264921D01*
-X48180630Y-25254802D01*
-X48205917Y-25247131D01*
-X48229224Y-25234673D01*
-X48253654Y-25224554D01*
-X48275638Y-25209865D01*
-X48298947Y-25197406D01*
-X48319378Y-25180639D01*
-X48341361Y-25165950D01*
-X48415950Y-25091361D01*
-X48415952Y-25091358D01*
-X49247257Y-24260054D01*
-X49247260Y-24260050D01*
-X50238821Y-23268490D01*
-X51138680Y-23268490D01*
-X51793361Y-23923172D01*
-G36*
-X37476624Y-27802451D02*
-G01*
-X37476624Y-27886815D01*
-X37475001Y-27903293D01*
-X37476624Y-27919771D01*
-X37481479Y-27969062D01*
-X37495943Y-28016746D01*
-X36547516Y-28965173D01*
-X36549507Y-28955164D01*
-X36549507Y-28849680D01*
-X36528928Y-28746222D01*
-X36488561Y-28648768D01*
-X36429957Y-28561061D01*
-X36355368Y-28486472D01*
-X36267661Y-28427868D01*
-X36170207Y-28387501D01*
-X36066749Y-28366922D01*
-X36027140Y-28366922D01*
-X36076620Y-28317442D01*
-X36088607Y-28307605D01*
-X36127845Y-28259792D01*
-X36157003Y-28205243D01*
-X36174957Y-28146054D01*
-X36179501Y-28099921D01*
-X36179501Y-28099920D01*
-X36181020Y-28084499D01*
-X36179501Y-28069078D01*
-X36179501Y-27726197D01*
-X36222558Y-27739258D01*
-X36297500Y-27746639D01*
-X36642500Y-27746639D01*
-X36717442Y-27739258D01*
-X36789504Y-27717398D01*
-X36855916Y-27681900D01*
-X36914127Y-27634127D01*
-X36954035Y-27585500D01*
-X37259673Y-27585500D01*
-X37476624Y-27802451D01*
-X37476624Y-27802451D01*
-G37*
-X37476624Y-27802451D02*
-X37476624Y-27886815D01*
-X37475001Y-27903293D01*
-X37476624Y-27919771D01*
-X37481479Y-27969062D01*
-X37495943Y-28016746D01*
-X36547516Y-28965173D01*
-X36549507Y-28955164D01*
-X36549507Y-28849680D01*
-X36528928Y-28746222D01*
-X36488561Y-28648768D01*
-X36429957Y-28561061D01*
-X36355368Y-28486472D01*
-X36267661Y-28427868D01*
-X36170207Y-28387501D01*
-X36066749Y-28366922D01*
-X36027140Y-28366922D01*
-X36076620Y-28317442D01*
-X36088607Y-28307605D01*
-X36127845Y-28259792D01*
-X36157003Y-28205243D01*
-X36174957Y-28146054D01*
-X36179501Y-28099921D01*
-X36179501Y-28099920D01*
-X36181020Y-28084499D01*
-X36179501Y-28069078D01*
-X36179501Y-27726197D01*
-X36222558Y-27739258D01*
-X36297500Y-27746639D01*
-X36642500Y-27746639D01*
-X36717442Y-27739258D01*
-X36789504Y-27717398D01*
-X36855916Y-27681900D01*
-X36914127Y-27634127D01*
-X36954035Y-27585500D01*
-X37259673Y-27585500D01*
-X37476624Y-27802451D01*
-G36*
-X46142587Y-20092277D02*
-G01*
-X45954011Y-20193073D01*
-X45788722Y-20328722D01*
-X45653073Y-20494011D01*
-X45552277Y-20682587D01*
-X45490206Y-20887205D01*
-X45469248Y-21100000D01*
-X45490206Y-21312795D01*
-X45528129Y-21437808D01*
-X44095114Y-22870824D01*
-X44053415Y-22874931D01*
-X43994954Y-22892665D01*
-X43941076Y-22921464D01*
-X43893851Y-22960220D01*
-X42956935Y-23897136D01*
-X42918179Y-23944361D01*
-X42889380Y-23998239D01*
-X42871646Y-24056700D01*
-X42865658Y-24117498D01*
-X42871646Y-24178296D01*
-X42889380Y-24236757D01*
-X42918179Y-24290635D01*
-X42956935Y-24337860D01*
-X43063001Y-24443926D01*
-X43110226Y-24482682D01*
-X43164104Y-24511481D01*
-X43222565Y-24529215D01*
-X43224964Y-24529451D01*
-X43225200Y-24531850D01*
-X43242934Y-24590311D01*
-X43271733Y-24644189D01*
-X43310489Y-24691414D01*
-X43416555Y-24797480D01*
-X43463780Y-24836236D01*
-X43517658Y-24865035D01*
-X43576119Y-24882769D01*
-X43578517Y-24883005D01*
-X43578753Y-24885403D01*
-X43596487Y-24943864D01*
-X43625286Y-24997742D01*
-X43664042Y-25044967D01*
-X43770108Y-25151033D01*
-X43817333Y-25189789D01*
-X43871211Y-25218588D01*
-X43929672Y-25236322D01*
-X43932071Y-25236558D01*
-X43932307Y-25238957D01*
-X43950041Y-25297418D01*
-X43978840Y-25351296D01*
-X44017596Y-25398521D01*
-X44123662Y-25504587D01*
-X44170887Y-25543343D01*
-X44224765Y-25572142D01*
-X44283226Y-25589876D01*
-X44344024Y-25595864D01*
-X44404822Y-25589876D01*
-X44463283Y-25572142D01*
-X44517161Y-25543343D01*
-X44564386Y-25504587D01*
-X45501302Y-24567671D01*
-X45540058Y-24520446D01*
-X45568857Y-24466568D01*
-X45585740Y-24410912D01*
-X45600536Y-24396116D01*
-X45600541Y-24396110D01*
-X45982635Y-24014016D01*
-X45995434Y-24003512D01*
-X46037361Y-23952426D01*
-X46064724Y-23901232D01*
-X46173403Y-23792553D01*
-X46618085Y-23792553D01*
-X46814501Y-23988970D01*
-X46814500Y-25433522D01*
-X46813906Y-25439551D01*
-X46809449Y-25435094D01*
-X46762224Y-25396338D01*
-X46708346Y-25367539D01*
-X46649885Y-25349805D01*
-X46647487Y-25349569D01*
-X46647251Y-25347171D01*
-X46629517Y-25288710D01*
-X46600718Y-25234832D01*
-X46561962Y-25187607D01*
-X46455896Y-25081541D01*
-X46408671Y-25042785D01*
-X46354793Y-25013986D01*
-X46296332Y-24996252D01*
-X46293933Y-24996016D01*
-X46293697Y-24993617D01*
-X46275963Y-24935156D01*
-X46247164Y-24881278D01*
-X46208408Y-24834053D01*
-X46102342Y-24727987D01*
-X46055117Y-24689231D01*
-X46001239Y-24660432D01*
-X45942778Y-24642698D01*
-X45881980Y-24636710D01*
-X45821182Y-24642698D01*
-X45762721Y-24660432D01*
-X45708843Y-24689231D01*
-X45661618Y-24727987D01*
-X44724702Y-25664903D01*
-X44685946Y-25712128D01*
-X44657147Y-25766006D01*
-X44639413Y-25824467D01*
-X44633425Y-25885265D01*
-X44639413Y-25946063D01*
-X44657147Y-26004524D01*
-X44685946Y-26058402D01*
-X44724702Y-26105627D01*
-X44830768Y-26211693D01*
-X44877993Y-26250449D01*
-X44931871Y-26279248D01*
-X44990332Y-26296982D01*
-X44992731Y-26297218D01*
-X44992967Y-26299617D01*
-X45010701Y-26358078D01*
-X45039500Y-26411956D01*
-X45078256Y-26459181D01*
-X45184322Y-26565247D01*
-X45231547Y-26604003D01*
-X45285425Y-26632802D01*
-X45343886Y-26650536D01*
-X45346284Y-26650772D01*
-X45346520Y-26653170D01*
-X45364254Y-26711631D01*
-X45393053Y-26765509D01*
-X45431809Y-26812734D01*
-X45537875Y-26918800D01*
-X45585100Y-26957556D01*
-X45638978Y-26986355D01*
-X45697439Y-27004089D01*
-X45699837Y-27004325D01*
-X45700073Y-27006723D01*
-X45717807Y-27065184D01*
-X45746606Y-27119062D01*
-X45785362Y-27166287D01*
-X45891428Y-27272353D01*
-X45938653Y-27311109D01*
-X45992531Y-27339908D01*
-X46050992Y-27357642D01*
-X46053391Y-27357878D01*
-X46053627Y-27360277D01*
-X46071361Y-27418738D01*
-X46100160Y-27472616D01*
-X46138916Y-27519841D01*
-X46244982Y-27625907D01*
-X46292207Y-27664663D01*
-X46338473Y-27689393D01*
-X46148955Y-27878912D01*
-X46143461Y-27870689D01*
-X46068872Y-27796100D01*
-X45981165Y-27737496D01*
-X45883711Y-27697129D01*
-X45780253Y-27676550D01*
-X45674769Y-27676550D01*
-X45571311Y-27697129D01*
-X45473857Y-27737496D01*
-X45386150Y-27796100D01*
-X45311561Y-27870689D01*
-X45252957Y-27958396D01*
-X45212590Y-28055850D01*
-X45192011Y-28159308D01*
-X45192011Y-28264792D01*
-X45212590Y-28368250D01*
-X45252957Y-28465704D01*
-X45311561Y-28553411D01*
-X45342650Y-28584500D01*
-X42790481Y-28584500D01*
-X42551599Y-28345619D01*
-X42534823Y-28325177D01*
-X42453282Y-28258259D01*
-X42360254Y-28208534D01*
-X42259311Y-28177913D01*
-X42180642Y-28170165D01*
-X42180640Y-28170165D01*
-X42154335Y-28167574D01*
-X42128030Y-28170165D01*
-X41346534Y-28170165D01*
-X41373583Y-28104864D01*
-X41394162Y-28001406D01*
-X41394162Y-27895922D01*
-X41373583Y-27792464D01*
-X41333216Y-27695010D01*
-X41274612Y-27607303D01*
-X41200023Y-27532714D01*
-X41143090Y-27494673D01*
-X41173231Y-27474533D01*
-X41247820Y-27399944D01*
-X41306424Y-27312237D01*
-X41346791Y-27214783D01*
-X41367370Y-27111325D01*
-X41367370Y-27005841D01*
-X41346791Y-26902383D01*
-X41306424Y-26804929D01*
-X41247820Y-26717222D01*
-X41173231Y-26642633D01*
-X41085524Y-26584029D01*
-X40988070Y-26543662D01*
-X40884612Y-26523083D01*
-X40779128Y-26523083D01*
-X40732848Y-26532289D01*
-X40493866Y-26293307D01*
-X40507651Y-26291949D01*
-X40566840Y-26273995D01*
-X40621389Y-26244837D01*
-X40669203Y-26205599D01*
-X40708441Y-26157786D01*
-X40737599Y-26103237D01*
-X40755553Y-26044048D01*
-X40760097Y-25997915D01*
-X40760097Y-25967071D01*
-X40758655Y-25952432D01*
-X40760587Y-25946063D01*
-X40760823Y-25943665D01*
-X40763221Y-25943429D01*
-X40821682Y-25925695D01*
-X40875560Y-25896896D01*
-X40922785Y-25858140D01*
-X41028851Y-25752074D01*
-X41067607Y-25704849D01*
-X41096406Y-25650971D01*
-X41114140Y-25592510D01*
-X41114376Y-25590112D01*
-X41116774Y-25589876D01*
-X41175235Y-25572142D01*
-X41229113Y-25543343D01*
-X41276338Y-25504587D01*
-X41382404Y-25398521D01*
-X41421160Y-25351296D01*
-X41449959Y-25297418D01*
-X41467693Y-25238957D01*
-X41467929Y-25236558D01*
-X41470328Y-25236322D01*
-X41528789Y-25218588D01*
-X41582667Y-25189789D01*
-X41629892Y-25151033D01*
-X41735958Y-25044967D01*
-X41774714Y-24997742D01*
-X41803513Y-24943864D01*
-X41821247Y-24885403D01*
-X41821483Y-24883005D01*
-X41823881Y-24882769D01*
-X41882342Y-24865035D01*
-X41936220Y-24836236D01*
-X41983445Y-24797480D01*
-X42089511Y-24691414D01*
-X42128267Y-24644189D01*
-X42157066Y-24590311D01*
-X42174800Y-24531850D01*
-X42175036Y-24529451D01*
-X42177435Y-24529215D01*
-X42235896Y-24511481D01*
-X42289774Y-24482682D01*
-X42336999Y-24443926D01*
-X42443065Y-24337860D01*
-X42481821Y-24290635D01*
-X42510620Y-24236757D01*
-X42528354Y-24178296D01*
-X42534342Y-24117498D01*
-X42528354Y-24056700D01*
-X42510620Y-23998239D01*
-X42481821Y-23944361D01*
-X42443065Y-23897136D01*
-X42369996Y-23824067D01*
-X44008563Y-22185500D01*
-X44073326Y-22185500D01*
-X44232795Y-22169794D01*
-X44437413Y-22107723D01*
-X44625989Y-22006927D01*
-X44791278Y-21871278D01*
-X44926927Y-21705989D01*
-X45027723Y-21517413D01*
-X45089794Y-21312795D01*
-X45110752Y-21100000D01*
-X45089794Y-20887205D01*
-X45027723Y-20682587D01*
-X44926927Y-20494011D01*
-X44791278Y-20328722D01*
-X44625989Y-20193073D01*
-X44437413Y-20092277D01*
-X44332660Y-20060500D01*
-X46247340Y-20060500D01*
-X46142587Y-20092277D01*
-X46142587Y-20092277D01*
-G37*
-X46142587Y-20092277D02*
-X45954011Y-20193073D01*
-X45788722Y-20328722D01*
-X45653073Y-20494011D01*
-X45552277Y-20682587D01*
-X45490206Y-20887205D01*
-X45469248Y-21100000D01*
-X45490206Y-21312795D01*
-X45528129Y-21437808D01*
-X44095114Y-22870824D01*
-X44053415Y-22874931D01*
-X43994954Y-22892665D01*
-X43941076Y-22921464D01*
-X43893851Y-22960220D01*
-X42956935Y-23897136D01*
-X42918179Y-23944361D01*
-X42889380Y-23998239D01*
-X42871646Y-24056700D01*
-X42865658Y-24117498D01*
-X42871646Y-24178296D01*
-X42889380Y-24236757D01*
-X42918179Y-24290635D01*
-X42956935Y-24337860D01*
-X43063001Y-24443926D01*
-X43110226Y-24482682D01*
-X43164104Y-24511481D01*
-X43222565Y-24529215D01*
-X43224964Y-24529451D01*
-X43225200Y-24531850D01*
-X43242934Y-24590311D01*
-X43271733Y-24644189D01*
-X43310489Y-24691414D01*
-X43416555Y-24797480D01*
-X43463780Y-24836236D01*
-X43517658Y-24865035D01*
-X43576119Y-24882769D01*
-X43578517Y-24883005D01*
-X43578753Y-24885403D01*
-X43596487Y-24943864D01*
-X43625286Y-24997742D01*
-X43664042Y-25044967D01*
-X43770108Y-25151033D01*
-X43817333Y-25189789D01*
-X43871211Y-25218588D01*
-X43929672Y-25236322D01*
-X43932071Y-25236558D01*
-X43932307Y-25238957D01*
-X43950041Y-25297418D01*
-X43978840Y-25351296D01*
-X44017596Y-25398521D01*
-X44123662Y-25504587D01*
-X44170887Y-25543343D01*
-X44224765Y-25572142D01*
-X44283226Y-25589876D01*
-X44344024Y-25595864D01*
-X44404822Y-25589876D01*
-X44463283Y-25572142D01*
-X44517161Y-25543343D01*
-X44564386Y-25504587D01*
-X45501302Y-24567671D01*
-X45540058Y-24520446D01*
-X45568857Y-24466568D01*
-X45585740Y-24410912D01*
-X45600536Y-24396116D01*
-X45600541Y-24396110D01*
-X45982635Y-24014016D01*
-X45995434Y-24003512D01*
-X46037361Y-23952426D01*
-X46064724Y-23901232D01*
-X46173403Y-23792553D01*
-X46618085Y-23792553D01*
-X46814501Y-23988970D01*
-X46814500Y-25433522D01*
-X46813906Y-25439551D01*
-X46809449Y-25435094D01*
-X46762224Y-25396338D01*
-X46708346Y-25367539D01*
-X46649885Y-25349805D01*
-X46647487Y-25349569D01*
-X46647251Y-25347171D01*
-X46629517Y-25288710D01*
-X46600718Y-25234832D01*
-X46561962Y-25187607D01*
-X46455896Y-25081541D01*
-X46408671Y-25042785D01*
-X46354793Y-25013986D01*
-X46296332Y-24996252D01*
-X46293933Y-24996016D01*
-X46293697Y-24993617D01*
-X46275963Y-24935156D01*
-X46247164Y-24881278D01*
-X46208408Y-24834053D01*
-X46102342Y-24727987D01*
-X46055117Y-24689231D01*
-X46001239Y-24660432D01*
-X45942778Y-24642698D01*
-X45881980Y-24636710D01*
-X45821182Y-24642698D01*
-X45762721Y-24660432D01*
-X45708843Y-24689231D01*
-X45661618Y-24727987D01*
-X44724702Y-25664903D01*
-X44685946Y-25712128D01*
-X44657147Y-25766006D01*
-X44639413Y-25824467D01*
-X44633425Y-25885265D01*
-X44639413Y-25946063D01*
-X44657147Y-26004524D01*
-X44685946Y-26058402D01*
-X44724702Y-26105627D01*
-X44830768Y-26211693D01*
-X44877993Y-26250449D01*
-X44931871Y-26279248D01*
-X44990332Y-26296982D01*
-X44992731Y-26297218D01*
-X44992967Y-26299617D01*
-X45010701Y-26358078D01*
-X45039500Y-26411956D01*
-X45078256Y-26459181D01*
-X45184322Y-26565247D01*
-X45231547Y-26604003D01*
-X45285425Y-26632802D01*
-X45343886Y-26650536D01*
-X45346284Y-26650772D01*
-X45346520Y-26653170D01*
-X45364254Y-26711631D01*
-X45393053Y-26765509D01*
-X45431809Y-26812734D01*
-X45537875Y-26918800D01*
-X45585100Y-26957556D01*
-X45638978Y-26986355D01*
-X45697439Y-27004089D01*
-X45699837Y-27004325D01*
-X45700073Y-27006723D01*
-X45717807Y-27065184D01*
-X45746606Y-27119062D01*
-X45785362Y-27166287D01*
-X45891428Y-27272353D01*
-X45938653Y-27311109D01*
-X45992531Y-27339908D01*
-X46050992Y-27357642D01*
-X46053391Y-27357878D01*
-X46053627Y-27360277D01*
-X46071361Y-27418738D01*
-X46100160Y-27472616D01*
-X46138916Y-27519841D01*
-X46244982Y-27625907D01*
-X46292207Y-27664663D01*
-X46338473Y-27689393D01*
-X46148955Y-27878912D01*
-X46143461Y-27870689D01*
-X46068872Y-27796100D01*
-X45981165Y-27737496D01*
-X45883711Y-27697129D01*
-X45780253Y-27676550D01*
-X45674769Y-27676550D01*
-X45571311Y-27697129D01*
-X45473857Y-27737496D01*
-X45386150Y-27796100D01*
-X45311561Y-27870689D01*
-X45252957Y-27958396D01*
-X45212590Y-28055850D01*
-X45192011Y-28159308D01*
-X45192011Y-28264792D01*
-X45212590Y-28368250D01*
-X45252957Y-28465704D01*
-X45311561Y-28553411D01*
-X45342650Y-28584500D01*
-X42790481Y-28584500D01*
-X42551599Y-28345619D01*
-X42534823Y-28325177D01*
-X42453282Y-28258259D01*
-X42360254Y-28208534D01*
-X42259311Y-28177913D01*
-X42180642Y-28170165D01*
-X42180640Y-28170165D01*
-X42154335Y-28167574D01*
-X42128030Y-28170165D01*
-X41346534Y-28170165D01*
-X41373583Y-28104864D01*
-X41394162Y-28001406D01*
-X41394162Y-27895922D01*
-X41373583Y-27792464D01*
-X41333216Y-27695010D01*
-X41274612Y-27607303D01*
-X41200023Y-27532714D01*
-X41143090Y-27494673D01*
-X41173231Y-27474533D01*
-X41247820Y-27399944D01*
-X41306424Y-27312237D01*
-X41346791Y-27214783D01*
-X41367370Y-27111325D01*
-X41367370Y-27005841D01*
-X41346791Y-26902383D01*
-X41306424Y-26804929D01*
-X41247820Y-26717222D01*
-X41173231Y-26642633D01*
-X41085524Y-26584029D01*
-X40988070Y-26543662D01*
-X40884612Y-26523083D01*
-X40779128Y-26523083D01*
-X40732848Y-26532289D01*
-X40493866Y-26293307D01*
-X40507651Y-26291949D01*
-X40566840Y-26273995D01*
-X40621389Y-26244837D01*
-X40669203Y-26205599D01*
-X40708441Y-26157786D01*
-X40737599Y-26103237D01*
-X40755553Y-26044048D01*
-X40760097Y-25997915D01*
-X40760097Y-25967071D01*
-X40758655Y-25952432D01*
-X40760587Y-25946063D01*
-X40760823Y-25943665D01*
-X40763221Y-25943429D01*
-X40821682Y-25925695D01*
-X40875560Y-25896896D01*
-X40922785Y-25858140D01*
-X41028851Y-25752074D01*
-X41067607Y-25704849D01*
-X41096406Y-25650971D01*
-X41114140Y-25592510D01*
-X41114376Y-25590112D01*
-X41116774Y-25589876D01*
-X41175235Y-25572142D01*
-X41229113Y-25543343D01*
-X41276338Y-25504587D01*
-X41382404Y-25398521D01*
-X41421160Y-25351296D01*
-X41449959Y-25297418D01*
-X41467693Y-25238957D01*
-X41467929Y-25236558D01*
-X41470328Y-25236322D01*
-X41528789Y-25218588D01*
-X41582667Y-25189789D01*
-X41629892Y-25151033D01*
-X41735958Y-25044967D01*
-X41774714Y-24997742D01*
-X41803513Y-24943864D01*
-X41821247Y-24885403D01*
-X41821483Y-24883005D01*
-X41823881Y-24882769D01*
-X41882342Y-24865035D01*
-X41936220Y-24836236D01*
-X41983445Y-24797480D01*
-X42089511Y-24691414D01*
-X42128267Y-24644189D01*
-X42157066Y-24590311D01*
-X42174800Y-24531850D01*
-X42175036Y-24529451D01*
-X42177435Y-24529215D01*
-X42235896Y-24511481D01*
-X42289774Y-24482682D01*
-X42336999Y-24443926D01*
-X42443065Y-24337860D01*
-X42481821Y-24290635D01*
-X42510620Y-24236757D01*
-X42528354Y-24178296D01*
-X42534342Y-24117498D01*
-X42528354Y-24056700D01*
-X42510620Y-23998239D01*
-X42481821Y-23944361D01*
-X42443065Y-23897136D01*
-X42369996Y-23824067D01*
-X44008563Y-22185500D01*
-X44073326Y-22185500D01*
-X44232795Y-22169794D01*
-X44437413Y-22107723D01*
-X44625989Y-22006927D01*
-X44791278Y-21871278D01*
-X44926927Y-21705989D01*
-X45027723Y-21517413D01*
-X45089794Y-21312795D01*
-X45110752Y-21100000D01*
-X45089794Y-20887205D01*
-X45027723Y-20682587D01*
-X44926927Y-20494011D01*
-X44791278Y-20328722D01*
-X44625989Y-20193073D01*
-X44437413Y-20092277D01*
-X44332660Y-20060500D01*
-X46247340Y-20060500D01*
-X46142587Y-20092277D01*
-G36*
-X37293635Y-26379797D02*
-G01*
-X37397093Y-26400376D01*
-X37502577Y-26400376D01*
-X37576739Y-26385624D01*
-X37688981Y-26497866D01*
-X37692089Y-26529427D01*
-X37709823Y-26587888D01*
-X37738622Y-26641766D01*
-X37777378Y-26688991D01*
-X38714294Y-27625907D01*
-X38761519Y-27664663D01*
-X38815397Y-27693462D01*
-X38873858Y-27711196D01*
-X38934656Y-27717184D01*
-X38995454Y-27711196D01*
-X39053915Y-27693462D01*
-X39107793Y-27664663D01*
-X39155018Y-27625907D01*
-X39261084Y-27519841D01*
-X39299840Y-27472616D01*
-X39328639Y-27418738D01*
-X39346373Y-27360277D01*
-X39346609Y-27357878D01*
-X39349008Y-27357642D01*
-X39407469Y-27339908D01*
-X39461347Y-27311109D01*
-X39508572Y-27272353D01*
-X39614638Y-27166287D01*
-X39647889Y-27125770D01*
-X39658498Y-27160746D01*
-X39687656Y-27215295D01*
-X39726895Y-27263108D01*
-X39738877Y-27272941D01*
-X40329582Y-27863647D01*
-X40323162Y-27895922D01*
-X40323162Y-28001406D01*
-X40343741Y-28104864D01*
-X40370790Y-28170165D01*
-X38997102Y-28170165D01*
-X38836891Y-28009954D01*
-X38820115Y-27989512D01*
-X38738574Y-27922594D01*
-X38645546Y-27872869D01*
-X38607661Y-27861377D01*
-X38592733Y-27833449D01*
-X38553977Y-27786224D01*
-X37617061Y-26849308D01*
-X37569836Y-26810552D01*
-X37515958Y-26781753D01*
-X37457497Y-26764019D01*
-X37396699Y-26758031D01*
-X37335901Y-26764019D01*
-X37277440Y-26781753D01*
-X37223562Y-26810552D01*
-X37176337Y-26849308D01*
-X37111145Y-26914500D01*
-X36994193Y-26914500D01*
-X36961900Y-26854084D01*
-X36914127Y-26795873D01*
-X36898550Y-26783089D01*
-X36937442Y-26779259D01*
-X37009504Y-26757399D01*
-X37075916Y-26721901D01*
-X37134127Y-26674128D01*
-X37181900Y-26615917D01*
-X37217398Y-26549505D01*
-X37239258Y-26477443D01*
-X37246639Y-26402501D01*
-X37246639Y-26360331D01*
-X37293635Y-26379797D01*
-X37293635Y-26379797D01*
-G37*
-X37293635Y-26379797D02*
-X37397093Y-26400376D01*
-X37502577Y-26400376D01*
-X37576739Y-26385624D01*
-X37688981Y-26497866D01*
-X37692089Y-26529427D01*
-X37709823Y-26587888D01*
-X37738622Y-26641766D01*
-X37777378Y-26688991D01*
-X38714294Y-27625907D01*
-X38761519Y-27664663D01*
-X38815397Y-27693462D01*
-X38873858Y-27711196D01*
-X38934656Y-27717184D01*
-X38995454Y-27711196D01*
-X39053915Y-27693462D01*
-X39107793Y-27664663D01*
-X39155018Y-27625907D01*
-X39261084Y-27519841D01*
-X39299840Y-27472616D01*
-X39328639Y-27418738D01*
-X39346373Y-27360277D01*
-X39346609Y-27357878D01*
-X39349008Y-27357642D01*
-X39407469Y-27339908D01*
-X39461347Y-27311109D01*
-X39508572Y-27272353D01*
-X39614638Y-27166287D01*
-X39647889Y-27125770D01*
-X39658498Y-27160746D01*
-X39687656Y-27215295D01*
-X39726895Y-27263108D01*
-X39738877Y-27272941D01*
-X40329582Y-27863647D01*
-X40323162Y-27895922D01*
-X40323162Y-28001406D01*
-X40343741Y-28104864D01*
-X40370790Y-28170165D01*
-X38997102Y-28170165D01*
-X38836891Y-28009954D01*
-X38820115Y-27989512D01*
-X38738574Y-27922594D01*
-X38645546Y-27872869D01*
-X38607661Y-27861377D01*
-X38592733Y-27833449D01*
-X38553977Y-27786224D01*
-X37617061Y-26849308D01*
-X37569836Y-26810552D01*
-X37515958Y-26781753D01*
-X37457497Y-26764019D01*
-X37396699Y-26758031D01*
-X37335901Y-26764019D01*
-X37277440Y-26781753D01*
-X37223562Y-26810552D01*
-X37176337Y-26849308D01*
-X37111145Y-26914500D01*
-X36994193Y-26914500D01*
-X36961900Y-26854084D01*
-X36914127Y-26795873D01*
-X36898550Y-26783089D01*
-X36937442Y-26779259D01*
-X37009504Y-26757399D01*
-X37075916Y-26721901D01*
-X37134127Y-26674128D01*
-X37181900Y-26615917D01*
-X37217398Y-26549505D01*
-X37239258Y-26477443D01*
-X37246639Y-26402501D01*
-X37246639Y-26360331D01*
-X37293635Y-26379797D01*
-G36*
-X53762587Y-20092277D02*
-G01*
-X53574011Y-20193073D01*
-X53408722Y-20328722D01*
-X53273073Y-20494011D01*
-X53172277Y-20682587D01*
-X53110206Y-20887205D01*
-X53089248Y-21100000D01*
-X53110206Y-21312795D01*
-X53172277Y-21517413D01*
-X53273073Y-21705989D01*
-X53408722Y-21871278D01*
-X53574011Y-22006927D01*
-X53762587Y-22107723D01*
-X53967205Y-22169794D01*
-X54126674Y-22185500D01*
-X54233326Y-22185500D01*
-X54392795Y-22169794D01*
-X54597413Y-22107723D01*
-X54692718Y-22056781D01*
-X54818872Y-22182935D01*
-X54818877Y-22182939D01*
-X55147060Y-22511123D01*
-X55156894Y-22523106D01*
-X55204707Y-22562344D01*
-X55259256Y-22591502D01*
-X55318445Y-22609456D01*
-X55364578Y-22614000D01*
-X55364580Y-22614000D01*
-X55379999Y-22615519D01*
-X55395418Y-22614000D01*
-X58643840Y-22614000D01*
-X59793200Y-23763361D01*
-X58350000Y-23763361D01*
-X58303834Y-23767908D01*
-X58259442Y-23781374D01*
-X58218530Y-23803242D01*
-X58182671Y-23832671D01*
-X58153242Y-23868530D01*
-X58131374Y-23909442D01*
-X58117908Y-23953834D01*
-X58113361Y-24000000D01*
-X58113361Y-25165248D01*
-X57541686Y-25736923D01*
-X57525063Y-25750565D01*
-X57497908Y-25783654D01*
-X57470641Y-25816879D01*
-X57441520Y-25871361D01*
-X57430202Y-25892536D01*
-X57405299Y-25974628D01*
-X57399694Y-26031544D01*
-X57396891Y-26060000D01*
-X57398998Y-26081392D01*
-X57398999Y-27387000D01*
-X57232497Y-27387000D01*
-X57223140Y-27379321D01*
-X57140087Y-27334928D01*
-X57090206Y-27319797D01*
-X57165362Y-27279626D01*
-X57239106Y-27219106D01*
-X57299626Y-27145362D01*
-X57344596Y-27061229D01*
-X57372288Y-26969939D01*
-X57381639Y-26875000D01*
-X57381639Y-26125000D01*
-X57372288Y-26030061D01*
-X57366928Y-26012390D01*
-X57417573Y-25890123D01*
-X57430975Y-25845944D01*
-X57435500Y-25800000D01*
-X57435500Y-23130000D01*
-X57430975Y-23084056D01*
-X57417573Y-23039877D01*
-X57358994Y-22898456D01*
-X57337232Y-22857743D01*
-X57307945Y-22822056D01*
-X57272258Y-22792768D01*
-X57231544Y-22771006D01*
-X57090123Y-22712427D01*
-X57045944Y-22699025D01*
-X57000000Y-22694500D01*
-X54662843Y-22694500D01*
-X54616899Y-22699025D01*
-X54572721Y-22712426D01*
-X54431299Y-22771005D01*
-X54390584Y-22792768D01*
-X54354897Y-22822055D01*
-X54185291Y-22991661D01*
-X54164127Y-22965873D01*
-X54105916Y-22918100D01*
-X54039504Y-22882602D01*
-X53967442Y-22860742D01*
-X53892500Y-22853361D01*
-X53727424Y-22853361D01*
-X53509080Y-22635018D01*
-X53515500Y-22602742D01*
-X53515500Y-22497258D01*
-X53494921Y-22393800D01*
-X53454554Y-22296346D01*
-X53395950Y-22208639D01*
-X53321361Y-22134050D01*
-X53233654Y-22075446D01*
-X53136200Y-22035079D01*
-X53032742Y-22014500D01*
-X52927258Y-22014500D01*
-X52823800Y-22035079D01*
-X52726346Y-22075446D01*
-X52638639Y-22134050D01*
-X52564050Y-22208639D01*
-X52505446Y-22296346D01*
-X52465079Y-22393800D01*
-X52444500Y-22497258D01*
-X52444500Y-22602742D01*
-X52465079Y-22706200D01*
-X52505446Y-22803654D01*
-X52564050Y-22891361D01*
-X52626050Y-22953361D01*
-X52338172Y-22953361D01*
-X51757754Y-22372944D01*
-X51740978Y-22352502D01*
-X51659437Y-22285584D01*
-X51566409Y-22235859D01*
-X51465466Y-22205238D01*
-X51386797Y-22197490D01*
-X51386795Y-22197490D01*
-X51360490Y-22194899D01*
-X51334185Y-22197490D01*
-X50043314Y-22197490D01*
-X50017009Y-22194899D01*
-X49990704Y-22197490D01*
-X49990703Y-22197490D01*
-X49912034Y-22205238D01*
-X49815634Y-22234481D01*
-X49811091Y-22235859D01*
-X49718062Y-22285584D01*
-X49682829Y-22314499D01*
-X49636522Y-22352502D01*
-X49619750Y-22372939D01*
-X48489950Y-23502740D01*
-X48489946Y-23502743D01*
-X47658642Y-24334048D01*
-X47658639Y-24334050D01*
-X47584050Y-24408639D01*
-X47569361Y-24430622D01*
-X47552594Y-24451053D01*
-X47540135Y-24474362D01*
-X47525446Y-24496346D01*
-X47515327Y-24520776D01*
-X47502869Y-24544083D01*
-X47495198Y-24569370D01*
-X47485500Y-24592784D01*
-X47485500Y-23866478D01*
-X47487123Y-23850000D01*
-X47480645Y-23784230D01*
-X47473130Y-23759456D01*
-X47461461Y-23720989D01*
-X47430308Y-23662704D01*
-X47388382Y-23611618D01*
-X47375582Y-23601113D01*
-X47005944Y-23231476D01*
-X46995435Y-23218671D01*
-X46944349Y-23176745D01*
-X46886064Y-23145592D01*
-X46822822Y-23126408D01*
-X46773531Y-23121553D01*
-X46757053Y-23119930D01*
-X46740575Y-23121553D01*
-X46208759Y-23121553D01*
-X46046358Y-22959152D01*
-X45988147Y-22911379D01*
-X45921735Y-22875881D01*
-X45849673Y-22854021D01*
-X45774731Y-22846640D01*
-X45700069Y-22853993D01*
-X46060063Y-22494000D01*
-X46176130Y-22494000D01*
-X46186297Y-22502344D01*
-X46240846Y-22531502D01*
-X46300035Y-22549456D01*
-X46346168Y-22554000D01*
-X46346169Y-22554000D01*
-X46361590Y-22555519D01*
-X46377011Y-22554000D01*
-X47944579Y-22554000D01*
-X47960000Y-22555519D01*
-X47975421Y-22554000D01*
-X47975422Y-22554000D01*
-X48021555Y-22549456D01*
-X48080744Y-22531502D01*
-X48135293Y-22502344D01*
-X48183106Y-22463106D01*
-X48192944Y-22451118D01*
-X48587281Y-22056781D01*
-X48682587Y-22107723D01*
-X48887205Y-22169794D01*
-X49046674Y-22185500D01*
-X49153326Y-22185500D01*
-X49312795Y-22169794D01*
-X49517413Y-22107723D01*
-X49705989Y-22006927D01*
-X49871278Y-21871278D01*
-X50006927Y-21705989D01*
-X50107723Y-21517413D01*
-X50169794Y-21312795D01*
-X50190752Y-21100000D01*
-X50169794Y-20887205D01*
-X50107723Y-20682587D01*
-X50006927Y-20494011D01*
-X49871278Y-20328722D01*
-X49705989Y-20193073D01*
-X49517413Y-20092277D01*
-X49412660Y-20060500D01*
-X53867340Y-20060500D01*
-X53762587Y-20092277D01*
-X53762587Y-20092277D01*
-G37*
-X53762587Y-20092277D02*
-X53574011Y-20193073D01*
-X53408722Y-20328722D01*
-X53273073Y-20494011D01*
-X53172277Y-20682587D01*
-X53110206Y-20887205D01*
-X53089248Y-21100000D01*
-X53110206Y-21312795D01*
-X53172277Y-21517413D01*
-X53273073Y-21705989D01*
-X53408722Y-21871278D01*
-X53574011Y-22006927D01*
-X53762587Y-22107723D01*
-X53967205Y-22169794D01*
-X54126674Y-22185500D01*
-X54233326Y-22185500D01*
-X54392795Y-22169794D01*
-X54597413Y-22107723D01*
-X54692718Y-22056781D01*
-X54818872Y-22182935D01*
-X54818877Y-22182939D01*
-X55147060Y-22511123D01*
-X55156894Y-22523106D01*
-X55204707Y-22562344D01*
-X55259256Y-22591502D01*
-X55318445Y-22609456D01*
-X55364578Y-22614000D01*
-X55364580Y-22614000D01*
-X55379999Y-22615519D01*
-X55395418Y-22614000D01*
-X58643840Y-22614000D01*
-X59793200Y-23763361D01*
-X58350000Y-23763361D01*
-X58303834Y-23767908D01*
-X58259442Y-23781374D01*
-X58218530Y-23803242D01*
-X58182671Y-23832671D01*
-X58153242Y-23868530D01*
-X58131374Y-23909442D01*
-X58117908Y-23953834D01*
-X58113361Y-24000000D01*
-X58113361Y-25165248D01*
-X57541686Y-25736923D01*
-X57525063Y-25750565D01*
-X57497908Y-25783654D01*
-X57470641Y-25816879D01*
-X57441520Y-25871361D01*
-X57430202Y-25892536D01*
-X57405299Y-25974628D01*
-X57399694Y-26031544D01*
-X57396891Y-26060000D01*
-X57398998Y-26081392D01*
-X57398999Y-27387000D01*
-X57232497Y-27387000D01*
-X57223140Y-27379321D01*
-X57140087Y-27334928D01*
-X57090206Y-27319797D01*
-X57165362Y-27279626D01*
-X57239106Y-27219106D01*
-X57299626Y-27145362D01*
-X57344596Y-27061229D01*
-X57372288Y-26969939D01*
-X57381639Y-26875000D01*
-X57381639Y-26125000D01*
-X57372288Y-26030061D01*
-X57366928Y-26012390D01*
-X57417573Y-25890123D01*
-X57430975Y-25845944D01*
-X57435500Y-25800000D01*
-X57435500Y-23130000D01*
-X57430975Y-23084056D01*
-X57417573Y-23039877D01*
-X57358994Y-22898456D01*
-X57337232Y-22857743D01*
-X57307945Y-22822056D01*
-X57272258Y-22792768D01*
-X57231544Y-22771006D01*
-X57090123Y-22712427D01*
-X57045944Y-22699025D01*
-X57000000Y-22694500D01*
-X54662843Y-22694500D01*
-X54616899Y-22699025D01*
-X54572721Y-22712426D01*
-X54431299Y-22771005D01*
-X54390584Y-22792768D01*
-X54354897Y-22822055D01*
-X54185291Y-22991661D01*
-X54164127Y-22965873D01*
-X54105916Y-22918100D01*
-X54039504Y-22882602D01*
-X53967442Y-22860742D01*
-X53892500Y-22853361D01*
-X53727424Y-22853361D01*
-X53509080Y-22635018D01*
-X53515500Y-22602742D01*
-X53515500Y-22497258D01*
-X53494921Y-22393800D01*
-X53454554Y-22296346D01*
-X53395950Y-22208639D01*
-X53321361Y-22134050D01*
-X53233654Y-22075446D01*
-X53136200Y-22035079D01*
-X53032742Y-22014500D01*
-X52927258Y-22014500D01*
-X52823800Y-22035079D01*
-X52726346Y-22075446D01*
-X52638639Y-22134050D01*
-X52564050Y-22208639D01*
-X52505446Y-22296346D01*
-X52465079Y-22393800D01*
-X52444500Y-22497258D01*
-X52444500Y-22602742D01*
-X52465079Y-22706200D01*
-X52505446Y-22803654D01*
-X52564050Y-22891361D01*
-X52626050Y-22953361D01*
-X52338172Y-22953361D01*
-X51757754Y-22372944D01*
-X51740978Y-22352502D01*
-X51659437Y-22285584D01*
-X51566409Y-22235859D01*
-X51465466Y-22205238D01*
-X51386797Y-22197490D01*
-X51386795Y-22197490D01*
-X51360490Y-22194899D01*
-X51334185Y-22197490D01*
-X50043314Y-22197490D01*
-X50017009Y-22194899D01*
-X49990704Y-22197490D01*
-X49990703Y-22197490D01*
-X49912034Y-22205238D01*
-X49815634Y-22234481D01*
-X49811091Y-22235859D01*
-X49718062Y-22285584D01*
-X49682829Y-22314499D01*
-X49636522Y-22352502D01*
-X49619750Y-22372939D01*
-X48489950Y-23502740D01*
-X48489946Y-23502743D01*
-X47658642Y-24334048D01*
-X47658639Y-24334050D01*
-X47584050Y-24408639D01*
-X47569361Y-24430622D01*
-X47552594Y-24451053D01*
-X47540135Y-24474362D01*
-X47525446Y-24496346D01*
-X47515327Y-24520776D01*
-X47502869Y-24544083D01*
-X47495198Y-24569370D01*
-X47485500Y-24592784D01*
-X47485500Y-23866478D01*
-X47487123Y-23850000D01*
-X47480645Y-23784230D01*
-X47473130Y-23759456D01*
-X47461461Y-23720989D01*
-X47430308Y-23662704D01*
-X47388382Y-23611618D01*
-X47375582Y-23601113D01*
-X47005944Y-23231476D01*
-X46995435Y-23218671D01*
-X46944349Y-23176745D01*
-X46886064Y-23145592D01*
-X46822822Y-23126408D01*
-X46773531Y-23121553D01*
-X46757053Y-23119930D01*
-X46740575Y-23121553D01*
-X46208759Y-23121553D01*
-X46046358Y-22959152D01*
-X45988147Y-22911379D01*
-X45921735Y-22875881D01*
-X45849673Y-22854021D01*
-X45774731Y-22846640D01*
-X45700069Y-22853993D01*
-X46060063Y-22494000D01*
-X46176130Y-22494000D01*
-X46186297Y-22502344D01*
-X46240846Y-22531502D01*
-X46300035Y-22549456D01*
-X46346168Y-22554000D01*
-X46346169Y-22554000D01*
-X46361590Y-22555519D01*
-X46377011Y-22554000D01*
-X47944579Y-22554000D01*
-X47960000Y-22555519D01*
-X47975421Y-22554000D01*
-X47975422Y-22554000D01*
-X48021555Y-22549456D01*
-X48080744Y-22531502D01*
-X48135293Y-22502344D01*
-X48183106Y-22463106D01*
-X48192944Y-22451118D01*
-X48587281Y-22056781D01*
-X48682587Y-22107723D01*
-X48887205Y-22169794D01*
-X49046674Y-22185500D01*
-X49153326Y-22185500D01*
-X49312795Y-22169794D01*
-X49517413Y-22107723D01*
-X49705989Y-22006927D01*
-X49871278Y-21871278D01*
-X50006927Y-21705989D01*
-X50107723Y-21517413D01*
-X50169794Y-21312795D01*
-X50190752Y-21100000D01*
-X50169794Y-20887205D01*
-X50107723Y-20682587D01*
-X50006927Y-20494011D01*
-X49871278Y-20328722D01*
-X49705989Y-20193073D01*
-X49517413Y-20092277D01*
-X49412660Y-20060500D01*
-X53867340Y-20060500D01*
-X53762587Y-20092277D01*
-G36*
-X31956372Y-25443361D02*
-G01*
-X31937500Y-25443361D01*
-X31862558Y-25450742D01*
-X31790496Y-25472602D01*
-X31724084Y-25508100D01*
-X31665873Y-25555873D01*
-X31618100Y-25614084D01*
-X31600000Y-25647947D01*
-X31581900Y-25614084D01*
-X31534127Y-25555873D01*
-X31475916Y-25508100D01*
-X31409504Y-25472602D01*
-X31337442Y-25450742D01*
-X31262500Y-25443361D01*
-X30967500Y-25443361D01*
-X30892558Y-25450742D01*
-X30820496Y-25472602D01*
-X30754084Y-25508100D01*
-X30695873Y-25555873D01*
-X30648100Y-25614084D01*
-X30612602Y-25680496D01*
-X30590742Y-25752558D01*
-X30583361Y-25827500D01*
-X30583361Y-26172500D01*
-X30590742Y-26247442D01*
-X30612602Y-26319504D01*
-X30648100Y-26385916D01*
-X30695873Y-26444127D01*
-X30754084Y-26491900D01*
-X30779500Y-26505485D01*
-X30779501Y-26988361D01*
-X30507829Y-26988361D01*
-X30218890Y-26699422D01*
-X30208381Y-26686617D01*
-X30157295Y-26644691D01*
-X30099010Y-26613538D01*
-X30035768Y-26594354D01*
-X29986477Y-26589499D01*
-X29969999Y-26587876D01*
-X29953521Y-26589499D01*
-X28935500Y-26589499D01*
-X28935500Y-26549449D01*
-X28937442Y-26549258D01*
-X29009504Y-26527398D01*
-X29075916Y-26491900D01*
-X29134127Y-26444127D01*
-X29181900Y-26385916D01*
-X29217398Y-26319504D01*
-X29239258Y-26247442D01*
-X29246639Y-26172500D01*
-X29246639Y-25827500D01*
-X29239258Y-25752558D01*
-X29217398Y-25680496D01*
-X29181900Y-25614084D01*
-X29134127Y-25555873D01*
-X29075916Y-25508100D01*
-X29009504Y-25472602D01*
-X28937442Y-25450742D01*
-X28929908Y-25450000D01*
-X28937442Y-25449258D01*
-X29009504Y-25427398D01*
-X29075916Y-25391900D01*
-X29134127Y-25344127D01*
-X29181900Y-25285916D01*
-X29217398Y-25219504D01*
-X29239258Y-25147442D01*
-X29246639Y-25072500D01*
-X29246639Y-24842829D01*
-X29513077Y-24576391D01*
-X29525882Y-24565882D01*
-X29567808Y-24514796D01*
-X29598961Y-24456511D01*
-X29613646Y-24408100D01*
-X29618145Y-24393270D01*
-X29620000Y-24374434D01*
-X29623000Y-24343978D01*
-X29623000Y-24343971D01*
-X29624622Y-24327501D01*
-X29623000Y-24311031D01*
-X29623000Y-24294423D01*
-X29680520Y-24276975D01*
-X29759251Y-24234892D01*
-X29828259Y-24178259D01*
-X29884892Y-24109251D01*
-X29926975Y-24030520D01*
-X29952889Y-23945092D01*
-X29961639Y-23856250D01*
-X29961639Y-23448627D01*
-X31956372Y-25443361D01*
-X31956372Y-25443361D01*
-G37*
-X31956372Y-25443361D02*
-X31937500Y-25443361D01*
-X31862558Y-25450742D01*
-X31790496Y-25472602D01*
-X31724084Y-25508100D01*
-X31665873Y-25555873D01*
-X31618100Y-25614084D01*
-X31600000Y-25647947D01*
-X31581900Y-25614084D01*
-X31534127Y-25555873D01*
-X31475916Y-25508100D01*
-X31409504Y-25472602D01*
-X31337442Y-25450742D01*
-X31262500Y-25443361D01*
-X30967500Y-25443361D01*
-X30892558Y-25450742D01*
-X30820496Y-25472602D01*
-X30754084Y-25508100D01*
-X30695873Y-25555873D01*
-X30648100Y-25614084D01*
-X30612602Y-25680496D01*
-X30590742Y-25752558D01*
-X30583361Y-25827500D01*
-X30583361Y-26172500D01*
-X30590742Y-26247442D01*
-X30612602Y-26319504D01*
-X30648100Y-26385916D01*
-X30695873Y-26444127D01*
-X30754084Y-26491900D01*
-X30779500Y-26505485D01*
-X30779501Y-26988361D01*
-X30507829Y-26988361D01*
-X30218890Y-26699422D01*
-X30208381Y-26686617D01*
-X30157295Y-26644691D01*
-X30099010Y-26613538D01*
-X30035768Y-26594354D01*
-X29986477Y-26589499D01*
-X29969999Y-26587876D01*
-X29953521Y-26589499D01*
-X28935500Y-26589499D01*
-X28935500Y-26549449D01*
-X28937442Y-26549258D01*
-X29009504Y-26527398D01*
-X29075916Y-26491900D01*
-X29134127Y-26444127D01*
-X29181900Y-26385916D01*
-X29217398Y-26319504D01*
-X29239258Y-26247442D01*
-X29246639Y-26172500D01*
-X29246639Y-25827500D01*
-X29239258Y-25752558D01*
-X29217398Y-25680496D01*
-X29181900Y-25614084D01*
-X29134127Y-25555873D01*
-X29075916Y-25508100D01*
-X29009504Y-25472602D01*
-X28937442Y-25450742D01*
-X28929908Y-25450000D01*
-X28937442Y-25449258D01*
-X29009504Y-25427398D01*
-X29075916Y-25391900D01*
-X29134127Y-25344127D01*
-X29181900Y-25285916D01*
-X29217398Y-25219504D01*
-X29239258Y-25147442D01*
-X29246639Y-25072500D01*
-X29246639Y-24842829D01*
-X29513077Y-24576391D01*
-X29525882Y-24565882D01*
-X29567808Y-24514796D01*
-X29598961Y-24456511D01*
-X29613646Y-24408100D01*
-X29618145Y-24393270D01*
-X29620000Y-24374434D01*
-X29623000Y-24343978D01*
-X29623000Y-24343971D01*
-X29624622Y-24327501D01*
-X29623000Y-24311031D01*
-X29623000Y-24294423D01*
-X29680520Y-24276975D01*
-X29759251Y-24234892D01*
-X29828259Y-24178259D01*
-X29884892Y-24109251D01*
-X29926975Y-24030520D01*
-X29952889Y-23945092D01*
-X29961639Y-23856250D01*
-X29961639Y-23448627D01*
-X31956372Y-25443361D01*
-G36*
-X38334011Y-22006927D02*
-G01*
-X38522587Y-22107723D01*
-X38727205Y-22169794D01*
-X38886674Y-22185500D01*
-X38993326Y-22185500D01*
-X39152795Y-22169794D01*
-X39357413Y-22107723D01*
-X39452718Y-22056781D01*
-X39578872Y-22182935D01*
-X39578877Y-22182939D01*
-X39816001Y-22420064D01*
-X39816000Y-23494120D01*
-X38304986Y-21983106D01*
-X38334011Y-22006927D01*
-X38334011Y-22006927D01*
-G37*
-X38334011Y-22006927D02*
-X38522587Y-22107723D01*
-X38727205Y-22169794D01*
-X38886674Y-22185500D01*
-X38993326Y-22185500D01*
-X39152795Y-22169794D01*
-X39357413Y-22107723D01*
-X39452718Y-22056781D01*
-X39578872Y-22182935D01*
-X39578877Y-22182939D01*
-X39816001Y-22420064D01*
-X39816000Y-23494120D01*
-X38304986Y-21983106D01*
-X38334011Y-22006927D01*
-G36*
-X43602587Y-20092277D02*
-G01*
-X43414011Y-20193073D01*
-X43248722Y-20328722D01*
-X43113073Y-20494011D01*
-X43012277Y-20682587D01*
-X42950206Y-20887205D01*
-X42929248Y-21100000D01*
-X42950206Y-21312795D01*
-X43012277Y-21517413D01*
-X43113073Y-21705989D01*
-X43248722Y-21871278D01*
-X43350847Y-21955090D01*
-X41925933Y-23380004D01*
-X41506149Y-22960220D01*
-X41458924Y-22921464D01*
-X41405046Y-22892665D01*
-X41346585Y-22874931D01*
-X41285787Y-22868943D01*
-X41224989Y-22874931D01*
-X41166528Y-22892665D01*
-X41112650Y-22921464D01*
-X41065425Y-22960220D01*
-X40959359Y-23066286D01*
-X40920603Y-23113511D01*
-X40895872Y-23159778D01*
-X40874000Y-23137906D01*
-X40874000Y-22150062D01*
-X40967281Y-22056781D01*
-X41062587Y-22107723D01*
-X41267205Y-22169794D01*
-X41426674Y-22185500D01*
-X41533326Y-22185500D01*
-X41692795Y-22169794D01*
-X41897413Y-22107723D01*
-X42085989Y-22006927D01*
-X42251278Y-21871278D01*
-X42386927Y-21705989D01*
-X42487723Y-21517413D01*
-X42549794Y-21312795D01*
-X42570752Y-21100000D01*
-X42549794Y-20887205D01*
-X42487723Y-20682587D01*
-X42386927Y-20494011D01*
-X42251278Y-20328722D01*
-X42085989Y-20193073D01*
-X41897413Y-20092277D01*
-X41792660Y-20060500D01*
-X43707340Y-20060500D01*
-X43602587Y-20092277D01*
-X43602587Y-20092277D01*
-G37*
-X43602587Y-20092277D02*
-X43414011Y-20193073D01*
-X43248722Y-20328722D01*
-X43113073Y-20494011D01*
-X43012277Y-20682587D01*
-X42950206Y-20887205D01*
-X42929248Y-21100000D01*
-X42950206Y-21312795D01*
-X43012277Y-21517413D01*
-X43113073Y-21705989D01*
-X43248722Y-21871278D01*
-X43350847Y-21955090D01*
-X41925933Y-23380004D01*
-X41506149Y-22960220D01*
-X41458924Y-22921464D01*
-X41405046Y-22892665D01*
-X41346585Y-22874931D01*
-X41285787Y-22868943D01*
-X41224989Y-22874931D01*
-X41166528Y-22892665D01*
-X41112650Y-22921464D01*
-X41065425Y-22960220D01*
-X40959359Y-23066286D01*
-X40920603Y-23113511D01*
-X40895872Y-23159778D01*
-X40874000Y-23137906D01*
-X40874000Y-22150062D01*
-X40967281Y-22056781D01*
-X41062587Y-22107723D01*
-X41267205Y-22169794D01*
-X41426674Y-22185500D01*
-X41533326Y-22185500D01*
-X41692795Y-22169794D01*
-X41897413Y-22107723D01*
-X42085989Y-22006927D01*
-X42251278Y-21871278D01*
-X42386927Y-21705989D01*
-X42487723Y-21517413D01*
-X42549794Y-21312795D01*
-X42570752Y-21100000D01*
-X42549794Y-20887205D01*
-X42487723Y-20682587D01*
-X42386927Y-20494011D01*
-X42251278Y-20328722D01*
-X42085989Y-20193073D01*
-X41897413Y-20092277D01*
-X41792660Y-20060500D01*
-X43707340Y-20060500D01*
-X43602587Y-20092277D01*
-G36*
-X25822587Y-20092277D02*
-G01*
-X25634011Y-20193073D01*
-X25468722Y-20328722D01*
-X25333073Y-20494011D01*
-X25232277Y-20682587D01*
-X25170206Y-20887205D01*
-X25149248Y-21100000D01*
-X25170206Y-21312795D01*
-X25232277Y-21517413D01*
-X25333073Y-21705989D01*
-X25468722Y-21871278D01*
-X25626178Y-22000499D01*
-X24780778Y-22000499D01*
-X24782092Y-21996166D01*
-X24786639Y-21950000D01*
-X24786639Y-20250000D01*
-X24782092Y-20203834D01*
-X24768626Y-20159442D01*
-X24746758Y-20118530D01*
-X24717329Y-20082671D01*
-X24690314Y-20060500D01*
-X25927340Y-20060500D01*
-X25822587Y-20092277D01*
-X25822587Y-20092277D01*
-G37*
-X25822587Y-20092277D02*
-X25634011Y-20193073D01*
-X25468722Y-20328722D01*
-X25333073Y-20494011D01*
-X25232277Y-20682587D01*
-X25170206Y-20887205D01*
-X25149248Y-21100000D01*
-X25170206Y-21312795D01*
-X25232277Y-21517413D01*
-X25333073Y-21705989D01*
-X25468722Y-21871278D01*
-X25626178Y-22000499D01*
-X24780778Y-22000499D01*
-X24782092Y-21996166D01*
-X24786639Y-21950000D01*
-X24786639Y-20250000D01*
-X24782092Y-20203834D01*
-X24768626Y-20159442D01*
-X24746758Y-20118530D01*
-X24717329Y-20082671D01*
-X24690314Y-20060500D01*
-X25927340Y-20060500D01*
-X25822587Y-20092277D01*
-G36*
-X56302587Y-20092277D02*
-G01*
-X56114011Y-20193073D01*
-X55948722Y-20328722D01*
-X55813073Y-20494011D01*
-X55712277Y-20682587D01*
-X55650206Y-20887205D01*
-X55629248Y-21100000D01*
-X55650206Y-21312795D01*
-X55712277Y-21517413D01*
-X55813073Y-21705989D01*
-X55948722Y-21871278D01*
-X56088511Y-21986000D01*
-X55510063Y-21986000D01*
-X55262939Y-21738877D01*
-X55262935Y-21738872D01*
-X55136781Y-21612718D01*
-X55187723Y-21517413D01*
-X55249794Y-21312795D01*
-X55270752Y-21100000D01*
-X55249794Y-20887205D01*
-X55187723Y-20682587D01*
-X55086927Y-20494011D01*
-X54951278Y-20328722D01*
-X54785989Y-20193073D01*
-X54597413Y-20092277D01*
-X54492660Y-20060500D01*
-X56407340Y-20060500D01*
-X56302587Y-20092277D01*
-X56302587Y-20092277D01*
-G37*
-X56302587Y-20092277D02*
-X56114011Y-20193073D01*
-X55948722Y-20328722D01*
-X55813073Y-20494011D01*
-X55712277Y-20682587D01*
-X55650206Y-20887205D01*
-X55629248Y-21100000D01*
-X55650206Y-21312795D01*
-X55712277Y-21517413D01*
-X55813073Y-21705989D01*
-X55948722Y-21871278D01*
-X56088511Y-21986000D01*
-X55510063Y-21986000D01*
-X55262939Y-21738877D01*
-X55262935Y-21738872D01*
-X55136781Y-21612718D01*
-X55187723Y-21517413D01*
-X55249794Y-21312795D01*
-X55270752Y-21100000D01*
-X55249794Y-20887205D01*
-X55187723Y-20682587D01*
-X55086927Y-20494011D01*
-X54951278Y-20328722D01*
-X54785989Y-20193073D01*
-X54597413Y-20092277D01*
-X54492660Y-20060500D01*
-X56407340Y-20060500D01*
-X56302587Y-20092277D01*
-G36*
-X35982587Y-20092277D02*
-G01*
-X35794011Y-20193073D01*
-X35628722Y-20328722D01*
-X35493073Y-20494011D01*
-X35392277Y-20682587D01*
-X35330206Y-20887205D01*
-X35309248Y-21100000D01*
-X35330206Y-21312795D01*
-X35392277Y-21517413D01*
-X35493073Y-21705989D01*
-X35628722Y-21871278D01*
-X35751439Y-21971989D01*
-X35176052Y-21971989D01*
-X34942939Y-21738877D01*
-X34942935Y-21738872D01*
-X34816781Y-21612718D01*
-X34867723Y-21517413D01*
-X34929794Y-21312795D01*
-X34950752Y-21100000D01*
-X34929794Y-20887205D01*
-X34867723Y-20682587D01*
-X34766927Y-20494011D01*
-X34631278Y-20328722D01*
-X34465989Y-20193073D01*
-X34277413Y-20092277D01*
-X34172660Y-20060500D01*
-X36087340Y-20060500D01*
-X35982587Y-20092277D01*
-X35982587Y-20092277D01*
-G37*
-X35982587Y-20092277D02*
-X35794011Y-20193073D01*
-X35628722Y-20328722D01*
-X35493073Y-20494011D01*
-X35392277Y-20682587D01*
-X35330206Y-20887205D01*
-X35309248Y-21100000D01*
-X35330206Y-21312795D01*
-X35392277Y-21517413D01*
-X35493073Y-21705989D01*
-X35628722Y-21871278D01*
-X35751439Y-21971989D01*
-X35176052Y-21971989D01*
-X34942939Y-21738877D01*
-X34942935Y-21738872D01*
-X34816781Y-21612718D01*
-X34867723Y-21517413D01*
-X34929794Y-21312795D01*
-X34950752Y-21100000D01*
-X34929794Y-20887205D01*
-X34867723Y-20682587D01*
-X34766927Y-20494011D01*
-X34631278Y-20328722D01*
-X34465989Y-20193073D01*
-X34277413Y-20092277D01*
-X34172660Y-20060500D01*
-X36087340Y-20060500D01*
-X35982587Y-20092277D01*
-G36*
-X41062587Y-20092277D02*
-G01*
-X40874011Y-20193073D01*
-X40708722Y-20328722D01*
-X40573073Y-20494011D01*
-X40472277Y-20682587D01*
-X40410206Y-20887205D01*
-X40389248Y-21100000D01*
-X40410206Y-21312795D01*
-X40472277Y-21517413D01*
-X40523219Y-21612719D01*
-X40348877Y-21787061D01*
-X40336895Y-21796894D01*
-X40310339Y-21829254D01*
-X40297656Y-21844708D01*
-X40268499Y-21899256D01*
-X40250544Y-21958446D01*
-X40249823Y-21965761D01*
-X40022939Y-21738877D01*
-X40022935Y-21738872D01*
-X39896781Y-21612718D01*
-X39947723Y-21517413D01*
-X40009794Y-21312795D01*
-X40030752Y-21100000D01*
-X40009794Y-20887205D01*
-X39947723Y-20682587D01*
-X39846927Y-20494011D01*
-X39711278Y-20328722D01*
-X39545989Y-20193073D01*
-X39357413Y-20092277D01*
-X39252660Y-20060500D01*
-X41167340Y-20060500D01*
-X41062587Y-20092277D01*
-X41062587Y-20092277D01*
-G37*
-X41062587Y-20092277D02*
-X40874011Y-20193073D01*
-X40708722Y-20328722D01*
-X40573073Y-20494011D01*
-X40472277Y-20682587D01*
-X40410206Y-20887205D01*
-X40389248Y-21100000D01*
-X40410206Y-21312795D01*
-X40472277Y-21517413D01*
-X40523219Y-21612719D01*
-X40348877Y-21787061D01*
-X40336895Y-21796894D01*
-X40310339Y-21829254D01*
-X40297656Y-21844708D01*
-X40268499Y-21899256D01*
-X40250544Y-21958446D01*
-X40249823Y-21965761D01*
-X40022939Y-21738877D01*
-X40022935Y-21738872D01*
-X39896781Y-21612718D01*
-X39947723Y-21517413D01*
-X40009794Y-21312795D01*
-X40030752Y-21100000D01*
-X40009794Y-20887205D01*
-X39947723Y-20682587D01*
-X39846927Y-20494011D01*
-X39711278Y-20328722D01*
-X39545989Y-20193073D01*
-X39357413Y-20092277D01*
-X39252660Y-20060500D01*
-X41167340Y-20060500D01*
-X41062587Y-20092277D01*
-G36*
-X48682587Y-20092277D02*
-G01*
-X48494011Y-20193073D01*
-X48328722Y-20328722D01*
-X48193073Y-20494011D01*
-X48092277Y-20682587D01*
-X48030206Y-20887205D01*
-X48009248Y-21100000D01*
-X48030206Y-21312795D01*
-X48092277Y-21517413D01*
-X48143219Y-21612719D01*
-X47829938Y-21926000D01*
-X47264599Y-21926000D01*
-X47331278Y-21871278D01*
-X47466927Y-21705989D01*
-X47567723Y-21517413D01*
-X47629794Y-21312795D01*
-X47650752Y-21100000D01*
-X47629794Y-20887205D01*
-X47567723Y-20682587D01*
-X47466927Y-20494011D01*
-X47331278Y-20328722D01*
-X47165989Y-20193073D01*
-X46977413Y-20092277D01*
-X46872660Y-20060500D01*
-X48787340Y-20060500D01*
-X48682587Y-20092277D01*
-X48682587Y-20092277D01*
-G37*
-X48682587Y-20092277D02*
-X48494011Y-20193073D01*
-X48328722Y-20328722D01*
-X48193073Y-20494011D01*
-X48092277Y-20682587D01*
-X48030206Y-20887205D01*
-X48009248Y-21100000D01*
-X48030206Y-21312795D01*
-X48092277Y-21517413D01*
-X48143219Y-21612719D01*
-X47829938Y-21926000D01*
-X47264599Y-21926000D01*
-X47331278Y-21871278D01*
-X47466927Y-21705989D01*
-X47567723Y-21517413D01*
-X47629794Y-21312795D01*
-X47650752Y-21100000D01*
-X47629794Y-20887205D01*
-X47567723Y-20682587D01*
-X47466927Y-20494011D01*
-X47331278Y-20328722D01*
-X47165989Y-20193073D01*
-X46977413Y-20092277D01*
-X46872660Y-20060500D01*
-X48787340Y-20060500D01*
-X48682587Y-20092277D01*
-G36*
-X28362587Y-20092277D02*
-G01*
-X28174011Y-20193073D01*
-X28008722Y-20328722D01*
-X27873073Y-20494011D01*
-X27772277Y-20682587D01*
-X27710206Y-20887205D01*
-X27689248Y-21100000D01*
-X27710206Y-21312795D01*
-X27772277Y-21517413D01*
-X27873073Y-21705989D01*
-X28008722Y-21871278D01*
-X28070514Y-21921989D01*
-X27506052Y-21921989D01*
-X27322939Y-21738877D01*
-X27322935Y-21738872D01*
-X27196781Y-21612718D01*
-X27247723Y-21517413D01*
-X27309794Y-21312795D01*
-X27330752Y-21100000D01*
-X27309794Y-20887205D01*
-X27247723Y-20682587D01*
-X27146927Y-20494011D01*
-X27011278Y-20328722D01*
-X26845989Y-20193073D01*
-X26657413Y-20092277D01*
-X26552660Y-20060500D01*
-X28467340Y-20060500D01*
-X28362587Y-20092277D01*
-X28362587Y-20092277D01*
-G37*
-X28362587Y-20092277D02*
-X28174011Y-20193073D01*
-X28008722Y-20328722D01*
-X27873073Y-20494011D01*
-X27772277Y-20682587D01*
-X27710206Y-20887205D01*
-X27689248Y-21100000D01*
-X27710206Y-21312795D01*
-X27772277Y-21517413D01*
-X27873073Y-21705989D01*
-X28008722Y-21871278D01*
-X28070514Y-21921989D01*
-X27506052Y-21921989D01*
-X27322939Y-21738877D01*
-X27322935Y-21738872D01*
-X27196781Y-21612718D01*
-X27247723Y-21517413D01*
-X27309794Y-21312795D01*
-X27330752Y-21100000D01*
-X27309794Y-20887205D01*
-X27247723Y-20682587D01*
-X27146927Y-20494011D01*
-X27011278Y-20328722D01*
-X26845989Y-20193073D01*
-X26657413Y-20092277D01*
-X26552660Y-20060500D01*
-X28467340Y-20060500D01*
-X28362587Y-20092277D01*
-G36*
-X38522587Y-20092277D02*
-G01*
-X38334011Y-20193073D01*
-X38168722Y-20328722D01*
-X38033073Y-20494011D01*
-X37932277Y-20682587D01*
-X37870206Y-20887205D01*
-X37849248Y-21100000D01*
-X37870206Y-21312795D01*
-X37932277Y-21517413D01*
-X38033073Y-21705989D01*
-X38048798Y-21725150D01*
-X38003109Y-21687655D01*
-X37948560Y-21658497D01*
-X37889371Y-21640543D01*
-X37843238Y-21635999D01*
-X37843237Y-21635999D01*
-X37827816Y-21634480D01*
-X37812395Y-21635999D01*
-X37380062Y-21635999D01*
-X37356781Y-21612718D01*
-X37407723Y-21517413D01*
-X37469794Y-21312795D01*
-X37490752Y-21100000D01*
-X37469794Y-20887205D01*
-X37407723Y-20682587D01*
-X37306927Y-20494011D01*
-X37171278Y-20328722D01*
-X37005989Y-20193073D01*
-X36817413Y-20092277D01*
-X36712660Y-20060500D01*
-X38627340Y-20060500D01*
-X38522587Y-20092277D01*
-X38522587Y-20092277D01*
-G37*
-X38522587Y-20092277D02*
-X38334011Y-20193073D01*
-X38168722Y-20328722D01*
-X38033073Y-20494011D01*
-X37932277Y-20682587D01*
-X37870206Y-20887205D01*
-X37849248Y-21100000D01*
-X37870206Y-21312795D01*
-X37932277Y-21517413D01*
-X38033073Y-21705989D01*
-X38048798Y-21725150D01*
-X38003109Y-21687655D01*
-X37948560Y-21658497D01*
-X37889371Y-21640543D01*
-X37843238Y-21635999D01*
-X37843237Y-21635999D01*
-X37827816Y-21634480D01*
-X37812395Y-21635999D01*
-X37380062Y-21635999D01*
-X37356781Y-21612718D01*
-X37407723Y-21517413D01*
-X37469794Y-21312795D01*
-X37490752Y-21100000D01*
-X37469794Y-20887205D01*
-X37407723Y-20682587D01*
-X37306927Y-20494011D01*
-X37171278Y-20328722D01*
-X37005989Y-20193073D01*
-X36817413Y-20092277D01*
-X36712660Y-20060500D01*
-X38627340Y-20060500D01*
-X38522587Y-20092277D01*
-D33*
-G36*
-X57044219Y-23085781D02*
-G01*
-X57073000Y-23155263D01*
-X57073000Y-25622225D01*
-X56999446Y-25599913D01*
-X56895000Y-25589626D01*
-X54745000Y-25589626D01*
-X54640554Y-25599913D01*
-X54540121Y-25630379D01*
-X54447562Y-25679853D01*
-X54366433Y-25746433D01*
-X54299853Y-25827562D01*
-X54275566Y-25873000D01*
-X53634259Y-25873000D01*
-X53600000Y-25869626D01*
-X52556999Y-25869626D01*
-X52556999Y-25207317D01*
-X52737317Y-25027000D01*
-X53700000Y-25027000D01*
-X53724776Y-25024560D01*
-X53748601Y-25017333D01*
-X53890022Y-24958754D01*
-X53911979Y-24947017D01*
-X53931224Y-24931223D01*
-X53947018Y-24911978D01*
-X53958754Y-24890022D01*
-X54017333Y-24748601D01*
-X54024560Y-24724777D01*
-X54027000Y-24700000D01*
-X54027000Y-24308106D01*
-X54066244Y-24213362D01*
-X54231224Y-24048382D01*
-X54247018Y-24029136D01*
-X54258754Y-24007180D01*
-X54317333Y-23865758D01*
-X54324560Y-23841934D01*
-X54327000Y-23817157D01*
-X54327000Y-23418106D01*
-X54366244Y-23323362D01*
-X54593362Y-23096244D01*
-X54688106Y-23057000D01*
-X56974737Y-23057000D01*
-X57044219Y-23085781D01*
-X57044219Y-23085781D01*
-G37*
-X57044219Y-23085781D02*
-X57073000Y-23155263D01*
-X57073000Y-25622225D01*
-X56999446Y-25599913D01*
-X56895000Y-25589626D01*
-X54745000Y-25589626D01*
-X54640554Y-25599913D01*
-X54540121Y-25630379D01*
-X54447562Y-25679853D01*
-X54366433Y-25746433D01*
-X54299853Y-25827562D01*
-X54275566Y-25873000D01*
-X53634259Y-25873000D01*
-X53600000Y-25869626D01*
-X52556999Y-25869626D01*
-X52556999Y-25207317D01*
-X52737317Y-25027000D01*
-X53700000Y-25027000D01*
-X53724776Y-25024560D01*
-X53748601Y-25017333D01*
-X53890022Y-24958754D01*
-X53911979Y-24947017D01*
-X53931224Y-24931223D01*
-X53947018Y-24911978D01*
-X53958754Y-24890022D01*
-X54017333Y-24748601D01*
-X54024560Y-24724777D01*
-X54027000Y-24700000D01*
-X54027000Y-24308106D01*
-X54066244Y-24213362D01*
-X54231224Y-24048382D01*
-X54247018Y-24029136D01*
-X54258754Y-24007180D01*
-X54317333Y-23865758D01*
-X54324560Y-23841934D01*
-X54327000Y-23817157D01*
-X54327000Y-23418106D01*
-X54366244Y-23323362D01*
-X54593362Y-23096244D01*
-X54688106Y-23057000D01*
-X56974737Y-23057000D01*
-X57044219Y-23085781D01*
+X187100000Y-52000000D02*
+X187900000Y-51200000D01*
+D24*
+X187000000Y-56000000D02*
+X187000000Y-52300000D01*
+D23*
+X187100000Y-52200000D02*
+X187000000Y-52300000D01*
+D25*
+X193275736Y-51200000D02*
+X193775736Y-51700000D01*
+D23*
+X183000000Y-51700000D02*
+X177700000Y-51700000D01*
+D24*
+X186400000Y-51700000D02*
+X183000000Y-51700000D01*
+D25*
+X193775736Y-51700000D02*
+X194200000Y-51700000D01*
+D24*
+X187000000Y-52300000D02*
+X186400000Y-51700000D01*
+D23*
+X186800000Y-51700000D02*
+X187100000Y-52000000D01*
+X183000000Y-51700000D02*
+X186800000Y-51700000D01*
+D25*
+X187900000Y-51200000D02*
+X193275736Y-51200000D01*
+D23*
+X187100000Y-52000000D02*
+X187100000Y-52200000D01*
+D22*
+X171804888Y-68799999D02*
+X171697878Y-68692989D01*
+X161407012Y-68692989D02*
+X154692990Y-75407011D01*
+X154692990Y-75407011D02*
+X148107010Y-75407011D01*
+X146800000Y-72050000D02*
+X146800000Y-71150000D01*
+X178300000Y-68500000D02*
+X177735989Y-69064011D01*
+X145250000Y-70400000D02*
+X145050000Y-70200000D01*
+X145000000Y-67850000D02*
+X145350000Y-67500000D01*
+X176868627Y-68799999D02*
+X171804888Y-68799999D01*
+X145350000Y-67500000D02*
+X145875000Y-67500000D01*
+X148107010Y-75407011D02*
+X147400000Y-74700001D01*
+X171697878Y-68692989D02*
+X161407012Y-68692989D01*
+X146050000Y-70400000D02*
+X145250000Y-70400000D01*
+X177132639Y-69064011D02*
+X176868627Y-68799999D01*
+D25*
+X147825000Y-65500000D02*
+X147825000Y-67500000D01*
+X145875000Y-67500000D02*
+X147825000Y-67500000D01*
+D22*
+X147400000Y-72649999D02*
+X146800000Y-72050000D01*
+X147400000Y-74700001D02*
+X147400000Y-72649999D01*
+X145050000Y-70200000D02*
+X145000000Y-67850000D01*
+X177735989Y-69064011D02*
+X177132639Y-69064011D01*
+X146800000Y-71150000D02*
+X146050000Y-70400000D01*
+X182800000Y-75800000D02*
+X181200000Y-77400000D01*
+X181200000Y-77400000D02*
+X178600000Y-77400000D01*
+X182800000Y-74600000D02*
+X182800000Y-75800000D01*
+D23*
+X198057001Y-45142999D02*
+X208112999Y-45142999D01*
+X212740000Y-49770000D02*
+X212740000Y-54690000D01*
+X208112999Y-45142999D02*
+X212740000Y-49770000D01*
+X195500000Y-50500000D02*
+X192300000Y-47300000D01*
+X192740000Y-54690000D02*
+X195500000Y-51930000D01*
+X192300000Y-47300000D02*
+X173800000Y-47300000D01*
+X195500000Y-50500000D02*
+X195500000Y-47700000D01*
+X195500000Y-51930000D02*
+X195500000Y-50500000D01*
+X195500000Y-47700000D02*
+X198057001Y-45142999D01*
+D22*
+X199450000Y-69250000D02*
+X199750000Y-69550000D01*
+X186201371Y-71472989D02*
+X190120701Y-71472989D01*
+X201850001Y-68849999D02*
+X202150000Y-68550000D01*
+X199750000Y-69550000D02*
+X201150000Y-69550000D01*
+X192343690Y-69250000D02*
+X199450000Y-69250000D01*
+X201150000Y-69550000D02*
+X201850001Y-68849999D01*
+X190120701Y-71472989D02*
+X192343690Y-69250000D01*
+X185000000Y-72100000D02*
+X185574360Y-72100000D01*
+X185574360Y-72100000D02*
+X186201371Y-71472989D01*
+X185300000Y-71300000D02*
+X185484022Y-71115978D01*
+X190512822Y-70185978D02*
+X190902822Y-70185978D01*
+X190902822Y-70185978D02*
+X192238800Y-68850000D01*
+X189582822Y-71115978D02*
+X190512822Y-70185978D01*
+X192238800Y-68850000D02*
+X200400000Y-68850000D01*
+X185484022Y-71115978D02*
+X189582822Y-71115978D01*
+X184200000Y-82500000D02*
+X185000000Y-81700000D01*
+X185000000Y-81700000D02*
+X185000000Y-73700000D01*
+X184100000Y-73700000D02*
+X184100000Y-75800000D01*
+X184100000Y-75800000D02*
+X182800000Y-77100000D01*
+X189530539Y-70345197D02*
+X189530539Y-70380529D01*
+X190375736Y-69500000D02*
+X189530539Y-70345197D01*
+X190800000Y-69500000D02*
+X190375736Y-69500000D01*
+X187950000Y-80800000D02*
+X186710280Y-79560280D01*
+X186710280Y-79560280D02*
+X186710280Y-73610280D01*
+X163574264Y-67950000D02*
+X177400000Y-67950000D01*
+X162650000Y-67450000D02*
+X163074264Y-67450000D01*
+X177400000Y-67950000D02*
+X178200000Y-67150000D01*
+X163074264Y-67450000D02*
+X163574264Y-67950000D01*
+X161259134Y-68335978D02*
+X171845756Y-68335978D01*
+X147825000Y-74620112D02*
+X148254888Y-75050000D01*
+X171916788Y-68407010D02*
+X177300000Y-68407010D01*
+X154545112Y-75050000D02*
+X161259134Y-68335978D01*
+X147825000Y-71500000D02*
+X147825000Y-74620112D01*
+X148254888Y-75050000D02*
+X154545112Y-75050000D01*
+X177300000Y-68407010D02*
+X177400000Y-68507010D01*
+D25*
+X147825000Y-69500000D02*
+X147825000Y-71500000D01*
+D22*
+X171845756Y-68335978D02*
+X171916788Y-68407010D01*
+D25*
+X147825000Y-69500000D02*
+X145875000Y-69500000D01*
+D24*
+X176515361Y-73257001D02*
+X175884639Y-73257001D01*
+X185385163Y-72935508D02*
+X176836854Y-72935508D01*
+X186390671Y-71930000D02*
+X185385163Y-72935508D01*
+X175884639Y-73257001D02*
+X174000000Y-71372362D01*
+X190572944Y-71930000D02*
+X186390671Y-71930000D01*
+X176836854Y-72935508D02*
+X176515361Y-73257001D01*
+X191502944Y-71000000D02*
+X190572944Y-71930000D01*
+X174000000Y-71372362D02*
+X174000000Y-69550000D01*
+D23*
+X193200000Y-71000000D02*
+X191502944Y-71000000D01*
+D25*
+X152700000Y-71000000D02*
+X150075000Y-71000000D01*
+X150075000Y-71000000D02*
+X149575000Y-71500000D01*
+X150075000Y-66000000D02*
+X149575000Y-65500000D01*
+X152700000Y-66000000D02*
+X150075000Y-66000000D01*
+G04 #@! TA.AperFunction,Conductor*
+G36*
+X220199320Y-43657125D02*
+G01*
+X220485450Y-43669868D01*
+X220624888Y-43676078D01*
+X220636055Y-43677074D01*
+X221052037Y-43732947D01*
+X221063072Y-43734933D01*
+X221472427Y-43827562D01*
+X221483241Y-43830520D01*
+X221554565Y-43853488D01*
+X221882760Y-43959175D01*
+X221893256Y-43963079D01*
+X222135336Y-44065588D01*
+X222279726Y-44126730D01*
+X222289845Y-44131556D01*
+X222327223Y-44151472D01*
+X222640394Y-44318338D01*
+X222660263Y-44328925D01*
+X222669913Y-44334632D01*
+X222983794Y-44539640D01*
+X223021305Y-44564140D01*
+X223030406Y-44570679D01*
+X223343293Y-44817340D01*
+X223360010Y-44830519D01*
+X223368492Y-44837841D01*
+X223673702Y-45125958D01*
+X223681493Y-45133998D01*
+X223800813Y-45268628D01*
+X223959882Y-45448107D01*
+X223966937Y-45456820D01*
+X224216283Y-45794409D01*
+X224222537Y-45803715D01*
+X224440901Y-46162158D01*
+X224446302Y-46171983D01*
+X224631932Y-46548404D01*
+X224636438Y-46558670D01*
+X224654619Y-46605664D01*
+X224787288Y-46948589D01*
+X224787869Y-46950092D01*
+X224791443Y-46960711D01*
+X224904303Y-47353005D01*
+X224907486Y-47364069D01*
+X224910101Y-47374963D01*
+X224978630Y-47729162D01*
+X224989827Y-47787033D01*
+X224991465Y-47798123D01*
+X225019269Y-48069499D01*
+X225034469Y-48217854D01*
+X225035073Y-48227068D01*
+X225042948Y-48500435D01*
+X225043000Y-48504063D01*
+X225043001Y-88493691D01*
+X225042876Y-88499297D01*
+X225023922Y-88924887D01*
+X225022926Y-88936054D01*
+X224967053Y-89352036D01*
+X224965067Y-89363071D01*
+X224872442Y-89772415D01*
+X224869484Y-89783229D01*
+X224810533Y-89966294D01*
+X224768076Y-90098138D01*
+X224740831Y-90182742D01*
+X224736924Y-90193244D01*
+X224688016Y-90308746D01*
+X224573273Y-90579719D01*
+X224568447Y-90589838D01*
+X224371085Y-90960244D01*
+X224365378Y-90969895D01*
+X224135867Y-91321296D01*
+X224129324Y-91330401D01*
+X223869490Y-91660001D01*
+X223862168Y-91668483D01*
+X223660078Y-91882562D01*
+X223574051Y-91973692D01*
+X223566006Y-91981488D01*
+X223251896Y-92259880D01*
+X223243202Y-92266920D01*
+X222905590Y-92516285D01*
+X222896308Y-92522523D01*
+X222573253Y-92719330D01*
+X222537857Y-92740893D01*
+X222528033Y-92746294D01*
+X222151601Y-92931931D01*
+X222141335Y-92936437D01*
+X221749906Y-93087870D01*
+X221739301Y-93091440D01*
+X221335915Y-93207491D01*
+X221325029Y-93210104D01*
+X220912961Y-93289827D01*
+X220901884Y-93291463D01*
+X220594806Y-93322926D01*
+X220482145Y-93334469D01*
+X220472931Y-93335073D01*
+X220199565Y-93342948D01*
+X220195937Y-93343000D01*
+X140206286Y-93343000D01*
+X140200680Y-93342875D01*
+X140097011Y-93338258D01*
+X139775113Y-93323922D01*
+X139763946Y-93322926D01*
+X139347964Y-93267053D01*
+X139336929Y-93265067D01*
+X138927585Y-93172442D01*
+X138916771Y-93169484D01*
+X138663315Y-93087865D01*
+X138517252Y-93040829D01*
+X138506756Y-93036924D01*
+X138120281Y-92873273D01*
+X138110162Y-92868447D01*
+X137739756Y-92671085D01*
+X137730105Y-92665378D01*
+X137378704Y-92435867D01*
+X137369599Y-92429324D01*
+X137073310Y-92195750D01*
+X137039994Y-92169486D01*
+X137031512Y-92162164D01*
+X136945450Y-92080921D01*
+X136726303Y-91874045D01*
+X136718506Y-91866000D01*
+X136603972Y-91736771D01*
+X136440120Y-91551896D01*
+X136433080Y-91543202D01*
+X136183715Y-91205590D01*
+X136177477Y-91196308D01*
+X135959107Y-90837857D01*
+X135953706Y-90828033D01*
+X135768069Y-90451601D01*
+X135763563Y-90441335D01*
+X135724651Y-90340754D01*
+X135612130Y-90049906D01*
+X135608558Y-90039294D01*
+X135492509Y-89635915D01*
+X135489894Y-89625021D01*
+X135484153Y-89595346D01*
+X135456783Y-89453876D01*
+X137438604Y-89453876D01*
+X137438828Y-89458542D01*
+X137438828Y-89458547D01*
+X137440971Y-89503160D01*
+X137451134Y-89714734D01*
+X137502083Y-89970874D01*
+X137590333Y-90216670D01*
+X137592549Y-90220794D01*
+X137657006Y-90340754D01*
+X137713944Y-90446722D01*
+X137716739Y-90450465D01*
+X137716741Y-90450468D01*
+X137867410Y-90652238D01*
+X137867415Y-90652244D01*
+X137870202Y-90655976D01*
+X137873511Y-90659256D01*
+X137873516Y-90659262D01*
+X138052356Y-90836547D01*
+X138055673Y-90839835D01*
+X138266282Y-90994261D01*
+X138270425Y-90996441D01*
+X138270427Y-90996442D01*
+X138493254Y-91113677D01*
+X138493259Y-91113679D01*
+X138497404Y-91115860D01*
+X138501827Y-91117405D01*
+X138501828Y-91117405D01*
+X138727739Y-91196296D01*
+X138743961Y-91201961D01*
+X139000536Y-91250674D01*
+X139124612Y-91255549D01*
+X139256825Y-91260744D01*
+X139256830Y-91260744D01*
+X139261493Y-91260927D01*
+X139368659Y-91249190D01*
+X139516446Y-91233005D01*
+X139516451Y-91233004D01*
+X139521099Y-91232495D01*
+X139525623Y-91231304D01*
+X139769128Y-91167195D01*
+X139769130Y-91167194D01*
+X139773651Y-91166004D01*
+X139890364Y-91115860D01*
+X140009307Y-91064758D01*
+X140009309Y-91064757D01*
+X140013601Y-91062913D01*
+X140235678Y-90925488D01*
+X140435002Y-90756747D01*
+X140481230Y-90704034D01*
+X140604114Y-90563913D01*
+X140604118Y-90563908D01*
+X140607196Y-90560398D01*
+X140748476Y-90340754D01*
+X140855738Y-90102639D01*
+X140926627Y-89851286D01*
+X140959585Y-89592216D01*
+X140962000Y-89500000D01*
+X140958573Y-89453876D01*
+X219438604Y-89453876D01*
+X219438828Y-89458542D01*
+X219438828Y-89458547D01*
+X219440971Y-89503160D01*
+X219451134Y-89714734D01*
+X219502083Y-89970874D01*
+X219590333Y-90216670D01*
+X219592549Y-90220794D01*
+X219657006Y-90340754D01*
+X219713944Y-90446722D01*
+X219716739Y-90450465D01*
+X219716741Y-90450468D01*
+X219867410Y-90652238D01*
+X219867415Y-90652244D01*
+X219870202Y-90655976D01*
+X219873511Y-90659256D01*
+X219873516Y-90659262D01*
+X220052356Y-90836547D01*
+X220055673Y-90839835D01*
+X220266282Y-90994261D01*
+X220270425Y-90996441D01*
+X220270427Y-90996442D01*
+X220493254Y-91113677D01*
+X220493259Y-91113679D01*
+X220497404Y-91115860D01*
+X220501827Y-91117405D01*
+X220501828Y-91117405D01*
+X220727739Y-91196296D01*
+X220743961Y-91201961D01*
+X221000536Y-91250674D01*
+X221124612Y-91255549D01*
+X221256825Y-91260744D01*
+X221256830Y-91260744D01*
+X221261493Y-91260927D01*
+X221368659Y-91249190D01*
+X221516446Y-91233005D01*
+X221516451Y-91233004D01*
+X221521099Y-91232495D01*
+X221525623Y-91231304D01*
+X221769128Y-91167195D01*
+X221769130Y-91167194D01*
+X221773651Y-91166004D01*
+X221890364Y-91115860D01*
+X222009307Y-91064758D01*
+X222009309Y-91064757D01*
+X222013601Y-91062913D01*
+X222235678Y-90925488D01*
+X222435002Y-90756747D01*
+X222481230Y-90704034D01*
+X222604114Y-90563913D01*
+X222604118Y-90563908D01*
+X222607196Y-90560398D01*
+X222748476Y-90340754D01*
+X222855738Y-90102639D01*
+X222926627Y-89851286D01*
+X222959585Y-89592216D01*
+X222962000Y-89500000D01*
+X222954260Y-89395841D01*
+X222942992Y-89244212D01*
+X222942991Y-89244208D01*
+X222942646Y-89239560D01*
+X222885009Y-88984841D01*
+X222881513Y-88975851D01*
+X222792048Y-88745792D01*
+X222792047Y-88745790D01*
+X222790355Y-88741439D01*
+X222660764Y-88514702D01*
+X222499083Y-88309610D01*
+X222308863Y-88130669D01*
+X222094283Y-87981809D01*
+X222090093Y-87979743D01*
+X222090090Y-87979741D01*
+X221864245Y-87868367D01*
+X221864242Y-87868366D01*
+X221860057Y-87866302D01*
+X221611331Y-87786684D01*
+X221526364Y-87772846D01*
+X221358181Y-87745456D01*
+X221358177Y-87745456D01*
+X221353568Y-87744705D01*
+X221223000Y-87742995D01*
+X221097109Y-87741347D01*
+X221097106Y-87741347D01*
+X221092432Y-87741286D01*
+X220897590Y-87767803D01*
+X220838288Y-87775874D01*
+X220838286Y-87775874D01*
+X220833660Y-87776504D01*
+X220829178Y-87777810D01*
+X220829175Y-87777811D01*
+X220801307Y-87785934D01*
+X220582935Y-87849584D01*
+X220578688Y-87851542D01*
+X220578685Y-87851543D01*
+X220542191Y-87868367D01*
+X220345765Y-87958920D01*
+X220341856Y-87961483D01*
+X220131275Y-88099545D01*
+X220131270Y-88099549D01*
+X220127362Y-88102111D01*
+X219932523Y-88276012D01*
+X219765528Y-88476801D01*
+X219763104Y-88480795D01*
+X219763103Y-88480797D01*
+X219740067Y-88518760D01*
+X219630047Y-88700069D01*
+X219628238Y-88704383D01*
+X219628237Y-88704385D01*
+X219531090Y-88936054D01*
+X219529054Y-88940909D01*
+X219464769Y-89194032D01*
+X219438604Y-89453876D01*
+X140958573Y-89453876D01*
+X140954260Y-89395841D01*
+X140942992Y-89244212D01*
+X140942991Y-89244208D01*
+X140942646Y-89239560D01*
+X140885009Y-88984841D01*
+X140881513Y-88975851D01*
+X140792048Y-88745792D01*
+X140792047Y-88745790D01*
+X140790355Y-88741439D01*
+X140660764Y-88514702D01*
+X140499083Y-88309610D01*
+X140308863Y-88130669D01*
+X140094283Y-87981809D01*
+X140090093Y-87979743D01*
+X140090090Y-87979741D01*
+X139864245Y-87868367D01*
+X139864242Y-87868366D01*
+X139860057Y-87866302D01*
+X139611331Y-87786684D01*
+X139526364Y-87772846D01*
+X139358181Y-87745456D01*
+X139358177Y-87745456D01*
+X139353568Y-87744705D01*
+X139223000Y-87742995D01*
+X139097109Y-87741347D01*
+X139097106Y-87741347D01*
+X139092432Y-87741286D01*
+X138897590Y-87767803D01*
+X138838288Y-87775874D01*
+X138838286Y-87775874D01*
+X138833660Y-87776504D01*
+X138829178Y-87777810D01*
+X138829175Y-87777811D01*
+X138801307Y-87785934D01*
+X138582935Y-87849584D01*
+X138578688Y-87851542D01*
+X138578685Y-87851543D01*
+X138542191Y-87868367D01*
+X138345765Y-87958920D01*
+X138341856Y-87961483D01*
+X138131275Y-88099545D01*
+X138131270Y-88099549D01*
+X138127362Y-88102111D01*
+X137932523Y-88276012D01*
+X137765528Y-88476801D01*
+X137763104Y-88480795D01*
+X137763103Y-88480797D01*
+X137740067Y-88518760D01*
+X137630047Y-88700069D01*
+X137628238Y-88704383D01*
+X137628237Y-88704385D01*
+X137531090Y-88936054D01*
+X137529054Y-88940909D01*
+X137464769Y-89194032D01*
+X137438604Y-89453876D01*
+X135456783Y-89453876D01*
+X135410173Y-89212961D01*
+X135408536Y-89201878D01*
+X135408210Y-89198690D01*
+X135365531Y-88782145D01*
+X135364927Y-88772931D01*
+X135357052Y-88499565D01*
+X135357000Y-88495937D01*
+X135357000Y-82389242D01*
+X146400490Y-82389242D01*
+X146414006Y-82477567D01*
+X146432463Y-82598179D01*
+X146434429Y-82611029D01*
+X146452567Y-82664006D01*
+X146500306Y-82803440D01*
+X146507106Y-82823302D01*
+X146616224Y-83019349D01*
+X146619776Y-83023689D01*
+X146619779Y-83023693D01*
+X146724264Y-83151348D01*
+X146758335Y-83192975D01*
+X146799394Y-83228043D01*
+X146924682Y-83335049D01*
+X146924686Y-83335052D01*
+X146928947Y-83338691D01*
+X147122667Y-83451892D01*
+X147127933Y-83453819D01*
+X147127937Y-83453821D01*
+X147328106Y-83527072D01*
+X147328109Y-83527073D01*
+X147333370Y-83528998D01*
+X147338886Y-83529961D01*
+X147338891Y-83529962D01*
+X147509541Y-83559745D01*
+X147554399Y-83567574D01*
+X147560005Y-83567545D01*
+X147560009Y-83567545D01*
+X147663025Y-83567005D01*
+X147778765Y-83566399D01*
+X147781619Y-83565870D01*
+X149188099Y-83565870D01*
+X149204626Y-83762685D01*
+X149259066Y-83952542D01*
+X149261885Y-83958027D01*
+X149346529Y-84122727D01*
+X149346532Y-84122732D01*
+X149349347Y-84128209D01*
+X149472028Y-84282994D01*
+X149476722Y-84286988D01*
+X149476722Y-84286989D01*
+X149501643Y-84308198D01*
+X149622438Y-84411003D01*
+X149794847Y-84507359D01*
+X149982688Y-84568392D01*
+X150178806Y-84591778D01*
+X150184941Y-84591306D01*
+X150184943Y-84591306D01*
+X150369589Y-84577098D01*
+X150369592Y-84577097D01*
+X150375732Y-84576625D01*
+X150565964Y-84523512D01*
+X150742257Y-84434460D01*
+X150777392Y-84407010D01*
+X150893039Y-84316656D01*
+X150897895Y-84312862D01*
+X151026951Y-84163350D01*
+X151044170Y-84133040D01*
+X151121465Y-83996974D01*
+X151121466Y-83996973D01*
+X151124508Y-83991617D01*
+X151186851Y-83804207D01*
+X151211605Y-83608257D01*
+X151212000Y-83580000D01*
+X151192727Y-83383435D01*
+X151135641Y-83194357D01*
+X151103350Y-83133626D01*
+X151045810Y-83025410D01*
+X151045808Y-83025407D01*
+X151042916Y-83019968D01*
+X150918086Y-82866910D01*
+X150765903Y-82741014D01*
+X150592166Y-82647074D01*
+X150403491Y-82588670D01*
+X150397366Y-82588026D01*
+X150397365Y-82588026D01*
+X150213193Y-82568669D01*
+X150213192Y-82568669D01*
+X150207065Y-82568025D01*
+X150086743Y-82578975D01*
+X150016511Y-82585366D01*
+X150016510Y-82585366D01*
+X150010370Y-82585925D01*
+X150000663Y-82588782D01*
+X149826809Y-82639950D01*
+X149826806Y-82639951D01*
+X149820898Y-82641690D01*
+X149645866Y-82733195D01*
+X149641068Y-82737053D01*
+X149641066Y-82737054D01*
+X149576730Y-82788782D01*
+X149491941Y-82856954D01*
+X149487982Y-82861672D01*
+X149487981Y-82861673D01*
+X149413417Y-82950534D01*
+X149364985Y-83008253D01*
+X149362022Y-83013642D01*
+X149362019Y-83013647D01*
+X149286318Y-83151348D01*
+X149269835Y-83181331D01*
+X149210115Y-83369593D01*
+X149209429Y-83375710D01*
+X149209428Y-83375714D01*
+X149192626Y-83525511D01*
+X149188099Y-83565870D01*
+X147781619Y-83565870D01*
+X147999377Y-83525511D01*
+X148004626Y-83523528D01*
+X148004628Y-83523527D01*
+X148081769Y-83494378D01*
+X148209262Y-83446202D01*
+X148231918Y-83432643D01*
+X148303890Y-83389568D01*
+X148401785Y-83330979D01*
+X148406005Y-83327297D01*
+X148406010Y-83327294D01*
+X148566631Y-83187175D01*
+X148570861Y-83183485D01*
+X148628718Y-83111268D01*
+X148707641Y-83012757D01*
+X148707644Y-83012752D01*
+X148711147Y-83008380D01*
+X148818206Y-82811201D01*
+X148888657Y-82598179D01*
+X148889995Y-82588782D01*
+X148911026Y-82440999D01*
+X148918392Y-82389242D01*
+X166400490Y-82389242D01*
+X166414006Y-82477567D01*
+X166432463Y-82598179D01*
+X166434429Y-82611029D01*
+X166452567Y-82664006D01*
+X166500306Y-82803440D01*
+X166507106Y-82823302D01*
+X166616224Y-83019349D01*
+X166619776Y-83023689D01*
+X166619779Y-83023693D01*
+X166724264Y-83151348D01*
+X166758335Y-83192975D01*
+X166799394Y-83228043D01*
+X166924682Y-83335049D01*
+X166924686Y-83335052D01*
+X166928947Y-83338691D01*
+X167122667Y-83451892D01*
+X167127933Y-83453819D01*
+X167127937Y-83453821D01*
+X167328106Y-83527072D01*
+X167328109Y-83527073D01*
+X167333370Y-83528998D01*
+X167338886Y-83529961D01*
+X167338891Y-83529962D01*
+X167509541Y-83559745D01*
+X167554399Y-83567574D01*
+X167560005Y-83567545D01*
+X167560009Y-83567545D01*
+X167663025Y-83567005D01*
+X167778765Y-83566399D01*
+X167781619Y-83565870D01*
+X169188099Y-83565870D01*
+X169204626Y-83762685D01*
+X169259066Y-83952542D01*
+X169261885Y-83958027D01*
+X169346529Y-84122727D01*
+X169346532Y-84122732D01*
+X169349347Y-84128209D01*
+X169472028Y-84282994D01*
+X169476722Y-84286988D01*
+X169476722Y-84286989D01*
+X169501643Y-84308198D01*
+X169622438Y-84411003D01*
+X169794847Y-84507359D01*
+X169982688Y-84568392D01*
+X170178806Y-84591778D01*
+X170184941Y-84591306D01*
+X170184943Y-84591306D01*
+X170369589Y-84577098D01*
+X170369592Y-84577097D01*
+X170375732Y-84576625D01*
+X170565964Y-84523512D01*
+X170742257Y-84434460D01*
+X170777392Y-84407010D01*
+X170893039Y-84316656D01*
+X170897895Y-84312862D01*
+X171026951Y-84163350D01*
+X171044170Y-84133040D01*
+X171121465Y-83996974D01*
+X171121466Y-83996973D01*
+X171124508Y-83991617D01*
+X171186851Y-83804207D01*
+X171211605Y-83608257D01*
+X171212000Y-83580000D01*
+X171210615Y-83565870D01*
+X189188099Y-83565870D01*
+X189204626Y-83762685D01*
+X189259066Y-83952542D01*
+X189261885Y-83958027D01*
+X189346529Y-84122727D01*
+X189346532Y-84122732D01*
+X189349347Y-84128209D01*
+X189472028Y-84282994D01*
+X189476722Y-84286988D01*
+X189476722Y-84286989D01*
+X189501643Y-84308198D01*
+X189622438Y-84411003D01*
+X189794847Y-84507359D01*
+X189982688Y-84568392D01*
+X190178806Y-84591778D01*
+X190184941Y-84591306D01*
+X190184943Y-84591306D01*
+X190369589Y-84577098D01*
+X190369592Y-84577097D01*
+X190375732Y-84576625D01*
+X190565964Y-84523512D01*
+X190742257Y-84434460D01*
+X190777392Y-84407010D01*
+X190893039Y-84316656D01*
+X190897895Y-84312862D01*
+X191026951Y-84163350D01*
+X191044170Y-84133040D01*
+X191121465Y-83996974D01*
+X191121466Y-83996973D01*
+X191124508Y-83991617D01*
+X191186851Y-83804207D01*
+X191211605Y-83608257D01*
+X191212000Y-83580000D01*
+X191210615Y-83565870D01*
+X209188099Y-83565870D01*
+X209204626Y-83762685D01*
+X209259066Y-83952542D01*
+X209261885Y-83958027D01*
+X209346529Y-84122727D01*
+X209346532Y-84122732D01*
+X209349347Y-84128209D01*
+X209472028Y-84282994D01*
+X209476722Y-84286988D01*
+X209476722Y-84286989D01*
+X209501643Y-84308198D01*
+X209622438Y-84411003D01*
+X209794847Y-84507359D01*
+X209982688Y-84568392D01*
+X210178806Y-84591778D01*
+X210184941Y-84591306D01*
+X210184943Y-84591306D01*
+X210369589Y-84577098D01*
+X210369592Y-84577097D01*
+X210375732Y-84576625D01*
+X210565964Y-84523512D01*
+X210742257Y-84434460D01*
+X210777392Y-84407010D01*
+X210893039Y-84316656D01*
+X210897895Y-84312862D01*
+X211026951Y-84163350D01*
+X211044170Y-84133040D01*
+X211121465Y-83996974D01*
+X211121466Y-83996973D01*
+X211124508Y-83991617D01*
+X211186851Y-83804207D01*
+X211211605Y-83608257D01*
+X211212000Y-83580000D01*
+X211192727Y-83383435D01*
+X211135641Y-83194357D01*
+X211103350Y-83133626D01*
+X211045810Y-83025410D01*
+X211045808Y-83025407D01*
+X211042916Y-83019968D01*
+X210918086Y-82866910D01*
+X210765903Y-82741014D01*
+X210592166Y-82647074D01*
+X210403491Y-82588670D01*
+X210397366Y-82588026D01*
+X210397365Y-82588026D01*
+X210213193Y-82568669D01*
+X210213192Y-82568669D01*
+X210207065Y-82568025D01*
+X210086743Y-82578975D01*
+X210016511Y-82585366D01*
+X210016510Y-82585366D01*
+X210010370Y-82585925D01*
+X210000663Y-82588782D01*
+X209826809Y-82639950D01*
+X209826806Y-82639951D01*
+X209820898Y-82641690D01*
+X209645866Y-82733195D01*
+X209641068Y-82737053D01*
+X209641066Y-82737054D01*
+X209576730Y-82788782D01*
+X209491941Y-82856954D01*
+X209487982Y-82861672D01*
+X209487981Y-82861673D01*
+X209413417Y-82950534D01*
+X209364985Y-83008253D01*
+X209362022Y-83013642D01*
+X209362019Y-83013647D01*
+X209286318Y-83151348D01*
+X209269835Y-83181331D01*
+X209210115Y-83369593D01*
+X209209429Y-83375710D01*
+X209209428Y-83375714D01*
+X209192626Y-83525511D01*
+X209188099Y-83565870D01*
+X191210615Y-83565870D01*
+X191192727Y-83383435D01*
+X191135641Y-83194357D01*
+X191103350Y-83133626D01*
+X191045810Y-83025410D01*
+X191045808Y-83025407D01*
+X191042916Y-83019968D01*
+X190918086Y-82866910D01*
+X190765903Y-82741014D01*
+X190592166Y-82647074D01*
+X190403491Y-82588670D01*
+X190397366Y-82588026D01*
+X190397365Y-82588026D01*
+X190213193Y-82568669D01*
+X190213192Y-82568669D01*
+X190207065Y-82568025D01*
+X190086743Y-82578975D01*
+X190016511Y-82585366D01*
+X190016510Y-82585366D01*
+X190010370Y-82585925D01*
+X190000663Y-82588782D01*
+X189826809Y-82639950D01*
+X189826806Y-82639951D01*
+X189820898Y-82641690D01*
+X189645866Y-82733195D01*
+X189641068Y-82737053D01*
+X189641066Y-82737054D01*
+X189576730Y-82788782D01*
+X189491941Y-82856954D01*
+X189487982Y-82861672D01*
+X189487981Y-82861673D01*
+X189413417Y-82950534D01*
+X189364985Y-83008253D01*
+X189362022Y-83013642D01*
+X189362019Y-83013647D01*
+X189286318Y-83151348D01*
+X189269835Y-83181331D01*
+X189210115Y-83369593D01*
+X189209429Y-83375710D01*
+X189209428Y-83375714D01*
+X189192626Y-83525511D01*
+X189188099Y-83565870D01*
+X171210615Y-83565870D01*
+X171192727Y-83383435D01*
+X171135641Y-83194357D01*
+X171103350Y-83133626D01*
+X171045810Y-83025410D01*
+X171045808Y-83025407D01*
+X171042916Y-83019968D01*
+X170918086Y-82866910D01*
+X170915980Y-82865167D01*
+X175442114Y-82865167D01*
+X175444813Y-82874191D01*
+X175444813Y-82874193D01*
+X175458113Y-82918666D01*
+X175481517Y-82996923D01*
+X175500552Y-83025410D01*
+X175520823Y-83055747D01*
+X175557921Y-83111268D01*
+X175565222Y-83117212D01*
+X175565223Y-83117213D01*
+X175653296Y-83188916D01*
+X175664568Y-83198093D01*
+X175792032Y-83249721D01*
+X175801417Y-83250534D01*
+X175801418Y-83250534D01*
+X175919661Y-83260775D01*
+X175919664Y-83260775D01*
+X175929041Y-83261587D01*
+X175938242Y-83259606D01*
+X175938244Y-83259606D01*
+X176054278Y-83234625D01*
+X176054281Y-83234624D01*
+X176063482Y-83232643D01*
+X176071694Y-83228044D01*
+X176071697Y-83228043D01*
+X176175254Y-83170048D01*
+X176183470Y-83165447D01*
+X176278394Y-83065940D01*
+X176278780Y-83065167D01*
+X179742114Y-83065167D01*
+X179781517Y-83196923D01*
+X179857921Y-83311268D01*
+X179865222Y-83317212D01*
+X179865223Y-83317213D01*
+X179895077Y-83341518D01*
+X179964568Y-83398093D01*
+X180092032Y-83449721D01*
+X180101417Y-83450534D01*
+X180101418Y-83450534D01*
+X180219661Y-83460775D01*
+X180219664Y-83460775D01*
+X180229041Y-83461587D01*
+X180238242Y-83459606D01*
+X180238244Y-83459606D01*
+X180354278Y-83434625D01*
+X180354281Y-83434624D01*
+X180363482Y-83432643D01*
+X180371694Y-83428044D01*
+X180371697Y-83428043D01*
+X180475254Y-83370048D01*
+X180483470Y-83365447D01*
+X180578394Y-83265940D01*
+X180639864Y-83142920D01*
+X180662443Y-83007265D01*
+X180662500Y-83000000D01*
+X180642054Y-82864006D01*
+X180582525Y-82740036D01*
+X180490974Y-82640998D01*
+X180457500Y-82555470D01*
+X180457500Y-81458850D01*
+X180494405Y-81369754D01*
+X181171155Y-80693005D01*
+X181233467Y-80658980D01*
+X181271121Y-80656571D01*
+X181319660Y-80660775D01*
+X181319663Y-80660775D01*
+X181329041Y-80661587D01*
+X181338242Y-80659606D01*
+X181338244Y-80659606D01*
+X181454278Y-80634625D01*
+X181454281Y-80634624D01*
+X181463482Y-80632643D01*
+X181471694Y-80628044D01*
+X181471697Y-80628043D01*
+X181575254Y-80570048D01*
+X181583470Y-80565447D01*
+X181678394Y-80465940D01*
+X181739864Y-80342920D01*
+X181762443Y-80207265D01*
+X181762500Y-80200000D01*
+X181742054Y-80064006D01*
+X181682525Y-79940036D01*
+X181595759Y-79846173D01*
+X181595572Y-79845971D01*
+X181595571Y-79845970D01*
+X181589175Y-79839051D01*
+X181581031Y-79834320D01*
+X181581029Y-79834319D01*
+X181478399Y-79774706D01*
+X181478395Y-79774704D01*
+X181470258Y-79769978D01*
+X181378189Y-79748638D01*
+X181345465Y-79741053D01*
+X181345463Y-79741053D01*
+X181336287Y-79738926D01*
+X181326891Y-79739591D01*
+X181326888Y-79739591D01*
+X181208511Y-79747972D01*
+X181208509Y-79747973D01*
+X181199109Y-79748638D01*
+X181070850Y-79798258D01*
+X180962852Y-79883397D01*
+X180884662Y-79996528D01*
+X180881823Y-80005505D01*
+X180881822Y-80005507D01*
+X180860377Y-80073316D01*
+X180843194Y-80127649D01*
+X180843120Y-80137070D01*
+X180842293Y-80242344D01*
+X180805392Y-80330449D01*
+X180044476Y-81091365D01*
+X180025383Y-81107035D01*
+X180024876Y-81107374D01*
+X180014385Y-81114384D01*
+X179980267Y-81165447D01*
+X179957483Y-81199546D01*
+X179937500Y-81300000D01*
+X179939921Y-81312170D01*
+X179939921Y-81312172D01*
+X179940080Y-81312971D01*
+X179942501Y-81337553D01*
+X179942500Y-82559493D01*
+X179922498Y-82627614D01*
+X179894507Y-82658442D01*
+X179862852Y-82683397D01*
+X179784662Y-82796528D01*
+X179781823Y-82805505D01*
+X179781822Y-82805507D01*
+X179762954Y-82865167D01*
+X179743194Y-82927649D01*
+X179742114Y-83065167D01*
+X176278780Y-83065167D01*
+X176312880Y-82996923D01*
+X176335653Y-82951348D01*
+X176335653Y-82951347D01*
+X176339864Y-82942920D01*
+X176346737Y-82901630D01*
+X176361630Y-82812149D01*
+X176362443Y-82807265D01*
+X176362500Y-82800000D01*
+X176345646Y-82687898D01*
+X176343454Y-82673316D01*
+X176343453Y-82673314D01*
+X176342054Y-82664006D01*
+X176305932Y-82588782D01*
+X176286600Y-82548522D01*
+X176286600Y-82548521D01*
+X176282525Y-82540036D01*
+X176190974Y-82440998D01*
+X176157500Y-82355470D01*
+X176157500Y-80558849D01*
+X176194405Y-80469754D01*
+X176255524Y-80408635D01*
+X176274621Y-80392962D01*
+X176275299Y-80392509D01*
+X176285616Y-80385616D01*
+X176318707Y-80336090D01*
+X176318710Y-80336088D01*
+X176318709Y-80336087D01*
+X176335624Y-80310772D01*
+X176342518Y-80300455D01*
+X176362500Y-80200000D01*
+X176359921Y-80187035D01*
+X176357500Y-80162453D01*
+X176357500Y-80043130D01*
+X176392330Y-79956159D01*
+X176407711Y-79940036D01*
+X176478394Y-79865940D01*
+X176531261Y-79760138D01*
+X176535653Y-79751348D01*
+X176535653Y-79751347D01*
+X176539864Y-79742920D01*
+X176562443Y-79607265D01*
+X176562500Y-79600000D01*
+X176542054Y-79464006D01*
+X176482525Y-79340036D01*
+X176389175Y-79239051D01*
+X176381031Y-79234320D01*
+X176381029Y-79234319D01*
+X176278399Y-79174706D01*
+X176278395Y-79174704D01*
+X176270258Y-79169978D01*
+X176178189Y-79148638D01*
+X176145465Y-79141053D01*
+X176145463Y-79141053D01*
+X176136287Y-79138926D01*
+X176126891Y-79139591D01*
+X176126888Y-79139591D01*
+X176008511Y-79147972D01*
+X176008509Y-79147973D01*
+X175999109Y-79148638D01*
+X175870850Y-79198258D01*
+X175762852Y-79283397D01*
+X175684662Y-79396528D01*
+X175681823Y-79405505D01*
+X175681822Y-79405507D01*
+X175660377Y-79473316D01*
+X175643194Y-79527649D01*
+X175642114Y-79665167D01*
+X175644813Y-79674191D01*
+X175644813Y-79674193D01*
+X175670516Y-79760138D01*
+X175681517Y-79796923D01*
+X175709890Y-79839385D01*
+X175747372Y-79895480D01*
+X175757921Y-79911268D01*
+X175765222Y-79917212D01*
+X175765223Y-79917213D01*
+X175796051Y-79942311D01*
+X175836250Y-80000831D01*
+X175842500Y-80040023D01*
+X175842500Y-80041149D01*
+X175805596Y-80130244D01*
+X175744471Y-80191370D01*
+X175725375Y-80207041D01*
+X175714385Y-80214384D01*
+X175680454Y-80265167D01*
+X175657483Y-80299546D01*
+X175637500Y-80400000D01*
+X175639921Y-80412170D01*
+X175639921Y-80412172D01*
+X175640080Y-80412971D01*
+X175642501Y-80437553D01*
+X175642500Y-82359493D01*
+X175622498Y-82427614D01*
+X175594507Y-82458442D01*
+X175562852Y-82483397D01*
+X175484662Y-82596528D01*
+X175481823Y-82605505D01*
+X175481822Y-82605507D01*
+X175460377Y-82673316D01*
+X175443194Y-82727649D01*
+X175442114Y-82865167D01*
+X170915980Y-82865167D01*
+X170765903Y-82741014D01*
+X170592166Y-82647074D01*
+X170403491Y-82588670D01*
+X170397366Y-82588026D01*
+X170397365Y-82588026D01*
+X170213193Y-82568669D01*
+X170213192Y-82568669D01*
+X170207065Y-82568025D01*
+X170086743Y-82578975D01*
+X170016511Y-82585366D01*
+X170016510Y-82585366D01*
+X170010370Y-82585925D01*
+X170000663Y-82588782D01*
+X169826809Y-82639950D01*
+X169826806Y-82639951D01*
+X169820898Y-82641690D01*
+X169645866Y-82733195D01*
+X169641068Y-82737053D01*
+X169641066Y-82737054D01*
+X169576730Y-82788782D01*
+X169491941Y-82856954D01*
+X169487982Y-82861672D01*
+X169487981Y-82861673D01*
+X169413417Y-82950534D01*
+X169364985Y-83008253D01*
+X169362022Y-83013642D01*
+X169362019Y-83013647D01*
+X169286318Y-83151348D01*
+X169269835Y-83181331D01*
+X169210115Y-83369593D01*
+X169209429Y-83375710D01*
+X169209428Y-83375714D01*
+X169192626Y-83525511D01*
+X169188099Y-83565870D01*
+X167781619Y-83565870D01*
+X167999377Y-83525511D01*
+X168004626Y-83523528D01*
+X168004628Y-83523527D01*
+X168081769Y-83494378D01*
+X168209262Y-83446202D01*
+X168231918Y-83432643D01*
+X168303890Y-83389568D01*
+X168401785Y-83330979D01*
+X168406005Y-83327297D01*
+X168406010Y-83327294D01*
+X168566631Y-83187175D01*
+X168570861Y-83183485D01*
+X168628718Y-83111268D01*
+X168707641Y-83012757D01*
+X168707644Y-83012752D01*
+X168711147Y-83008380D01*
+X168818206Y-82811201D01*
+X168888657Y-82598179D01*
+X168889995Y-82588782D01*
+X168911026Y-82440999D01*
+X168920270Y-82376048D01*
+X168922000Y-82310000D01*
+X168920889Y-82297545D01*
+X168909555Y-82170560D01*
+X168902055Y-82086519D01*
+X168858312Y-81926620D01*
+X168844332Y-81875518D01*
+X168844331Y-81875514D01*
+X168842850Y-81870102D01*
+X168746256Y-81667590D01*
+X168742564Y-81662452D01*
+X168618604Y-81489941D01*
+X168618599Y-81489936D01*
+X168615328Y-81485383D01*
+X168454202Y-81329242D01*
+X168267973Y-81204101D01*
+X168125481Y-81141551D01*
+X168067669Y-81116173D01*
+X168067667Y-81116172D01*
+X168062527Y-81113916D01*
+X167902838Y-81075578D01*
+X167849814Y-81062848D01*
+X167849813Y-81062848D01*
+X167844357Y-81061538D01*
+X167759591Y-81056651D01*
+X167625967Y-81048946D01*
+X167625964Y-81048946D01*
+X167620360Y-81048623D01*
+X167397615Y-81075578D01*
+X167183165Y-81141551D01*
+X167178185Y-81144121D01*
+X167178181Y-81144123D01*
+X167047219Y-81211718D01*
+X166983787Y-81244458D01*
+X166805783Y-81381045D01*
+X166802010Y-81385191D01*
+X166802005Y-81385196D01*
+X166706695Y-81489941D01*
+X166654779Y-81546996D01*
+X166625708Y-81593340D01*
+X166566436Y-81687828D01*
+X166535550Y-81737064D01*
+X166451863Y-81945242D01*
+X166406364Y-82164949D01*
+X166400490Y-82389242D01*
+X148918392Y-82389242D01*
+X148920270Y-82376048D01*
+X148922000Y-82310000D01*
+X148920889Y-82297545D01*
+X148909555Y-82170560D01*
+X148902055Y-82086519D01*
+X148858312Y-81926620D01*
+X148844332Y-81875518D01*
+X148844331Y-81875514D01*
+X148842850Y-81870102D01*
+X148746256Y-81667590D01*
+X148742564Y-81662452D01*
+X148618604Y-81489941D01*
+X148618599Y-81489936D01*
+X148615328Y-81485383D01*
+X148454202Y-81329242D01*
+X148267973Y-81204101D01*
+X148125481Y-81141551D01*
+X148067669Y-81116173D01*
+X148067667Y-81116172D01*
+X148062527Y-81113916D01*
+X147902838Y-81075578D01*
+X147849814Y-81062848D01*
+X147849813Y-81062848D01*
+X147844357Y-81061538D01*
+X147759591Y-81056651D01*
+X147625967Y-81048946D01*
+X147625964Y-81048946D01*
+X147620360Y-81048623D01*
+X147397615Y-81075578D01*
+X147183165Y-81141551D01*
+X147178185Y-81144121D01*
+X147178181Y-81144123D01*
+X147047219Y-81211718D01*
+X146983787Y-81244458D01*
+X146805783Y-81381045D01*
+X146802010Y-81385191D01*
+X146802005Y-81385196D01*
+X146706695Y-81489941D01*
+X146654779Y-81546996D01*
+X146625708Y-81593340D01*
+X146566436Y-81687828D01*
+X146535550Y-81737064D01*
+X146451863Y-81945242D01*
+X146406364Y-82164949D01*
+X146400490Y-82389242D01*
+X135357000Y-82389242D01*
+X135357000Y-78477360D01*
+X148038119Y-78477360D01*
+X148055048Y-78770970D01*
+X148055873Y-78775175D01*
+X148055874Y-78775183D01*
+X148067401Y-78833934D01*
+X148111668Y-79059567D01*
+X148113055Y-79063617D01*
+X148113056Y-79063622D01*
+X148190955Y-79291144D01*
+X148206932Y-79337809D01*
+X148339076Y-79600548D01*
+X148341502Y-79604077D01*
+X148341505Y-79604083D01*
+X148503224Y-79839385D01*
+X148505655Y-79842922D01*
+X148508542Y-79846095D01*
+X148508543Y-79846096D01*
+X148686027Y-80041149D01*
+X148703586Y-80060446D01*
+X148783960Y-80127649D01*
+X148925916Y-80246343D01*
+X148925921Y-80246347D01*
+X148929208Y-80249095D01*
+X149009634Y-80299546D01*
+X149174705Y-80403095D01*
+X149174709Y-80403097D01*
+X149178345Y-80405378D01*
+X149268248Y-80445971D01*
+X149442475Y-80524638D01*
+X149442479Y-80524640D01*
+X149446387Y-80526404D01*
+X149492408Y-80540036D01*
+X149724261Y-80608714D01*
+X149724265Y-80608715D01*
+X149728374Y-80609932D01*
+X149732608Y-80610580D01*
+X149732613Y-80610581D01*
+X149991302Y-80650166D01*
+X150019089Y-80654418D01*
+X150168859Y-80656771D01*
+X150308859Y-80658970D01*
+X150308865Y-80658970D01*
+X150313150Y-80659037D01*
+X150605118Y-80623705D01*
+X150889590Y-80549075D01*
+X151161301Y-80436529D01*
+X151415224Y-80288148D01*
+X151646660Y-80106679D01*
+X151851327Y-79895480D01*
+X151853860Y-79892032D01*
+X151853864Y-79892027D01*
+X152022899Y-79661913D01*
+X152025437Y-79658458D01*
+X152050581Y-79612149D01*
+X152163718Y-79403775D01*
+X152163719Y-79403773D01*
+X152165768Y-79399999D01*
+X152250900Y-79174706D01*
+X152268207Y-79128905D01*
+X152268208Y-79128901D01*
+X152269725Y-79124887D01*
+X152335382Y-78838211D01*
+X152361526Y-78545278D01*
+X152362000Y-78500000D01*
+X152361831Y-78497519D01*
+X152360457Y-78477360D01*
+X168038119Y-78477360D01*
+X168055048Y-78770970D01*
+X168055873Y-78775175D01*
+X168055874Y-78775183D01*
+X168067401Y-78833934D01*
+X168111668Y-79059567D01*
+X168113055Y-79063617D01*
+X168113056Y-79063622D01*
+X168190955Y-79291144D01*
+X168206932Y-79337809D01*
+X168339076Y-79600548D01*
+X168341502Y-79604077D01*
+X168341505Y-79604083D01*
+X168503224Y-79839385D01*
+X168505655Y-79842922D01*
+X168508542Y-79846095D01*
+X168508543Y-79846096D01*
+X168686027Y-80041149D01*
+X168703586Y-80060446D01*
+X168783960Y-80127649D01*
+X168925916Y-80246343D01*
+X168925921Y-80246347D01*
+X168929208Y-80249095D01*
+X169009634Y-80299546D01*
+X169174705Y-80403095D01*
+X169174709Y-80403097D01*
+X169178345Y-80405378D01*
+X169268248Y-80445971D01*
+X169442475Y-80524638D01*
+X169442479Y-80524640D01*
+X169446387Y-80526404D01*
+X169492408Y-80540036D01*
+X169724261Y-80608714D01*
+X169724265Y-80608715D01*
+X169728374Y-80609932D01*
+X169732608Y-80610580D01*
+X169732613Y-80610581D01*
+X169991302Y-80650166D01*
+X170019089Y-80654418D01*
+X170168859Y-80656771D01*
+X170308859Y-80658970D01*
+X170308865Y-80658970D01*
+X170313150Y-80659037D01*
+X170605118Y-80623705D01*
+X170889590Y-80549075D01*
+X171161301Y-80436529D01*
+X171415224Y-80288148D01*
+X171646660Y-80106679D01*
+X171851327Y-79895480D01*
+X171853860Y-79892032D01*
+X171853864Y-79892027D01*
+X172022899Y-79661913D01*
+X172025437Y-79658458D01*
+X172050581Y-79612149D01*
+X172163718Y-79403775D01*
+X172163719Y-79403773D01*
+X172165768Y-79399999D01*
+X172250900Y-79174706D01*
+X172268207Y-79128905D01*
+X172268208Y-79128901D01*
+X172269725Y-79124887D01*
+X172335382Y-78838211D01*
+X172361526Y-78545278D01*
+X172362000Y-78500000D01*
+X172361831Y-78497519D01*
+X172342289Y-78210860D01*
+X172342288Y-78210854D01*
+X172341997Y-78206583D01*
+X172282357Y-77918595D01*
+X172184186Y-77641366D01*
+X172049298Y-77380026D01*
+X172039399Y-77365940D01*
+X171946407Y-77233626D01*
+X171880190Y-77139409D01*
+X171776336Y-77027649D01*
+X171682912Y-76927113D01*
+X171682909Y-76927111D01*
+X171679991Y-76923970D01*
+X171452406Y-76737694D01*
+X171201646Y-76584028D01*
+X171197729Y-76582309D01*
+X171197726Y-76582307D01*
+X170951434Y-76474193D01*
+X170932351Y-76465816D01*
+X170928223Y-76464640D01*
+X170928220Y-76464639D01*
+X170840654Y-76439695D01*
+X170649505Y-76385245D01*
+X170645263Y-76384641D01*
+X170645257Y-76384640D01*
+X170362592Y-76344411D01*
+X170358341Y-76343806D01*
+X170203328Y-76342994D01*
+X170068533Y-76342288D01*
+X170068526Y-76342288D01*
+X170064247Y-76342266D01*
+X170060002Y-76342825D01*
+X170060000Y-76342825D01*
+X169994726Y-76351419D01*
+X169772665Y-76380654D01*
+X169488990Y-76458258D01*
+X169485042Y-76459942D01*
+X169222425Y-76571958D01*
+X169222421Y-76571960D01*
+X169218473Y-76573644D01*
+X169094279Y-76647972D01*
+X168969799Y-76722471D01*
+X168969795Y-76722474D01*
+X168966117Y-76724675D01*
+X168962774Y-76727353D01*
+X168962770Y-76727356D01*
+X168870062Y-76801630D01*
+X168736594Y-76908558D01*
+X168733650Y-76911660D01*
+X168733646Y-76911664D01*
+X168543393Y-77112149D01*
+X168534150Y-77121889D01*
+X168531651Y-77125367D01*
+X168531650Y-77125368D01*
+X168496566Y-77174193D01*
+X168362532Y-77360722D01*
+X168224915Y-77620635D01*
+X168223440Y-77624666D01*
+X168142376Y-77846184D01*
+X168123845Y-77896821D01*
+X168061193Y-78184168D01*
+X168038119Y-78477360D01*
+X152360457Y-78477360D01*
+X152342289Y-78210860D01*
+X152342288Y-78210854D01*
+X152341997Y-78206583D01*
+X152282357Y-77918595D01*
+X152184186Y-77641366D01*
+X152049298Y-77380026D01*
+X152039399Y-77365940D01*
+X151946407Y-77233626D01*
+X151880190Y-77139409D01*
+X151776336Y-77027649D01*
+X151682912Y-76927113D01*
+X151682909Y-76927111D01*
+X151679991Y-76923970D01*
+X151452406Y-76737694D01*
+X151201646Y-76584028D01*
+X151197729Y-76582309D01*
+X151197726Y-76582307D01*
+X150951434Y-76474193D01*
+X150932351Y-76465816D01*
+X150928223Y-76464640D01*
+X150928220Y-76464639D01*
+X150840654Y-76439695D01*
+X150649505Y-76385245D01*
+X150645263Y-76384641D01*
+X150645257Y-76384640D01*
+X150362592Y-76344411D01*
+X150358341Y-76343806D01*
+X150203328Y-76342994D01*
+X150068533Y-76342288D01*
+X150068526Y-76342288D01*
+X150064247Y-76342266D01*
+X150060002Y-76342825D01*
+X150060000Y-76342825D01*
+X149994726Y-76351419D01*
+X149772665Y-76380654D01*
+X149488990Y-76458258D01*
+X149485042Y-76459942D01*
+X149222425Y-76571958D01*
+X149222421Y-76571960D01*
+X149218473Y-76573644D01*
+X149094279Y-76647972D01*
+X148969799Y-76722471D01*
+X148969795Y-76722474D01*
+X148966117Y-76724675D01*
+X148962774Y-76727353D01*
+X148962770Y-76727356D01*
+X148870062Y-76801630D01*
+X148736594Y-76908558D01*
+X148733650Y-76911660D01*
+X148733646Y-76911664D01*
+X148543393Y-77112149D01*
+X148534150Y-77121889D01*
+X148531651Y-77125367D01*
+X148531650Y-77125368D01*
+X148496566Y-77174193D01*
+X148362532Y-77360722D01*
+X148224915Y-77620635D01*
+X148223440Y-77624666D01*
+X148142376Y-77846184D01*
+X148123845Y-77896821D01*
+X148061193Y-78184168D01*
+X148038119Y-78477360D01*
+X135357000Y-78477360D01*
+X135357000Y-76039242D01*
+X143860490Y-76039242D01*
+X143875914Y-76140036D01*
+X143892463Y-76248179D01*
+X143894429Y-76261029D01*
+X143917238Y-76327649D01*
+X143965134Y-76467541D01*
+X143967106Y-76473302D01*
+X144076224Y-76669349D01*
+X144079776Y-76673689D01*
+X144079779Y-76673693D01*
+X144192356Y-76811235D01*
+X144218335Y-76842975D01*
+X144239176Y-76860775D01*
+X144384682Y-76985049D01*
+X144384686Y-76985052D01*
+X144388947Y-76988691D01*
+X144582667Y-77101892D01*
+X144587933Y-77103819D01*
+X144587937Y-77103821D01*
+X144788106Y-77177072D01*
+X144788109Y-77177073D01*
+X144793370Y-77178998D01*
+X144798886Y-77179961D01*
+X144798891Y-77179962D01*
+X144977145Y-77211072D01*
+X145014399Y-77217574D01*
+X145020005Y-77217545D01*
+X145020009Y-77217545D01*
+X145123025Y-77217005D01*
+X145238765Y-77216399D01*
+X145459377Y-77175511D01*
+X145464626Y-77173528D01*
+X145464628Y-77173527D01*
+X145609511Y-77118780D01*
+X145669262Y-77096202D01*
+X145861785Y-76980979D01*
+X145866005Y-76977297D01*
+X145866010Y-76977294D01*
+X146026631Y-76837175D01*
+X146030861Y-76833485D01*
+X146056382Y-76801630D01*
+X146167641Y-76662757D01*
+X146167644Y-76662752D01*
+X146171147Y-76658380D01*
+X146278206Y-76461201D01*
+X146348657Y-76248179D01*
+X146380270Y-76026048D01*
+X146382000Y-75960000D01*
+X146380889Y-75947545D01*
+X146369555Y-75820560D01*
+X146362055Y-75736519D01*
+X146318312Y-75576620D01*
+X146304332Y-75525518D01*
+X146304331Y-75525514D01*
+X146302850Y-75520102D01*
+X146206256Y-75317590D01*
+X146202984Y-75313036D01*
+X146078604Y-75139941D01*
+X146078599Y-75139936D01*
+X146075328Y-75135383D01*
+X145914202Y-74979242D01*
+X145727973Y-74854101D01*
+X145585481Y-74791551D01*
+X145527669Y-74766173D01*
+X145527667Y-74766172D01*
+X145522527Y-74763916D01*
+X145362838Y-74725578D01*
+X145309814Y-74712848D01*
+X145309813Y-74712848D01*
+X145304357Y-74711538D01*
+X145219591Y-74706651D01*
+X145085967Y-74698946D01*
+X145085964Y-74698946D01*
+X145080360Y-74698623D01*
+X144857615Y-74725578D01*
+X144643165Y-74791551D01*
+X144638185Y-74794121D01*
+X144638181Y-74794123D01*
+X144485334Y-74873014D01*
+X144443787Y-74894458D01*
+X144265783Y-75031045D01*
+X144262010Y-75035191D01*
+X144262005Y-75035196D01*
+X144166695Y-75139941D01*
+X144114779Y-75196996D01*
+X144042049Y-75312938D01*
+X144013424Y-75358571D01*
+X143995550Y-75387064D01*
+X143911863Y-75595242D01*
+X143866364Y-75814949D01*
+X143860490Y-76039242D01*
+X135357000Y-76039242D01*
+X135357000Y-67262500D01*
+X143438000Y-67262500D01*
+X143438000Y-67737500D01*
+X143449232Y-67808416D01*
+X143453929Y-67838068D01*
+X143457553Y-67860952D01*
+X143514298Y-67972320D01*
+X143530595Y-67988617D01*
+X143567500Y-68077712D01*
+X143567501Y-68922287D01*
+X143530596Y-69011382D01*
+X143514298Y-69027680D01*
+X143457553Y-69139048D01*
+X143456002Y-69148838D01*
+X143456002Y-69148839D01*
+X143454533Y-69158114D01*
+X143438000Y-69262500D01*
+X143438000Y-69737500D01*
+X143447098Y-69794939D01*
+X143454710Y-69843000D01*
+X143457553Y-69860952D01*
+X143514298Y-69972320D01*
+X143602680Y-70060702D01*
+X143714048Y-70117447D01*
+X143723838Y-70118998D01*
+X143723839Y-70118998D01*
+X143760147Y-70124748D01*
+X143837500Y-70137000D01*
+X143867001Y-70137000D01*
+X143936053Y-70165603D01*
+X143939385Y-70160616D01*
+X143950386Y-70167968D01*
+X143969474Y-70183634D01*
+X144791365Y-71005525D01*
+X144807035Y-71024618D01*
+X144807490Y-71025299D01*
+X144807492Y-71025301D01*
+X144814384Y-71035616D01*
+X144899546Y-71092518D01*
+X144911717Y-71094939D01*
+X144974864Y-71107500D01*
+X144974865Y-71107500D01*
+X145000000Y-71112500D01*
+X145012170Y-71110079D01*
+X145012172Y-71110079D01*
+X145012966Y-71109921D01*
+X145037548Y-71107500D01*
+X145741150Y-71107500D01*
+X145830246Y-71144405D01*
+X146148586Y-71462746D01*
+X146185490Y-71551841D01*
+X146185492Y-72160331D01*
+X146183070Y-72184915D01*
+X146180491Y-72197879D01*
+X146182912Y-72210049D01*
+X146182912Y-72210051D01*
+X146196007Y-72275878D01*
+X146199317Y-72292518D01*
+X146200474Y-72298333D01*
+X146257376Y-72383495D01*
+X146267694Y-72390389D01*
+X146267696Y-72390391D01*
+X146268366Y-72390838D01*
+X146287462Y-72406509D01*
+X146748586Y-72867634D01*
+X146785490Y-72956729D01*
+X146785489Y-73887898D01*
+X146785489Y-74810331D01*
+X146783068Y-74834913D01*
+X146780489Y-74847879D01*
+X146782910Y-74860049D01*
+X146782910Y-74860053D01*
+X146785488Y-74873012D01*
+X146785489Y-74873014D01*
+X146800471Y-74948332D01*
+X146807367Y-74958653D01*
+X146850477Y-75023175D01*
+X146850479Y-75023177D01*
+X146857373Y-75033495D01*
+X146868375Y-75040847D01*
+X146887463Y-75056513D01*
+X147750497Y-75919547D01*
+X147766167Y-75938640D01*
+X147766622Y-75939321D01*
+X147766624Y-75939323D01*
+X147773516Y-75949638D01*
+X147858678Y-76006540D01*
+X147870849Y-76008961D01*
+X147933996Y-76021522D01*
+X147933997Y-76021522D01*
+X147959132Y-76026522D01*
+X147971302Y-76024101D01*
+X147971304Y-76024101D01*
+X147972098Y-76023943D01*
+X147996680Y-76021522D01*
+X154803320Y-76021522D01*
+X154827902Y-76023943D01*
+X154828696Y-76024101D01*
+X154828698Y-76024101D01*
+X154840868Y-76026522D01*
+X154853038Y-76024101D01*
+X154853833Y-76023943D01*
+X154866003Y-76021522D01*
+X154866004Y-76021522D01*
+X154941322Y-76006540D01*
+X155026484Y-75949638D01*
+X155033376Y-75939323D01*
+X155033378Y-75939321D01*
+X155033835Y-75938637D01*
+X155049505Y-75919544D01*
+X155598778Y-75370271D01*
+X157656996Y-75370271D01*
+X157663275Y-75442049D01*
+X157664549Y-75450280D01*
+X157728160Y-75734860D01*
+X157730516Y-75742864D01*
+X157831205Y-76016525D01*
+X157834595Y-76024141D01*
+X157970589Y-76282076D01*
+X157974967Y-76289192D01*
+X158143880Y-76526875D01*
+X158149151Y-76533338D01*
+X158348020Y-76746600D01*
+X158354104Y-76752312D01*
+X158579425Y-76937393D01*
+X158586206Y-76942248D01*
+X158834038Y-77095910D01*
+X158841398Y-77099823D01*
+X159107364Y-77219353D01*
+X159115187Y-77222263D01*
+X159394637Y-77305571D01*
+X159402758Y-77307416D01*
+X159691433Y-77353137D01*
+X159698408Y-77353845D01*
+X159788477Y-77357936D01*
+X159791310Y-77358000D01*
+X160127885Y-77358000D01*
+X160143124Y-77353525D01*
+X160144329Y-77352135D01*
+X160146000Y-77344452D01*
+X160146000Y-77339885D01*
+X160653999Y-77339885D01*
+X160658474Y-77355124D01*
+X160659864Y-77356329D01*
+X160667547Y-77358000D01*
+X160973181Y-77358000D01*
+X160977373Y-77357861D01*
+X161195125Y-77343398D01*
+X161203394Y-77342295D01*
+X161489231Y-77284659D01*
+X161497292Y-77282469D01*
+X161772998Y-77187536D01*
+X161780685Y-77184305D01*
+X162041410Y-77053743D01*
+X162048619Y-77049513D01*
+X162289783Y-76885619D01*
+X162296358Y-76880482D01*
+X162513735Y-76686125D01*
+X162519575Y-76680161D01*
+X162709336Y-76458762D01*
+X162714329Y-76452088D01*
+X162873144Y-76207536D01*
+X162877218Y-76200246D01*
+X162953667Y-76039242D01*
+X163860490Y-76039242D01*
+X163875914Y-76140036D01*
+X163892463Y-76248179D01*
+X163894429Y-76261029D01*
+X163917238Y-76327649D01*
+X163965134Y-76467541D01*
+X163967106Y-76473302D01*
+X164076224Y-76669349D01*
+X164079776Y-76673689D01*
+X164079779Y-76673693D01*
+X164192356Y-76811235D01*
+X164218335Y-76842975D01*
+X164239176Y-76860775D01*
+X164384682Y-76985049D01*
+X164384686Y-76985052D01*
+X164388947Y-76988691D01*
+X164582667Y-77101892D01*
+X164587933Y-77103819D01*
+X164587937Y-77103821D01*
+X164788106Y-77177072D01*
+X164788109Y-77177073D01*
+X164793370Y-77178998D01*
+X164798886Y-77179961D01*
+X164798891Y-77179962D01*
+X164977145Y-77211072D01*
+X165014399Y-77217574D01*
+X165020005Y-77217545D01*
+X165020009Y-77217545D01*
+X165123025Y-77217005D01*
+X165238765Y-77216399D01*
+X165459377Y-77175511D01*
+X165464626Y-77173528D01*
+X165464628Y-77173527D01*
+X165609511Y-77118780D01*
+X165669262Y-77096202D01*
+X165861785Y-76980979D01*
+X165866005Y-76977297D01*
+X165866010Y-76977294D01*
+X166026631Y-76837175D01*
+X166030861Y-76833485D01*
+X166056382Y-76801630D01*
+X166167641Y-76662757D01*
+X166167644Y-76662752D01*
+X166171147Y-76658380D01*
+X166278206Y-76461201D01*
+X166348657Y-76248179D01*
+X166380270Y-76026048D01*
+X166382000Y-75960000D01*
+X166380889Y-75947545D01*
+X166369555Y-75820560D01*
+X166362055Y-75736519D01*
+X166318312Y-75576620D01*
+X166304332Y-75525518D01*
+X166304331Y-75525514D01*
+X166302850Y-75520102D01*
+X166206256Y-75317590D01*
+X166202984Y-75313036D01*
+X166078604Y-75139941D01*
+X166078599Y-75139936D01*
+X166075328Y-75135383D01*
+X165914202Y-74979242D01*
+X165727973Y-74854101D01*
+X165585481Y-74791551D01*
+X165527669Y-74766173D01*
+X165527667Y-74766172D01*
+X165522527Y-74763916D01*
+X165362838Y-74725578D01*
+X165309814Y-74712848D01*
+X165309813Y-74712848D01*
+X165304357Y-74711538D01*
+X165219591Y-74706651D01*
+X165085967Y-74698946D01*
+X165085964Y-74698946D01*
+X165080360Y-74698623D01*
+X164857615Y-74725578D01*
+X164643165Y-74791551D01*
+X164638185Y-74794121D01*
+X164638181Y-74794123D01*
+X164485334Y-74873014D01*
+X164443787Y-74894458D01*
+X164265783Y-75031045D01*
+X164262010Y-75035191D01*
+X164262005Y-75035196D01*
+X164166695Y-75139941D01*
+X164114779Y-75196996D01*
+X164042049Y-75312938D01*
+X164013424Y-75358571D01*
+X163995550Y-75387064D01*
+X163911863Y-75595242D01*
+X163866364Y-75814949D01*
+X163860490Y-76039242D01*
+X162953667Y-76039242D01*
+X163002288Y-75936848D01*
+X163005364Y-75929080D01*
+X163094501Y-75651449D01*
+X163096518Y-75643360D01*
+X163145375Y-75371830D01*
+X163143943Y-75358571D01*
+X163129333Y-75354000D01*
+X160672115Y-75353999D01*
+X160656876Y-75358474D01*
+X160655671Y-75359864D01*
+X160654000Y-75367547D01*
+X160653999Y-77339885D01*
+X160146000Y-77339885D01*
+X160146001Y-75372115D01*
+X160141526Y-75356876D01*
+X160140136Y-75355671D01*
+X160132453Y-75354000D01*
+X157673687Y-75353999D01*
+X157659059Y-75358294D01*
+X157656996Y-75370271D01*
+X155598778Y-75370271D01*
+X156140879Y-74828170D01*
+X157654625Y-74828170D01*
+X157656057Y-74841429D01*
+X157670667Y-74846000D01*
+X160127885Y-74846001D01*
+X160143124Y-74841526D01*
+X160144329Y-74840136D01*
+X160146000Y-74832453D01*
+X160146000Y-74827885D01*
+X160653999Y-74827885D01*
+X160658474Y-74843124D01*
+X160659864Y-74844329D01*
+X160667547Y-74846000D01*
+X163126313Y-74846001D01*
+X163140941Y-74841706D01*
+X163143004Y-74829729D01*
+X163136725Y-74757951D01*
+X163135451Y-74749720D01*
+X163116552Y-74665167D01*
+X179642114Y-74665167D01*
+X179644813Y-74674191D01*
+X179644813Y-74674193D01*
+X179660674Y-74727228D01*
+X179681517Y-74796923D01*
+X179712388Y-74843124D01*
+X179746689Y-74894458D01*
+X179757921Y-74911268D01*
+X179765222Y-74917212D01*
+X179765223Y-74917213D01*
+X179796051Y-74942311D01*
+X179836250Y-75000831D01*
+X179842500Y-75040023D01*
+X179842501Y-75959492D01*
+X179822499Y-76027613D01*
+X179794507Y-76058442D01*
+X179762852Y-76083397D01*
+X179684662Y-76196528D01*
+X179681823Y-76205505D01*
+X179681822Y-76205507D01*
+X179662585Y-76266335D01*
+X179643194Y-76327649D01*
+X179642114Y-76465167D01*
+X179644813Y-76474191D01*
+X179644813Y-76474193D01*
+X179657106Y-76515297D01*
+X179681517Y-76596923D01*
+X179686750Y-76604754D01*
+X179749228Y-76698258D01*
+X179757921Y-76711268D01*
+X179765222Y-76717212D01*
+X179765223Y-76717213D01*
+X179824469Y-76765447D01*
+X179864568Y-76798093D01*
+X179992032Y-76849721D01*
+X180001417Y-76850534D01*
+X180001418Y-76850534D01*
+X180119661Y-76860775D01*
+X180119664Y-76860775D01*
+X180129041Y-76861587D01*
+X180138242Y-76859606D01*
+X180138244Y-76859606D01*
+X180254278Y-76834625D01*
+X180254281Y-76834624D01*
+X180263482Y-76832643D01*
+X180271694Y-76828044D01*
+X180271697Y-76828043D01*
+X180375254Y-76770048D01*
+X180383470Y-76765447D01*
+X180478394Y-76665940D01*
+X180524512Y-76573644D01*
+X180535653Y-76551348D01*
+X180535653Y-76551347D01*
+X180539864Y-76542920D01*
+X180562443Y-76407265D01*
+X180562500Y-76400000D01*
+X180542054Y-76264006D01*
+X180482525Y-76140036D01*
+X180390974Y-76040998D01*
+X180357500Y-75955470D01*
+X180357500Y-75043130D01*
+X180392330Y-74956159D01*
+X180471890Y-74872758D01*
+X180478394Y-74865940D01*
+X180517390Y-74787898D01*
+X180535653Y-74751348D01*
+X180535653Y-74751347D01*
+X180539864Y-74742920D01*
+X180542751Y-74725578D01*
+X180561630Y-74612149D01*
+X180562443Y-74607265D01*
+X180562500Y-74600000D01*
+X180542054Y-74464006D01*
+X180493798Y-74363512D01*
+X180486600Y-74348522D01*
+X180486600Y-74348521D01*
+X180482525Y-74340036D01*
+X180400223Y-74251003D01*
+X180395572Y-74245971D01*
+X180395571Y-74245970D01*
+X180389175Y-74239051D01*
+X180381031Y-74234320D01*
+X180381029Y-74234319D01*
+X180278399Y-74174706D01*
+X180278395Y-74174704D01*
+X180270258Y-74169978D01*
+X180225023Y-74159493D01*
+X180145465Y-74141053D01*
+X180145463Y-74141053D01*
+X180136287Y-74138926D01*
+X180126891Y-74139591D01*
+X180126888Y-74139591D01*
+X180008511Y-74147972D01*
+X180008509Y-74147973D01*
+X179999109Y-74148638D01*
+X179870850Y-74198258D01*
+X179762852Y-74283397D01*
+X179684662Y-74396528D01*
+X179681823Y-74405505D01*
+X179681822Y-74405507D01*
+X179662963Y-74465140D01*
+X179643194Y-74527649D01*
+X179642114Y-74665167D01*
+X163116552Y-74665167D01*
+X163071840Y-74465140D01*
+X163069484Y-74457136D01*
+X162968795Y-74183475D01*
+X162965405Y-74175859D01*
+X162829411Y-73917924D01*
+X162825033Y-73910808D01*
+X162656120Y-73673125D01*
+X162650849Y-73666662D01*
+X162451980Y-73453400D01*
+X162445896Y-73447688D01*
+X162394987Y-73405870D01*
+X169188099Y-73405870D01*
+X169188615Y-73412014D01*
+X169204092Y-73596320D01*
+X169204626Y-73602685D01*
+X169211784Y-73627649D01*
+X169253805Y-73774193D01*
+X169259066Y-73792542D01*
+X169261885Y-73798027D01*
+X169346529Y-73962727D01*
+X169346532Y-73962732D01*
+X169349347Y-73968209D01*
+X169472028Y-74122994D01*
+X169476722Y-74126988D01*
+X169476722Y-74126989D01*
+X169567317Y-74204091D01*
+X169622438Y-74251003D01*
+X169627816Y-74254009D01*
+X169627818Y-74254010D01*
+X169644176Y-74263152D01*
+X169794847Y-74347359D01*
+X169982688Y-74408392D01*
+X170178806Y-74431778D01*
+X170184941Y-74431306D01*
+X170184943Y-74431306D01*
+X170369589Y-74417098D01*
+X170369592Y-74417097D01*
+X170375732Y-74416625D01*
+X170565964Y-74363512D01*
+X170742257Y-74274460D01*
+X170777392Y-74247010D01*
+X170893039Y-74156656D01*
+X170897895Y-74152862D01*
+X170906296Y-74143130D01*
+X170942807Y-74100831D01*
+X171026951Y-74003350D01*
+X171030861Y-73996468D01*
+X171121465Y-73836974D01*
+X171121466Y-73836973D01*
+X171124508Y-73831617D01*
+X171130025Y-73815034D01*
+X171184904Y-73650059D01*
+X171186851Y-73644207D01*
+X171211605Y-73448257D01*
+X171211875Y-73428946D01*
+X171211951Y-73423522D01*
+X171211951Y-73423518D01*
+X171212000Y-73420000D01*
+X171192727Y-73223435D01*
+X171135641Y-73034357D01*
+X171107083Y-72980647D01*
+X171045810Y-72865410D01*
+X171045808Y-72865407D01*
+X171042916Y-72859968D01*
+X170918086Y-72706910D01*
+X170765903Y-72581014D01*
+X170592166Y-72487074D01*
+X170403491Y-72428670D01*
+X170397366Y-72428026D01*
+X170397365Y-72428026D01*
+X170213193Y-72408669D01*
+X170213192Y-72408669D01*
+X170207065Y-72408025D01*
+X170086743Y-72418975D01*
+X170016511Y-72425366D01*
+X170016510Y-72425366D01*
+X170010370Y-72425925D01*
+X169994853Y-72430492D01*
+X169826809Y-72479950D01*
+X169826806Y-72479951D01*
+X169820898Y-72481690D01*
+X169645866Y-72573195D01*
+X169641068Y-72577053D01*
+X169641066Y-72577054D01*
+X169615640Y-72597497D01*
+X169491941Y-72696954D01*
+X169487982Y-72701672D01*
+X169487981Y-72701673D01*
+X169370232Y-72842000D01*
+X169364985Y-72848253D01*
+X169362022Y-72853642D01*
+X169362019Y-72853647D01*
+X169336811Y-72899501D01*
+X169269835Y-73021331D01*
+X169210115Y-73209593D01*
+X169209429Y-73215710D01*
+X169209428Y-73215714D01*
+X169193253Y-73359921D01*
+X169188099Y-73405870D01*
+X162394987Y-73405870D01*
+X162220575Y-73262607D01*
+X162213794Y-73257752D01*
+X161965962Y-73104090D01*
+X161958602Y-73100177D01*
+X161692636Y-72980647D01*
+X161684813Y-72977737D01*
+X161405363Y-72894429D01*
+X161397242Y-72892584D01*
+X161108567Y-72846863D01*
+X161101592Y-72846155D01*
+X161011523Y-72842064D01*
+X161008690Y-72842000D01*
+X160672115Y-72842000D01*
+X160656876Y-72846475D01*
+X160655671Y-72847865D01*
+X160654000Y-72855548D01*
+X160653999Y-74827885D01*
+X160146000Y-74827885D01*
+X160146001Y-72860115D01*
+X160141526Y-72844876D01*
+X160140136Y-72843671D01*
+X160132453Y-72842000D01*
+X159826819Y-72842000D01*
+X159822627Y-72842139D01*
+X159604875Y-72856602D01*
+X159596606Y-72857705D01*
+X159310769Y-72915341D01*
+X159302708Y-72917531D01*
+X159027002Y-73012464D01*
+X159019315Y-73015695D01*
+X158758590Y-73146257D01*
+X158751381Y-73150487D01*
+X158510217Y-73314381D01*
+X158503642Y-73319518D01*
+X158286265Y-73513875D01*
+X158280425Y-73519839D01*
+X158090664Y-73741238D01*
+X158085671Y-73747912D01*
+X157926856Y-73992464D01*
+X157922782Y-73999754D01*
+X157797712Y-74263152D01*
+X157794636Y-74270920D01*
+X157705499Y-74548551D01*
+X157703482Y-74556640D01*
+X157654625Y-74828170D01*
+X156140879Y-74828170D01*
+X159866928Y-71102121D01*
+X165841834Y-71102121D01*
+X165842683Y-71107391D01*
+X165842683Y-71107393D01*
+X165874700Y-71306171D01*
+X165878457Y-71329498D01*
+X165880182Y-71334550D01*
+X165880182Y-71334551D01*
+X165890635Y-71365167D01*
+X165952868Y-71547453D01*
+X166062937Y-71749755D01*
+X166066235Y-71753939D01*
+X166066238Y-71753943D01*
+X166202216Y-71926430D01*
+X166202220Y-71926434D01*
+X166205519Y-71930619D01*
+X166209479Y-71934191D01*
+X166209480Y-71934192D01*
+X166241960Y-71963488D01*
+X166376536Y-72084874D01*
+X166489719Y-72156564D01*
+X166534480Y-72184915D01*
+X166571098Y-72208109D01*
+X166576025Y-72210165D01*
+X166576027Y-72210166D01*
+X166772372Y-72292097D01*
+X166783643Y-72296800D01*
+X166788847Y-72297997D01*
+X166788848Y-72297997D01*
+X167002890Y-72347216D01*
+X167002895Y-72347217D01*
+X167008093Y-72348412D01*
+X167013421Y-72348715D01*
+X167013424Y-72348715D01*
+X167168134Y-72357500D01*
+X167238029Y-72361469D01*
+X167243336Y-72360869D01*
+X167243338Y-72360869D01*
+X167364103Y-72347216D01*
+X167466879Y-72335597D01*
+X167471994Y-72334116D01*
+X167471998Y-72334115D01*
+X167573389Y-72304754D01*
+X167688097Y-72271537D01*
+X167895359Y-72171119D01*
+X167968295Y-72118998D01*
+X168078393Y-72040321D01*
+X168078395Y-72040319D01*
+X168082739Y-72037215D01*
+X168222204Y-71896528D01*
+X168241126Y-71877440D01*
+X168241127Y-71877439D01*
+X168244879Y-71873654D01*
+X168269509Y-71838544D01*
+X168374078Y-71689481D01*
+X168374079Y-71689479D01*
+X168377142Y-71685113D01*
+X168475748Y-71476982D01*
+X168495204Y-71407532D01*
+X168536437Y-71260348D01*
+X168536438Y-71260342D01*
+X168537875Y-71255213D01*
+X168546538Y-71172091D01*
+X168561442Y-71029097D01*
+X168561443Y-71029087D01*
+X168561749Y-71026147D01*
+X168562000Y-71000000D01*
+X168559854Y-70974701D01*
+X168553367Y-70898258D01*
+X168542528Y-70770517D01*
+X168524716Y-70701888D01*
+X168486009Y-70552760D01*
+X168484669Y-70547597D01*
+X168390078Y-70337611D01*
+X168261458Y-70146566D01*
+X168257580Y-70142500D01*
+X168106175Y-69983786D01*
+X168102489Y-69979922D01*
+X168095694Y-69974866D01*
+X167949052Y-69865762D01*
+X167917714Y-69842446D01*
+X167712417Y-69738068D01*
+X167671295Y-69725299D01*
+X167497568Y-69671355D01*
+X167492470Y-69669772D01*
+X167487181Y-69669071D01*
+X167269444Y-69640212D01*
+X167269439Y-69640212D01*
+X167264159Y-69639512D01*
+X167258830Y-69639712D01*
+X167258828Y-69639712D01*
+X167149087Y-69643832D01*
+X167034014Y-69648152D01*
+X166808615Y-69695446D01*
+X166803659Y-69697403D01*
+X166803653Y-69697405D01*
+X166678267Y-69746923D01*
+X166594407Y-69780041D01*
+X166495548Y-69840030D01*
+X166435593Y-69876412D01*
+X166397515Y-69899518D01*
+X166393485Y-69903015D01*
+X166247656Y-70029559D01*
+X166223568Y-70050461D01*
+X166215171Y-70060702D01*
+X166080924Y-70224427D01*
+X166080920Y-70224433D01*
+X166077540Y-70228555D01*
+X166074901Y-70233191D01*
+X166074899Y-70233194D01*
+X165980763Y-70398568D01*
+X165963607Y-70428706D01*
+X165885026Y-70645193D01*
+X165884077Y-70650442D01*
+X165884076Y-70650445D01*
+X165849994Y-70838926D01*
+X165844045Y-70871824D01*
+X165843293Y-70950144D01*
+X165841888Y-71096528D01*
+X165841834Y-71102121D01*
+X159866928Y-71102121D01*
+X161624645Y-69344405D01*
+X161713740Y-69307500D01*
+X171391150Y-69307500D01*
+X171480246Y-69344405D01*
+X171656038Y-69520198D01*
+X171692938Y-69610282D01*
+X171692114Y-69715167D01*
+X171694813Y-69724191D01*
+X171694813Y-69724193D01*
+X171720451Y-69809921D01*
+X171731517Y-69846923D01*
+X171754192Y-69880858D01*
+X171799805Y-69949121D01*
+X171807921Y-69961268D01*
+X171815222Y-69967212D01*
+X171815223Y-69967213D01*
+X171886370Y-70025136D01*
+X171914568Y-70048093D01*
+X171923299Y-70051629D01*
+X171923300Y-70051630D01*
+X171963921Y-70068083D01*
+X172042032Y-70099721D01*
+X172051417Y-70100534D01*
+X172051418Y-70100534D01*
+X172169661Y-70110775D01*
+X172169664Y-70110775D01*
+X172179041Y-70111587D01*
+X172188242Y-70109606D01*
+X172188244Y-70109606D01*
+X172304278Y-70084625D01*
+X172304281Y-70084624D01*
+X172313482Y-70082643D01*
+X172321694Y-70078044D01*
+X172321697Y-70078043D01*
+X172425254Y-70020048D01*
+X172433470Y-70015447D01*
+X172528394Y-69915940D01*
+X172563527Y-69845629D01*
+X172585653Y-69801348D01*
+X172585653Y-69801347D01*
+X172589864Y-69792920D01*
+X172612443Y-69657265D01*
+X172612500Y-69650000D01*
+X172596466Y-69543350D01*
+X172593454Y-69523316D01*
+X172593453Y-69523314D01*
+X172592054Y-69514006D01*
+X172532525Y-69390036D01*
+X172439175Y-69289051D01*
+X172431031Y-69284321D01*
+X172427925Y-69281832D01*
+X172387268Y-69223629D01*
+X172384533Y-69152685D01*
+X172420587Y-69091525D01*
+X172506707Y-69057499D01*
+X173544173Y-69057499D01*
+X173612294Y-69077501D01*
+X173658787Y-69131157D01*
+X173668891Y-69201431D01*
+X173647825Y-69255138D01*
+X173590018Y-69338777D01*
+X173590015Y-69338782D01*
+X173584662Y-69346528D01*
+X173581823Y-69355505D01*
+X173581822Y-69355507D01*
+X173559510Y-69426058D01*
+X173543194Y-69477649D01*
+X173542114Y-69615167D01*
+X173544813Y-69624191D01*
+X173544813Y-69624193D01*
+X173552306Y-69649247D01*
+X173581517Y-69746923D01*
+X173621267Y-69806411D01*
+X173642501Y-69876412D01*
+X173642500Y-70600174D01*
+X173642500Y-71320522D01*
+X173640262Y-71341553D01*
+X173640094Y-71345109D01*
+X173637903Y-71355287D01*
+X173639127Y-71365626D01*
+X173641627Y-71386750D01*
+X173641938Y-71392033D01*
+X173642072Y-71392022D01*
+X173642500Y-71397200D01*
+X173642500Y-71402401D01*
+X173643354Y-71407532D01*
+X173645401Y-71419830D01*
+X173646238Y-71425707D01*
+X173651894Y-71473495D01*
+X173655627Y-71481270D01*
+X173657044Y-71489781D01*
+X173679911Y-71532161D01*
+X173682592Y-71537424D01*
+X173699436Y-71572500D01*
+X173703422Y-71580801D01*
+X173706389Y-71584332D01*
+X173708326Y-71586269D01*
+X173710154Y-71588262D01*
+X173710037Y-71588369D01*
+X173710559Y-71588961D01*
+X173713569Y-71594540D01*
+X173721215Y-71601608D01*
+X173751811Y-71629891D01*
+X173755377Y-71633320D01*
+X175595193Y-73473137D01*
+X175608474Y-73489581D01*
+X175610872Y-73492217D01*
+X175616523Y-73500968D01*
+X175624701Y-73507415D01*
+X175624702Y-73507416D01*
+X175641407Y-73520585D01*
+X175645365Y-73524103D01*
+X175645452Y-73524000D01*
+X175649411Y-73527355D01*
+X175653089Y-73531033D01*
+X175657313Y-73534052D01*
+X175657322Y-73534059D01*
+X175667474Y-73541313D01*
+X175672225Y-73544880D01*
+X175710003Y-73574662D01*
+X175718143Y-73577520D01*
+X175725160Y-73582535D01*
+X175735141Y-73585520D01*
+X175771253Y-73596320D01*
+X175776901Y-73598155D01*
+X175813795Y-73611111D01*
+X175822315Y-73614103D01*
+X175826910Y-73614501D01*
+X175829624Y-73614501D01*
+X175832351Y-73614619D01*
+X175832344Y-73614776D01*
+X175833131Y-73614826D01*
+X175839205Y-73616642D01*
+X175891232Y-73614598D01*
+X175896178Y-73614501D01*
+X176463522Y-73614501D01*
+X176484545Y-73616739D01*
+X176488109Y-73616907D01*
+X176498286Y-73619098D01*
+X176529749Y-73615374D01*
+X176535032Y-73615063D01*
+X176535021Y-73614929D01*
+X176540199Y-73614501D01*
+X176545401Y-73614501D01*
+X176562848Y-73611597D01*
+X176568692Y-73610765D01*
+X176586908Y-73608609D01*
+X176606155Y-73606331D01*
+X176606156Y-73606331D01*
+X176616494Y-73605107D01*
+X176624268Y-73601374D01*
+X176632781Y-73599957D01*
+X176665071Y-73582535D01*
+X176675151Y-73577096D01*
+X176680440Y-73574401D01*
+X176693324Y-73568214D01*
+X176723800Y-73553579D01*
+X176727331Y-73550612D01*
+X176729268Y-73548675D01*
+X176731261Y-73546847D01*
+X176731369Y-73546964D01*
+X176731960Y-73546443D01*
+X176737540Y-73543432D01*
+X176749002Y-73531033D01*
+X176772896Y-73505184D01*
+X176776326Y-73501617D01*
+X176948032Y-73329912D01*
+X177037127Y-73293008D01*
+X183585074Y-73293008D01*
+X183653195Y-73313010D01*
+X183699688Y-73366666D01*
+X183709792Y-73436940D01*
+X183692999Y-73479751D01*
+X183694161Y-73480320D01*
+X183690015Y-73488783D01*
+X183684662Y-73496528D01*
+X183681823Y-73505505D01*
+X183681822Y-73505507D01*
+X183659899Y-73574827D01*
+X183643194Y-73627649D01*
+X183642114Y-73765167D01*
+X183644813Y-73774191D01*
+X183644813Y-73774193D01*
+X183665367Y-73842920D01*
+X183681517Y-73896923D01*
+X183701945Y-73927495D01*
+X183748032Y-73996468D01*
+X183757921Y-74011268D01*
+X183765222Y-74017212D01*
+X183765223Y-74017213D01*
+X183796051Y-74042311D01*
+X183836250Y-74100831D01*
+X183842500Y-74140023D01*
+X183842501Y-75641148D01*
+X183805597Y-75730243D01*
+X182932263Y-76603578D01*
+X182869950Y-76637603D01*
+X182839502Y-76639671D01*
+X182836287Y-76638926D01*
+X182826892Y-76639591D01*
+X182826891Y-76639591D01*
+X182708511Y-76647972D01*
+X182708509Y-76647973D01*
+X182699109Y-76648638D01*
+X182629916Y-76675407D01*
+X182559168Y-76681330D01*
+X182496447Y-76648064D01*
+X182461669Y-76586169D01*
+X182465874Y-76515297D01*
+X182495357Y-76468803D01*
+X182955531Y-76008629D01*
+X182974618Y-75992965D01*
+X182975295Y-75992512D01*
+X182985616Y-75985616D01*
+X182992510Y-75975298D01*
+X182992512Y-75975296D01*
+X183018707Y-75936090D01*
+X183018710Y-75936088D01*
+X183018709Y-75936087D01*
+X183035624Y-75910772D01*
+X183042518Y-75900455D01*
+X183062500Y-75800000D01*
+X183059921Y-75787035D01*
+X183057500Y-75762453D01*
+X183057500Y-75043130D01*
+X183092330Y-74956159D01*
+X183171890Y-74872758D01*
+X183178394Y-74865940D01*
+X183217390Y-74787898D01*
+X183235653Y-74751348D01*
+X183235653Y-74751347D01*
+X183239864Y-74742920D01*
+X183242751Y-74725578D01*
+X183261630Y-74612149D01*
+X183262443Y-74607265D01*
+X183262500Y-74600000D01*
+X183242054Y-74464006D01*
+X183193798Y-74363512D01*
+X183186600Y-74348522D01*
+X183186600Y-74348521D01*
+X183182525Y-74340036D01*
+X183100223Y-74251003D01*
+X183095572Y-74245971D01*
+X183095571Y-74245970D01*
+X183089175Y-74239051D01*
+X183081031Y-74234320D01*
+X183081029Y-74234319D01*
+X182978399Y-74174706D01*
+X182978395Y-74174704D01*
+X182970258Y-74169978D01*
+X182925023Y-74159493D01*
+X182845465Y-74141053D01*
+X182845463Y-74141053D01*
+X182836287Y-74138926D01*
+X182826891Y-74139591D01*
+X182826888Y-74139591D01*
+X182708511Y-74147972D01*
+X182708509Y-74147973D01*
+X182699109Y-74148638D01*
+X182570850Y-74198258D01*
+X182462852Y-74283397D01*
+X182384662Y-74396528D01*
+X182381823Y-74405505D01*
+X182381822Y-74405507D01*
+X182362963Y-74465140D01*
+X182343194Y-74527649D01*
+X182342114Y-74665167D01*
+X182344813Y-74674191D01*
+X182344813Y-74674193D01*
+X182360674Y-74727228D01*
+X182381517Y-74796923D01*
+X182412388Y-74843124D01*
+X182446689Y-74894458D01*
+X182457921Y-74911268D01*
+X182465222Y-74917212D01*
+X182465223Y-74917213D01*
+X182496051Y-74942311D01*
+X182536250Y-75000831D01*
+X182542500Y-75040023D01*
+X182542501Y-75641149D01*
+X182505596Y-75730244D01*
+X181130246Y-77105595D01*
+X181041151Y-77142500D01*
+X179039916Y-77142500D01*
+X178947392Y-77102029D01*
+X178895572Y-77045971D01*
+X178895571Y-77045970D01*
+X178889175Y-77039051D01*
+X178881031Y-77034320D01*
+X178881029Y-77034319D01*
+X178778399Y-76974706D01*
+X178778395Y-76974704D01*
+X178770258Y-76969978D01*
+X178678189Y-76948638D01*
+X178645465Y-76941053D01*
+X178645463Y-76941053D01*
+X178636287Y-76938926D01*
+X178626891Y-76939591D01*
+X178626888Y-76939591D01*
+X178508511Y-76947972D01*
+X178508509Y-76947973D01*
+X178499109Y-76948638D01*
+X178370850Y-76998258D01*
+X178262852Y-77083397D01*
+X178184662Y-77196528D01*
+X178181823Y-77205505D01*
+X178181822Y-77205507D01*
+X178156790Y-77284659D01*
+X178143194Y-77327649D01*
+X178142114Y-77465167D01*
+X178144813Y-77474191D01*
+X178144813Y-77474193D01*
+X178160918Y-77528043D01*
+X178181517Y-77596923D01*
+X178219540Y-77653828D01*
+X178248073Y-77696529D01*
+X178257921Y-77711268D01*
+X178364568Y-77798093D01*
+X178492032Y-77849721D01*
+X178501417Y-77850534D01*
+X178501418Y-77850534D01*
+X178619661Y-77860775D01*
+X178619664Y-77860775D01*
+X178629041Y-77861587D01*
+X178638242Y-77859606D01*
+X178638244Y-77859606D01*
+X178754278Y-77834625D01*
+X178754281Y-77834624D01*
+X178763482Y-77832643D01*
+X178771694Y-77828044D01*
+X178771697Y-77828043D01*
+X178875254Y-77770048D01*
+X178883470Y-77765447D01*
+X178915447Y-77731927D01*
+X178949214Y-77696529D01*
+X179040384Y-77657500D01*
+X181162452Y-77657500D01*
+X181187034Y-77659921D01*
+X181187828Y-77660079D01*
+X181187830Y-77660079D01*
+X181200000Y-77662500D01*
+X181212170Y-77660079D01*
+X181212965Y-77659921D01*
+X181225135Y-77657500D01*
+X181225136Y-77657500D01*
+X181300454Y-77642518D01*
+X181385616Y-77585616D01*
+X181392508Y-77575301D01*
+X181392510Y-77575299D01*
+X181392967Y-77574615D01*
+X181408637Y-77555522D01*
+X182166510Y-76797649D01*
+X182228822Y-76763623D01*
+X182299637Y-76768688D01*
+X182356473Y-76811235D01*
+X182381284Y-76877755D01*
+X182375740Y-76924737D01*
+X182346035Y-77018663D01*
+X182346034Y-77018669D01*
+X182343194Y-77027649D01*
+X182342114Y-77165167D01*
+X182344813Y-77174191D01*
+X182344813Y-77174193D01*
+X182357778Y-77217545D01*
+X182381517Y-77296923D01*
+X182402048Y-77327649D01*
+X182426689Y-77364526D01*
+X182457921Y-77411268D01*
+X182564568Y-77498093D01*
+X182692032Y-77549721D01*
+X182701417Y-77550534D01*
+X182701418Y-77550534D01*
+X182819661Y-77560775D01*
+X182819664Y-77560775D01*
+X182829041Y-77561587D01*
+X182838242Y-77559606D01*
+X182838244Y-77559606D01*
+X182954278Y-77534625D01*
+X182954281Y-77534624D01*
+X182963482Y-77532643D01*
+X182971694Y-77528044D01*
+X182971697Y-77528043D01*
+X183075254Y-77470048D01*
+X183083470Y-77465447D01*
+X183178394Y-77365940D01*
+X183239864Y-77242920D01*
+X183262443Y-77107265D01*
+X183262500Y-77100000D01*
+X183259100Y-77077386D01*
+X183268750Y-77007049D01*
+X183294602Y-76969557D01*
+X184255531Y-76008629D01*
+X184274618Y-75992965D01*
+X184275295Y-75992512D01*
+X184285616Y-75985616D01*
+X184292510Y-75975298D01*
+X184292512Y-75975296D01*
+X184318707Y-75936090D01*
+X184318710Y-75936088D01*
+X184318709Y-75936087D01*
+X184335624Y-75910772D01*
+X184342518Y-75900455D01*
+X184362500Y-75800000D01*
+X184359921Y-75787035D01*
+X184357500Y-75762453D01*
+X184357500Y-74143130D01*
+X184392330Y-74056159D01*
+X184394953Y-74053410D01*
+X184457601Y-73987737D01*
+X184519094Y-73952253D01*
+X184590010Y-73955648D01*
+X184644749Y-73997922D01*
+X184646347Y-73996468D01*
+X184652688Y-74003437D01*
+X184657921Y-74011268D01*
+X184696053Y-74042312D01*
+X184736251Y-74100831D01*
+X184742501Y-74140023D01*
+X184742500Y-81541150D01*
+X184705595Y-81630245D01*
+X184332262Y-82003578D01*
+X184269950Y-82037604D01*
+X184239503Y-82039671D01*
+X184236287Y-82038926D01*
+X184226892Y-82039591D01*
+X184226891Y-82039591D01*
+X184108511Y-82047972D01*
+X184108509Y-82047973D01*
+X184099109Y-82048638D01*
+X183970850Y-82098258D01*
+X183862852Y-82183397D01*
+X183784662Y-82296528D01*
+X183781823Y-82305505D01*
+X183781822Y-82305507D01*
+X183753587Y-82394788D01*
+X183743194Y-82427649D01*
+X183742114Y-82565167D01*
+X183744813Y-82574191D01*
+X183744813Y-82574193D01*
+X183760789Y-82627614D01*
+X183781517Y-82696923D01*
+X183802048Y-82727649D01*
+X183850392Y-82800000D01*
+X183857921Y-82811268D01*
+X183865222Y-82817212D01*
+X183865223Y-82817213D01*
+X183872702Y-82823302D01*
+X183964568Y-82898093D01*
+X183973299Y-82901629D01*
+X183973300Y-82901630D01*
+X184028300Y-82923907D01*
+X184092032Y-82949721D01*
+X184101417Y-82950534D01*
+X184101418Y-82950534D01*
+X184219661Y-82960775D01*
+X184219664Y-82960775D01*
+X184229041Y-82961587D01*
+X184238242Y-82959606D01*
+X184238244Y-82959606D01*
+X184354278Y-82934625D01*
+X184354281Y-82934624D01*
+X184363482Y-82932643D01*
+X184371694Y-82928044D01*
+X184371697Y-82928043D01*
+X184475254Y-82870048D01*
+X184483470Y-82865447D01*
+X184578394Y-82765940D01*
+X184639864Y-82642920D01*
+X184662443Y-82507265D01*
+X184662500Y-82500000D01*
+X184660004Y-82483397D01*
+X184659100Y-82477381D01*
+X184668753Y-82407044D01*
+X184694605Y-82369554D01*
+X185155531Y-81908629D01*
+X185174626Y-81892959D01*
+X185175296Y-81892512D01*
+X185175298Y-81892510D01*
+X185185616Y-81885616D01*
+X185242518Y-81800454D01*
+X185257500Y-81725136D01*
+X185257500Y-81725135D01*
+X185262500Y-81700000D01*
+X185259921Y-81687034D01*
+X185257500Y-81662452D01*
+X185257500Y-74143130D01*
+X185292330Y-74056159D01*
+X185294953Y-74053410D01*
+X185378394Y-73965940D01*
+X185439864Y-73842920D01*
+X185444506Y-73815034D01*
+X185454797Y-73753200D01*
+X185462443Y-73707265D01*
+X185462500Y-73700000D01*
+X185458809Y-73675447D01*
+X186252394Y-73675447D01*
+X186255093Y-73684471D01*
+X186255093Y-73684473D01*
+X186276972Y-73757630D01*
+X186291797Y-73807203D01*
+X186368201Y-73921548D01*
+X186406333Y-73952592D01*
+X186446531Y-74011111D01*
+X186452781Y-74050303D01*
+X186452780Y-76792145D01*
+X186452780Y-79522732D01*
+X186450359Y-79547314D01*
+X186447780Y-79560280D01*
+X186450201Y-79572450D01*
+X186450201Y-79572454D01*
+X186452779Y-79585413D01*
+X186452780Y-79585415D01*
+X186467762Y-79660733D01*
+X186474658Y-79671054D01*
+X186517768Y-79735576D01*
+X186517770Y-79735578D01*
+X186524664Y-79745896D01*
+X186534985Y-79752792D01*
+X186534986Y-79752793D01*
+X186535666Y-79753248D01*
+X186554754Y-79768915D01*
+X187456037Y-80670198D01*
+X187492938Y-80760282D01*
+X187492114Y-80865167D01*
+X187494813Y-80874191D01*
+X187494813Y-80874193D01*
+X187512587Y-80933626D01*
+X187531517Y-80996923D01*
+X187536750Y-81004754D01*
+X187602009Y-81102420D01*
+X187607921Y-81111268D01*
+X187615222Y-81117212D01*
+X187615223Y-81117213D01*
+X187648277Y-81144123D01*
+X187714568Y-81198093D01*
+X187723299Y-81201629D01*
+X187723300Y-81201630D01*
+X187778300Y-81223907D01*
+X187842032Y-81249721D01*
+X187851417Y-81250534D01*
+X187851418Y-81250534D01*
+X187969661Y-81260775D01*
+X187969664Y-81260775D01*
+X187979041Y-81261587D01*
+X187988242Y-81259606D01*
+X187988244Y-81259606D01*
+X188104278Y-81234625D01*
+X188104281Y-81234624D01*
+X188113482Y-81232643D01*
+X188121694Y-81228044D01*
+X188121697Y-81228043D01*
+X188225254Y-81170048D01*
+X188233470Y-81165447D01*
+X188277546Y-81119242D01*
+X194020490Y-81119242D01*
+X194033130Y-81201845D01*
+X194052463Y-81328179D01*
+X194054429Y-81341029D01*
+X194069551Y-81385196D01*
+X194102515Y-81481476D01*
+X194127106Y-81553302D01*
+X194236224Y-81749349D01*
+X194239776Y-81753689D01*
+X194239779Y-81753693D01*
+X194268091Y-81788283D01*
+X194378335Y-81922975D01*
+X194382603Y-81926620D01*
+X194544682Y-82065049D01*
+X194544686Y-82065052D01*
+X194548947Y-82068691D01*
+X194742667Y-82181892D01*
+X194747933Y-82183819D01*
+X194747937Y-82183821D01*
+X194948106Y-82257072D01*
+X194948109Y-82257073D01*
+X194953370Y-82258998D01*
+X194958886Y-82259961D01*
+X194958891Y-82259962D01*
+X195124023Y-82288782D01*
+X195174399Y-82297574D01*
+X195180005Y-82297545D01*
+X195180009Y-82297545D01*
+X195283025Y-82297005D01*
+X195398765Y-82296399D01*
+X195619377Y-82255511D01*
+X195624626Y-82253528D01*
+X195624628Y-82253527D01*
+X195789719Y-82191144D01*
+X195829262Y-82176202D01*
+X196021785Y-82060979D01*
+X196026005Y-82057297D01*
+X196026010Y-82057294D01*
+X196186631Y-81917175D01*
+X196190861Y-81913485D01*
+X196221278Y-81875518D01*
+X196327641Y-81742757D01*
+X196327644Y-81742752D01*
+X196331147Y-81738380D01*
+X196438206Y-81541201D01*
+X196508657Y-81328179D01*
+X196510822Y-81312971D01*
+X196528017Y-81192145D01*
+X196538392Y-81119242D01*
+X214020490Y-81119242D01*
+X214033130Y-81201845D01*
+X214052463Y-81328179D01*
+X214054429Y-81341029D01*
+X214069551Y-81385196D01*
+X214102515Y-81481476D01*
+X214127106Y-81553302D01*
+X214236224Y-81749349D01*
+X214239776Y-81753689D01*
+X214239779Y-81753693D01*
+X214268091Y-81788283D01*
+X214378335Y-81922975D01*
+X214382603Y-81926620D01*
+X214544682Y-82065049D01*
+X214544686Y-82065052D01*
+X214548947Y-82068691D01*
+X214742667Y-82181892D01*
+X214747933Y-82183819D01*
+X214747937Y-82183821D01*
+X214948106Y-82257072D01*
+X214948109Y-82257073D01*
+X214953370Y-82258998D01*
+X214958886Y-82259961D01*
+X214958891Y-82259962D01*
+X215124023Y-82288782D01*
+X215174399Y-82297574D01*
+X215180005Y-82297545D01*
+X215180009Y-82297545D01*
+X215283025Y-82297005D01*
+X215398765Y-82296399D01*
+X215619377Y-82255511D01*
+X215624626Y-82253528D01*
+X215624628Y-82253527D01*
+X215789719Y-82191144D01*
+X215829262Y-82176202D01*
+X216021785Y-82060979D01*
+X216026005Y-82057297D01*
+X216026010Y-82057294D01*
+X216186631Y-81917175D01*
+X216190861Y-81913485D01*
+X216221278Y-81875518D01*
+X216327641Y-81742757D01*
+X216327644Y-81742752D01*
+X216331147Y-81738380D01*
+X216438206Y-81541201D01*
+X216508657Y-81328179D01*
+X216510822Y-81312971D01*
+X216528017Y-81192145D01*
+X216540270Y-81106048D01*
+X216541541Y-81057512D01*
+X216541905Y-81043631D01*
+X216541905Y-81043629D01*
+X216542000Y-81040000D01*
+X216522055Y-80816519D01*
+X216480332Y-80664006D01*
+X216464332Y-80605518D01*
+X216464331Y-80605514D01*
+X216462850Y-80600102D01*
+X216366256Y-80397590D01*
+X216362984Y-80393036D01*
+X216238604Y-80219941D01*
+X216238599Y-80219936D01*
+X216235328Y-80215383D01*
+X216074202Y-80059242D01*
+X215887973Y-79934101D01*
+X215745481Y-79871551D01*
+X215687669Y-79846173D01*
+X215687667Y-79846172D01*
+X215682527Y-79843916D01*
+X215522838Y-79805578D01*
+X215469814Y-79792848D01*
+X215469813Y-79792848D01*
+X215464357Y-79791538D01*
+X215379591Y-79786651D01*
+X215245967Y-79778946D01*
+X215245964Y-79778946D01*
+X215240360Y-79778623D01*
+X215017615Y-79805578D01*
+X214803165Y-79871551D01*
+X214798185Y-79874121D01*
+X214798181Y-79874123D01*
+X214621245Y-79965447D01*
+X214603787Y-79974458D01*
+X214425783Y-80111045D01*
+X214422010Y-80115191D01*
+X214422005Y-80115196D01*
+X214326695Y-80219941D01*
+X214274779Y-80276996D01*
+X214206642Y-80385616D01*
+X214168782Y-80445971D01*
+X214155550Y-80467064D01*
+X214071863Y-80675242D01*
+X214026364Y-80894949D01*
+X214020490Y-81119242D01*
+X196538392Y-81119242D01*
+X196540270Y-81106048D01*
+X196541541Y-81057512D01*
+X196541905Y-81043631D01*
+X196541905Y-81043629D01*
+X196542000Y-81040000D01*
+X196522055Y-80816519D01*
+X196480332Y-80664006D01*
+X196464332Y-80605518D01*
+X196464331Y-80605514D01*
+X196462850Y-80600102D01*
+X196366256Y-80397590D01*
+X196362984Y-80393036D01*
+X196238604Y-80219941D01*
+X196238599Y-80219936D01*
+X196235328Y-80215383D01*
+X196074202Y-80059242D01*
+X195887973Y-79934101D01*
+X195745481Y-79871551D01*
+X195687669Y-79846173D01*
+X195687667Y-79846172D01*
+X195682527Y-79843916D01*
+X195522838Y-79805578D01*
+X195469814Y-79792848D01*
+X195469813Y-79792848D01*
+X195464357Y-79791538D01*
+X195379591Y-79786651D01*
+X195245967Y-79778946D01*
+X195245964Y-79778946D01*
+X195240360Y-79778623D01*
+X195017615Y-79805578D01*
+X194803165Y-79871551D01*
+X194798185Y-79874121D01*
+X194798181Y-79874123D01*
+X194621245Y-79965447D01*
+X194603787Y-79974458D01*
+X194425783Y-80111045D01*
+X194422010Y-80115191D01*
+X194422005Y-80115196D01*
+X194326695Y-80219941D01*
+X194274779Y-80276996D01*
+X194206642Y-80385616D01*
+X194168782Y-80445971D01*
+X194155550Y-80467064D01*
+X194071863Y-80675242D01*
+X194026364Y-80894949D01*
+X194020490Y-81119242D01*
+X188277546Y-81119242D01*
+X188328394Y-81065940D01*
+X188389864Y-80942920D01*
+X188397849Y-80894949D01*
+X188411630Y-80812149D01*
+X188412443Y-80807265D01*
+X188412500Y-80800000D01*
+X188396414Y-80693005D01*
+X188393454Y-80673316D01*
+X188393453Y-80673314D01*
+X188392054Y-80664006D01*
+X188341455Y-80558632D01*
+X188336600Y-80548522D01*
+X188336600Y-80548521D01*
+X188332525Y-80540036D01*
+X188239175Y-80439051D01*
+X188231031Y-80434320D01*
+X188231029Y-80434319D01*
+X188128399Y-80374706D01*
+X188128395Y-80374704D01*
+X188120258Y-80369978D01*
+X188039881Y-80351348D01*
+X187995465Y-80341053D01*
+X187995463Y-80341053D01*
+X187986287Y-80338926D01*
+X187976891Y-80339591D01*
+X187976888Y-80339591D01*
+X187919237Y-80343673D01*
+X187821244Y-80307084D01*
+X187004685Y-79490526D01*
+X186967780Y-79401430D01*
+X186967780Y-78477360D01*
+X188038119Y-78477360D01*
+X188055048Y-78770970D01*
+X188055873Y-78775175D01*
+X188055874Y-78775183D01*
+X188067401Y-78833934D01*
+X188111668Y-79059567D01*
+X188113055Y-79063617D01*
+X188113056Y-79063622D01*
+X188190955Y-79291144D01*
+X188206932Y-79337809D01*
+X188339076Y-79600548D01*
+X188341502Y-79604077D01*
+X188341505Y-79604083D01*
+X188503224Y-79839385D01*
+X188505655Y-79842922D01*
+X188508542Y-79846095D01*
+X188508543Y-79846096D01*
+X188686027Y-80041149D01*
+X188703586Y-80060446D01*
+X188783960Y-80127649D01*
+X188925916Y-80246343D01*
+X188925921Y-80246347D01*
+X188929208Y-80249095D01*
+X189009634Y-80299546D01*
+X189174705Y-80403095D01*
+X189174709Y-80403097D01*
+X189178345Y-80405378D01*
+X189268248Y-80445971D01*
+X189442475Y-80524638D01*
+X189442479Y-80524640D01*
+X189446387Y-80526404D01*
+X189492408Y-80540036D01*
+X189724261Y-80608714D01*
+X189724265Y-80608715D01*
+X189728374Y-80609932D01*
+X189732608Y-80610580D01*
+X189732613Y-80610581D01*
+X189991302Y-80650166D01*
+X190019089Y-80654418D01*
+X190168859Y-80656771D01*
+X190308859Y-80658970D01*
+X190308865Y-80658970D01*
+X190313150Y-80659037D01*
+X190605118Y-80623705D01*
+X190889590Y-80549075D01*
+X191161301Y-80436529D01*
+X191415224Y-80288148D01*
+X191646660Y-80106679D01*
+X191851327Y-79895480D01*
+X191853860Y-79892032D01*
+X191853864Y-79892027D01*
+X192022899Y-79661913D01*
+X192025437Y-79658458D01*
+X192050581Y-79612149D01*
+X192163718Y-79403775D01*
+X192163719Y-79403773D01*
+X192165768Y-79399999D01*
+X192250900Y-79174706D01*
+X192268207Y-79128905D01*
+X192268208Y-79128901D01*
+X192269725Y-79124887D01*
+X192335382Y-78838211D01*
+X192361526Y-78545278D01*
+X192362000Y-78500000D01*
+X192361831Y-78497519D01*
+X192360457Y-78477360D01*
+X208038119Y-78477360D01*
+X208055048Y-78770970D01*
+X208055873Y-78775175D01*
+X208055874Y-78775183D01*
+X208067401Y-78833934D01*
+X208111668Y-79059567D01*
+X208113055Y-79063617D01*
+X208113056Y-79063622D01*
+X208190955Y-79291144D01*
+X208206932Y-79337809D01*
+X208339076Y-79600548D01*
+X208341502Y-79604077D01*
+X208341505Y-79604083D01*
+X208503224Y-79839385D01*
+X208505655Y-79842922D01*
+X208508542Y-79846095D01*
+X208508543Y-79846096D01*
+X208686027Y-80041149D01*
+X208703586Y-80060446D01*
+X208783960Y-80127649D01*
+X208925916Y-80246343D01*
+X208925921Y-80246347D01*
+X208929208Y-80249095D01*
+X209009634Y-80299546D01*
+X209174705Y-80403095D01*
+X209174709Y-80403097D01*
+X209178345Y-80405378D01*
+X209268248Y-80445971D01*
+X209442475Y-80524638D01*
+X209442479Y-80524640D01*
+X209446387Y-80526404D01*
+X209492408Y-80540036D01*
+X209724261Y-80608714D01*
+X209724265Y-80608715D01*
+X209728374Y-80609932D01*
+X209732608Y-80610580D01*
+X209732613Y-80610581D01*
+X209991302Y-80650166D01*
+X210019089Y-80654418D01*
+X210168859Y-80656771D01*
+X210308859Y-80658970D01*
+X210308865Y-80658970D01*
+X210313150Y-80659037D01*
+X210605118Y-80623705D01*
+X210889590Y-80549075D01*
+X211161301Y-80436529D01*
+X211415224Y-80288148D01*
+X211646660Y-80106679D01*
+X211851327Y-79895480D01*
+X211853860Y-79892032D01*
+X211853864Y-79892027D01*
+X212022899Y-79661913D01*
+X212025437Y-79658458D01*
+X212050581Y-79612149D01*
+X212163718Y-79403775D01*
+X212163719Y-79403773D01*
+X212165768Y-79399999D01*
+X212250900Y-79174706D01*
+X212268207Y-79128905D01*
+X212268208Y-79128901D01*
+X212269725Y-79124887D01*
+X212335382Y-78838211D01*
+X212361526Y-78545278D01*
+X212362000Y-78500000D01*
+X212361831Y-78497519D01*
+X212342289Y-78210860D01*
+X212342288Y-78210854D01*
+X212341997Y-78206583D01*
+X212282357Y-77918595D01*
+X212184186Y-77641366D01*
+X212049298Y-77380026D01*
+X212039399Y-77365940D01*
+X211946407Y-77233626D01*
+X211880190Y-77139409D01*
+X211776336Y-77027649D01*
+X211682912Y-76927113D01*
+X211682909Y-76927111D01*
+X211679991Y-76923970D01*
+X211452406Y-76737694D01*
+X211201646Y-76584028D01*
+X211197729Y-76582309D01*
+X211197726Y-76582307D01*
+X210951434Y-76474193D01*
+X210932351Y-76465816D01*
+X210928223Y-76464640D01*
+X210928220Y-76464639D01*
+X210840654Y-76439695D01*
+X210649505Y-76385245D01*
+X210645263Y-76384641D01*
+X210645257Y-76384640D01*
+X210362592Y-76344411D01*
+X210358341Y-76343806D01*
+X210203328Y-76342994D01*
+X210068533Y-76342288D01*
+X210068526Y-76342288D01*
+X210064247Y-76342266D01*
+X210060002Y-76342825D01*
+X210060000Y-76342825D01*
+X209994726Y-76351419D01*
+X209772665Y-76380654D01*
+X209488990Y-76458258D01*
+X209485042Y-76459942D01*
+X209222425Y-76571958D01*
+X209222421Y-76571960D01*
+X209218473Y-76573644D01*
+X209094279Y-76647972D01*
+X208969799Y-76722471D01*
+X208969795Y-76722474D01*
+X208966117Y-76724675D01*
+X208962774Y-76727353D01*
+X208962770Y-76727356D01*
+X208870062Y-76801630D01*
+X208736594Y-76908558D01*
+X208733650Y-76911660D01*
+X208733646Y-76911664D01*
+X208543393Y-77112149D01*
+X208534150Y-77121889D01*
+X208531651Y-77125367D01*
+X208531650Y-77125368D01*
+X208496566Y-77174193D01*
+X208362532Y-77360722D01*
+X208224915Y-77620635D01*
+X208223440Y-77624666D01*
+X208142376Y-77846184D01*
+X208123845Y-77896821D01*
+X208061193Y-78184168D01*
+X208038119Y-78477360D01*
+X192360457Y-78477360D01*
+X192342289Y-78210860D01*
+X192342288Y-78210854D01*
+X192341997Y-78206583D01*
+X192282357Y-77918595D01*
+X192184186Y-77641366D01*
+X192049298Y-77380026D01*
+X192039399Y-77365940D01*
+X191946407Y-77233626D01*
+X191880190Y-77139409D01*
+X191776336Y-77027649D01*
+X191682912Y-76927113D01*
+X191682909Y-76927111D01*
+X191679991Y-76923970D01*
+X191452406Y-76737694D01*
+X191201646Y-76584028D01*
+X191197729Y-76582309D01*
+X191197726Y-76582307D01*
+X190951434Y-76474193D01*
+X190932351Y-76465816D01*
+X190928223Y-76464640D01*
+X190928220Y-76464639D01*
+X190840654Y-76439695D01*
+X190649505Y-76385245D01*
+X190645263Y-76384641D01*
+X190645257Y-76384640D01*
+X190362592Y-76344411D01*
+X190358341Y-76343806D01*
+X190203328Y-76342994D01*
+X190068533Y-76342288D01*
+X190068526Y-76342288D01*
+X190064247Y-76342266D01*
+X190060002Y-76342825D01*
+X190060000Y-76342825D01*
+X189994726Y-76351419D01*
+X189772665Y-76380654D01*
+X189488990Y-76458258D01*
+X189485042Y-76459942D01*
+X189222425Y-76571958D01*
+X189222421Y-76571960D01*
+X189218473Y-76573644D01*
+X189094279Y-76647972D01*
+X188969799Y-76722471D01*
+X188969795Y-76722474D01*
+X188966117Y-76724675D01*
+X188962774Y-76727353D01*
+X188962770Y-76727356D01*
+X188870062Y-76801630D01*
+X188736594Y-76908558D01*
+X188733650Y-76911660D01*
+X188733646Y-76911664D01*
+X188543393Y-77112149D01*
+X188534150Y-77121889D01*
+X188531651Y-77125367D01*
+X188531650Y-77125368D01*
+X188496566Y-77174193D01*
+X188362532Y-77360722D01*
+X188224915Y-77620635D01*
+X188223440Y-77624666D01*
+X188142376Y-77846184D01*
+X188123845Y-77896821D01*
+X188061193Y-78184168D01*
+X188038119Y-78477360D01*
+X186967780Y-78477360D01*
+X186967780Y-74769242D01*
+X191480490Y-74769242D01*
+X191493130Y-74851845D01*
+X191512761Y-74980127D01*
+X191514429Y-74991029D01*
+X191536849Y-75056513D01*
+X191562515Y-75131476D01*
+X191587106Y-75203302D01*
+X191696224Y-75399349D01*
+X191699776Y-75403689D01*
+X191699779Y-75403693D01*
+X191731173Y-75442049D01*
+X191838335Y-75572975D01*
+X191842603Y-75576620D01*
+X192004682Y-75715049D01*
+X192004686Y-75715052D01*
+X192008947Y-75718691D01*
+X192202667Y-75831892D01*
+X192207933Y-75833819D01*
+X192207937Y-75833821D01*
+X192408106Y-75907072D01*
+X192408109Y-75907073D01*
+X192413370Y-75908998D01*
+X192418886Y-75909961D01*
+X192418891Y-75909962D01*
+X192583210Y-75938640D01*
+X192634399Y-75947574D01*
+X192640005Y-75947545D01*
+X192640009Y-75947545D01*
+X192743025Y-75947005D01*
+X192858765Y-75946399D01*
+X192896955Y-75939321D01*
+X193050991Y-75910772D01*
+X193079377Y-75905511D01*
+X193084626Y-75903528D01*
+X193084628Y-75903527D01*
+X193161769Y-75874378D01*
+X193289262Y-75826202D01*
+X193481785Y-75710979D01*
+X193486005Y-75707297D01*
+X193486010Y-75707294D01*
+X193646631Y-75567175D01*
+X193650861Y-75563485D01*
+X193681278Y-75525518D01*
+X193787641Y-75392757D01*
+X193787644Y-75392752D01*
+X193791147Y-75388380D01*
+X193800979Y-75370271D01*
+X197256996Y-75370271D01*
+X197263275Y-75442049D01*
+X197264549Y-75450280D01*
+X197328160Y-75734860D01*
+X197330516Y-75742864D01*
+X197431205Y-76016525D01*
+X197434595Y-76024141D01*
+X197570589Y-76282076D01*
+X197574967Y-76289192D01*
+X197743880Y-76526875D01*
+X197749151Y-76533338D01*
+X197948020Y-76746600D01*
+X197954104Y-76752312D01*
+X198179425Y-76937393D01*
+X198186206Y-76942248D01*
+X198434038Y-77095910D01*
+X198441398Y-77099823D01*
+X198707364Y-77219353D01*
+X198715187Y-77222263D01*
+X198994637Y-77305571D01*
+X199002758Y-77307416D01*
+X199291433Y-77353137D01*
+X199298408Y-77353845D01*
+X199388477Y-77357936D01*
+X199391310Y-77358000D01*
+X199727885Y-77358000D01*
+X199743124Y-77353525D01*
+X199744329Y-77352135D01*
+X199746000Y-77344452D01*
+X199746000Y-77339885D01*
+X200253999Y-77339885D01*
+X200258474Y-77355124D01*
+X200259864Y-77356329D01*
+X200267547Y-77358000D01*
+X200573181Y-77358000D01*
+X200577373Y-77357861D01*
+X200795125Y-77343398D01*
+X200803394Y-77342295D01*
+X201089231Y-77284659D01*
+X201097292Y-77282469D01*
+X201372998Y-77187536D01*
+X201380685Y-77184305D01*
+X201641410Y-77053743D01*
+X201648619Y-77049513D01*
+X201889783Y-76885619D01*
+X201896358Y-76880482D01*
+X202113735Y-76686125D01*
+X202119575Y-76680161D01*
+X202309336Y-76458762D01*
+X202314329Y-76452088D01*
+X202473144Y-76207536D01*
+X202477218Y-76200246D01*
+X202602288Y-75936848D01*
+X202605364Y-75929080D01*
+X202694501Y-75651449D01*
+X202696518Y-75643360D01*
+X202745375Y-75371830D01*
+X202743943Y-75358571D01*
+X202729333Y-75354000D01*
+X200272115Y-75353999D01*
+X200256876Y-75358474D01*
+X200255671Y-75359864D01*
+X200254000Y-75367547D01*
+X200253999Y-77339885D01*
+X199746000Y-77339885D01*
+X199746001Y-75372115D01*
+X199741526Y-75356876D01*
+X199740136Y-75355671D01*
+X199732453Y-75354000D01*
+X197273687Y-75353999D01*
+X197259059Y-75358294D01*
+X197256996Y-75370271D01*
+X193800979Y-75370271D01*
+X193898206Y-75191201D01*
+X193968657Y-74978179D01*
+X193977334Y-74917213D01*
+X193990005Y-74828170D01*
+X197254625Y-74828170D01*
+X197256057Y-74841429D01*
+X197270667Y-74846000D01*
+X199727885Y-74846001D01*
+X199743124Y-74841526D01*
+X199744329Y-74840136D01*
+X199746000Y-74832453D01*
+X199746000Y-74827885D01*
+X200253999Y-74827885D01*
+X200258474Y-74843124D01*
+X200259864Y-74844329D01*
+X200267547Y-74846000D01*
+X202726313Y-74846001D01*
+X202740941Y-74841706D01*
+X202743004Y-74829729D01*
+X202737713Y-74769242D01*
+X211480490Y-74769242D01*
+X211493130Y-74851845D01*
+X211512761Y-74980127D01*
+X211514429Y-74991029D01*
+X211536849Y-75056513D01*
+X211562515Y-75131476D01*
+X211587106Y-75203302D01*
+X211696224Y-75399349D01*
+X211699776Y-75403689D01*
+X211699779Y-75403693D01*
+X211731173Y-75442049D01*
+X211838335Y-75572975D01*
+X211842603Y-75576620D01*
+X212004682Y-75715049D01*
+X212004686Y-75715052D01*
+X212008947Y-75718691D01*
+X212202667Y-75831892D01*
+X212207933Y-75833819D01*
+X212207937Y-75833821D01*
+X212408106Y-75907072D01*
+X212408109Y-75907073D01*
+X212413370Y-75908998D01*
+X212418886Y-75909961D01*
+X212418891Y-75909962D01*
+X212583210Y-75938640D01*
+X212634399Y-75947574D01*
+X212640005Y-75947545D01*
+X212640009Y-75947545D01*
+X212743025Y-75947005D01*
+X212858765Y-75946399D01*
+X212896955Y-75939321D01*
+X213050991Y-75910772D01*
+X213079377Y-75905511D01*
+X213084626Y-75903528D01*
+X213084628Y-75903527D01*
+X213161769Y-75874378D01*
+X213289262Y-75826202D01*
+X213481785Y-75710979D01*
+X213486005Y-75707297D01*
+X213486010Y-75707294D01*
+X213646631Y-75567175D01*
+X213650861Y-75563485D01*
+X213681278Y-75525518D01*
+X213787641Y-75392757D01*
+X213787644Y-75392752D01*
+X213791147Y-75388380D01*
+X213898206Y-75191201D01*
+X213968657Y-74978179D01*
+X213977334Y-74917213D01*
+X213990778Y-74822744D01*
+X214000270Y-74756048D01*
+X214001444Y-74711215D01*
+X214001905Y-74693631D01*
+X214001905Y-74693629D01*
+X214002000Y-74690000D01*
+X213982055Y-74466519D01*
+X213934078Y-74291144D01*
+X213924332Y-74255518D01*
+X213924331Y-74255514D01*
+X213922850Y-74250102D01*
+X213826256Y-74047590D01*
+X213804428Y-74017213D01*
+X213698604Y-73869941D01*
+X213698599Y-73869936D01*
+X213695328Y-73865383D01*
+X213534202Y-73709242D01*
+X213347973Y-73584101D01*
+X213203280Y-73520585D01*
+X213147669Y-73496173D01*
+X213147667Y-73496172D01*
+X213142527Y-73493916D01*
+X213033442Y-73467727D01*
+X212929814Y-73442848D01*
+X212929813Y-73442848D01*
+X212924357Y-73441538D01*
+X212839591Y-73436651D01*
+X212705967Y-73428946D01*
+X212705964Y-73428946D01*
+X212700360Y-73428623D01*
+X212477615Y-73455578D01*
+X212263165Y-73521551D01*
+X212258185Y-73524121D01*
+X212258181Y-73524123D01*
+X212076543Y-73617874D01*
+X212063787Y-73624458D01*
+X211885783Y-73761045D01*
+X211882010Y-73765191D01*
+X211882005Y-73765196D01*
+X211774778Y-73883038D01*
+X211734779Y-73926996D01*
+X211615550Y-74117064D01*
+X211531863Y-74325242D01*
+X211486364Y-74544949D01*
+X211480490Y-74769242D01*
+X202737713Y-74769242D01*
+X202736725Y-74757951D01*
+X202735451Y-74749720D01*
+X202671840Y-74465140D01*
+X202669484Y-74457136D01*
+X202568795Y-74183475D01*
+X202565405Y-74175859D01*
+X202429411Y-73917924D01*
+X202425033Y-73910808D01*
+X202256120Y-73673125D01*
+X202250849Y-73666662D01*
+X202051980Y-73453400D01*
+X202045896Y-73447688D01*
+X201994987Y-73405870D01*
+X209188099Y-73405870D01*
+X209188615Y-73412014D01*
+X209204092Y-73596320D01*
+X209204626Y-73602685D01*
+X209211784Y-73627649D01*
+X209253805Y-73774193D01*
+X209259066Y-73792542D01*
+X209261885Y-73798027D01*
+X209346529Y-73962727D01*
+X209346532Y-73962732D01*
+X209349347Y-73968209D01*
+X209472028Y-74122994D01*
+X209476722Y-74126988D01*
+X209476722Y-74126989D01*
+X209567317Y-74204091D01*
+X209622438Y-74251003D01*
+X209627816Y-74254009D01*
+X209627818Y-74254010D01*
+X209644176Y-74263152D01*
+X209794847Y-74347359D01*
+X209982688Y-74408392D01*
+X210178806Y-74431778D01*
+X210184941Y-74431306D01*
+X210184943Y-74431306D01*
+X210369589Y-74417098D01*
+X210369592Y-74417097D01*
+X210375732Y-74416625D01*
+X210565964Y-74363512D01*
+X210742257Y-74274460D01*
+X210777392Y-74247010D01*
+X210893039Y-74156656D01*
+X210897895Y-74152862D01*
+X210906296Y-74143130D01*
+X210942807Y-74100831D01*
+X211026951Y-74003350D01*
+X211030861Y-73996468D01*
+X211121465Y-73836974D01*
+X211121466Y-73836973D01*
+X211124508Y-73831617D01*
+X211130025Y-73815034D01*
+X211184904Y-73650059D01*
+X211186851Y-73644207D01*
+X211211605Y-73448257D01*
+X211211875Y-73428946D01*
+X211211951Y-73423522D01*
+X211211951Y-73423518D01*
+X211212000Y-73420000D01*
+X211192727Y-73223435D01*
+X211135641Y-73034357D01*
+X211107083Y-72980647D01*
+X211045810Y-72865410D01*
+X211045808Y-72865407D01*
+X211042916Y-72859968D01*
+X210918086Y-72706910D01*
+X210765903Y-72581014D01*
+X210592166Y-72487074D01*
+X210403491Y-72428670D01*
+X210397366Y-72428026D01*
+X210397365Y-72428026D01*
+X210213193Y-72408669D01*
+X210213192Y-72408669D01*
+X210207065Y-72408025D01*
+X210086743Y-72418975D01*
+X210016511Y-72425366D01*
+X210016510Y-72425366D01*
+X210010370Y-72425925D01*
+X209994853Y-72430492D01*
+X209826809Y-72479950D01*
+X209826806Y-72479951D01*
+X209820898Y-72481690D01*
+X209645866Y-72573195D01*
+X209641068Y-72577053D01*
+X209641066Y-72577054D01*
+X209615640Y-72597497D01*
+X209491941Y-72696954D01*
+X209487982Y-72701672D01*
+X209487981Y-72701673D01*
+X209370232Y-72842000D01*
+X209364985Y-72848253D01*
+X209362022Y-72853642D01*
+X209362019Y-72853647D01*
+X209336811Y-72899501D01*
+X209269835Y-73021331D01*
+X209210115Y-73209593D01*
+X209209429Y-73215710D01*
+X209209428Y-73215714D01*
+X209193253Y-73359921D01*
+X209188099Y-73405870D01*
+X201994987Y-73405870D01*
+X201820575Y-73262607D01*
+X201813794Y-73257752D01*
+X201565962Y-73104090D01*
+X201558602Y-73100177D01*
+X201292636Y-72980647D01*
+X201284813Y-72977737D01*
+X201005363Y-72894429D01*
+X200997242Y-72892584D01*
+X200708567Y-72846863D01*
+X200701592Y-72846155D01*
+X200611523Y-72842064D01*
+X200608690Y-72842000D01*
+X200272115Y-72842000D01*
+X200256876Y-72846475D01*
+X200255671Y-72847865D01*
+X200254000Y-72855548D01*
+X200253999Y-74827885D01*
+X199746000Y-74827885D01*
+X199746001Y-72860115D01*
+X199741526Y-72844876D01*
+X199740136Y-72843671D01*
+X199732453Y-72842000D01*
+X199426819Y-72842000D01*
+X199422627Y-72842139D01*
+X199204875Y-72856602D01*
+X199196606Y-72857705D01*
+X198910769Y-72915341D01*
+X198902708Y-72917531D01*
+X198627002Y-73012464D01*
+X198619315Y-73015695D01*
+X198358590Y-73146257D01*
+X198351381Y-73150487D01*
+X198110217Y-73314381D01*
+X198103642Y-73319518D01*
+X197886265Y-73513875D01*
+X197880425Y-73519839D01*
+X197690664Y-73741238D01*
+X197685671Y-73747912D01*
+X197526856Y-73992464D01*
+X197522782Y-73999754D01*
+X197397712Y-74263152D01*
+X197394636Y-74270920D01*
+X197305499Y-74548551D01*
+X197303482Y-74556640D01*
+X197254625Y-74828170D01*
+X193990005Y-74828170D01*
+X193990778Y-74822744D01*
+X194000270Y-74756048D01*
+X194001444Y-74711215D01*
+X194001905Y-74693631D01*
+X194001905Y-74693629D01*
+X194002000Y-74690000D01*
+X193982055Y-74466519D01*
+X193934078Y-74291144D01*
+X193924332Y-74255518D01*
+X193924331Y-74255514D01*
+X193922850Y-74250102D01*
+X193826256Y-74047590D01*
+X193804428Y-74017213D01*
+X193698604Y-73869941D01*
+X193698599Y-73869936D01*
+X193695328Y-73865383D01*
+X193534202Y-73709242D01*
+X193347973Y-73584101D01*
+X193203280Y-73520585D01*
+X193147669Y-73496173D01*
+X193147667Y-73496172D01*
+X193142527Y-73493916D01*
+X193033442Y-73467727D01*
+X192929814Y-73442848D01*
+X192929813Y-73442848D01*
+X192924357Y-73441538D01*
+X192839591Y-73436651D01*
+X192705967Y-73428946D01*
+X192705964Y-73428946D01*
+X192700360Y-73428623D01*
+X192477615Y-73455578D01*
+X192263165Y-73521551D01*
+X192258185Y-73524121D01*
+X192258181Y-73524123D01*
+X192076543Y-73617874D01*
+X192063787Y-73624458D01*
+X191885783Y-73761045D01*
+X191882010Y-73765191D01*
+X191882005Y-73765196D01*
+X191774778Y-73883038D01*
+X191734779Y-73926996D01*
+X191615550Y-74117064D01*
+X191531863Y-74325242D01*
+X191486364Y-74544949D01*
+X191480490Y-74769242D01*
+X186967780Y-74769242D01*
+X186967780Y-74053410D01*
+X187002610Y-73966439D01*
+X187039761Y-73927495D01*
+X187088674Y-73876220D01*
+X187132688Y-73788135D01*
+X187145933Y-73761628D01*
+X187145933Y-73761627D01*
+X187150144Y-73753200D01*
+X187172723Y-73617545D01*
+X187172780Y-73610280D01*
+X187157028Y-73505507D01*
+X187153734Y-73483596D01*
+X187153733Y-73483594D01*
+X187152334Y-73474286D01*
+X187092805Y-73350316D01*
+X186999455Y-73249331D01*
+X186991311Y-73244600D01*
+X186991309Y-73244599D01*
+X186888679Y-73184986D01*
+X186888675Y-73184984D01*
+X186880538Y-73180258D01*
+X186788469Y-73158918D01*
+X186755745Y-73151333D01*
+X186755743Y-73151333D01*
+X186746567Y-73149206D01*
+X186737171Y-73149871D01*
+X186737168Y-73149871D01*
+X186618791Y-73158252D01*
+X186618789Y-73158253D01*
+X186609389Y-73158918D01*
+X186481130Y-73208538D01*
+X186373132Y-73293677D01*
+X186294942Y-73406808D01*
+X186292103Y-73415785D01*
+X186292102Y-73415787D01*
+X186271873Y-73479751D01*
+X186253474Y-73537929D01*
+X186252394Y-73675447D01*
+X185458809Y-73675447D01*
+X185447869Y-73602685D01*
+X185443454Y-73573316D01*
+X185443453Y-73573314D01*
+X185442054Y-73564006D01*
+X185392933Y-73461711D01*
+X185381477Y-73391644D01*
+X185409720Y-73326507D01*
+X185471359Y-73286174D01*
+X185475958Y-73284838D01*
+X185486296Y-73283614D01*
+X185494070Y-73279881D01*
+X185502583Y-73278464D01*
+X185544953Y-73255603D01*
+X185550242Y-73252908D01*
+X185586461Y-73235515D01*
+X185593602Y-73232086D01*
+X185597133Y-73229119D01*
+X185599070Y-73227182D01*
+X185601063Y-73225354D01*
+X185601171Y-73225471D01*
+X185601762Y-73224950D01*
+X185607342Y-73221939D01*
+X185624184Y-73203720D01*
+X185642699Y-73183690D01*
+X185646129Y-73180123D01*
+X186501849Y-72324404D01*
+X186590944Y-72287500D01*
+X189679368Y-72287500D01*
+X189747489Y-72307502D01*
+X189793982Y-72361158D01*
+X189804086Y-72431432D01*
+X189774592Y-72496012D01*
+X189737744Y-72525161D01*
+X189651330Y-72570338D01*
+X189651326Y-72570340D01*
+X189645866Y-72573195D01*
+X189641068Y-72577053D01*
+X189641066Y-72577054D01*
+X189615640Y-72597497D01*
+X189491941Y-72696954D01*
+X189487982Y-72701672D01*
+X189487981Y-72701673D01*
+X189370232Y-72842000D01*
+X189364985Y-72848253D01*
+X189362022Y-72853642D01*
+X189362019Y-72853647D01*
+X189336811Y-72899501D01*
+X189269835Y-73021331D01*
+X189210115Y-73209593D01*
+X189209429Y-73215710D01*
+X189209428Y-73215714D01*
+X189193253Y-73359921D01*
+X189188099Y-73405870D01*
+X189188615Y-73412014D01*
+X189204092Y-73596320D01*
+X189204626Y-73602685D01*
+X189211784Y-73627649D01*
+X189253805Y-73774193D01*
+X189259066Y-73792542D01*
+X189261885Y-73798027D01*
+X189346529Y-73962727D01*
+X189346532Y-73962732D01*
+X189349347Y-73968209D01*
+X189472028Y-74122994D01*
+X189476722Y-74126988D01*
+X189476722Y-74126989D01*
+X189567317Y-74204091D01*
+X189622438Y-74251003D01*
+X189627816Y-74254009D01*
+X189627818Y-74254010D01*
+X189644176Y-74263152D01*
+X189794847Y-74347359D01*
+X189982688Y-74408392D01*
+X190178806Y-74431778D01*
+X190184941Y-74431306D01*
+X190184943Y-74431306D01*
+X190369589Y-74417098D01*
+X190369592Y-74417097D01*
+X190375732Y-74416625D01*
+X190565964Y-74363512D01*
+X190742257Y-74274460D01*
+X190777392Y-74247010D01*
+X190893039Y-74156656D01*
+X190897895Y-74152862D01*
+X190906296Y-74143130D01*
+X190942807Y-74100831D01*
+X191026951Y-74003350D01*
+X191030861Y-73996468D01*
+X191121465Y-73836974D01*
+X191121466Y-73836973D01*
+X191124508Y-73831617D01*
+X191130025Y-73815034D01*
+X191184904Y-73650059D01*
+X191186851Y-73644207D01*
+X191211605Y-73448257D01*
+X191211875Y-73428946D01*
+X191211951Y-73423522D01*
+X191211951Y-73423518D01*
+X191212000Y-73420000D01*
+X191192727Y-73223435D01*
+X191135641Y-73034357D01*
+X191107083Y-72980647D01*
+X191045810Y-72865410D01*
+X191045808Y-72865407D01*
+X191042916Y-72859968D01*
+X190918086Y-72706910D01*
+X190765903Y-72581014D01*
+X190637500Y-72511586D01*
+X190587092Y-72461592D01*
+X190571715Y-72392281D01*
+X190596251Y-72325659D01*
+X190662271Y-72279756D01*
+X190663738Y-72279330D01*
+X190674077Y-72278106D01*
+X190681851Y-72274373D01*
+X190690364Y-72272956D01*
+X190732734Y-72250095D01*
+X190738023Y-72247400D01*
+X190774242Y-72230007D01*
+X190781383Y-72226578D01*
+X190784914Y-72223611D01*
+X190786851Y-72221674D01*
+X190788844Y-72219846D01*
+X190788952Y-72219963D01*
+X190789543Y-72219442D01*
+X190795123Y-72216431D01*
+X190830493Y-72178168D01*
+X190833922Y-72174603D01*
+X191514120Y-71494405D01*
+X191603215Y-71457500D01*
+X191832034Y-71457500D01*
+X191900155Y-71477502D01*
+X191947626Y-71538125D01*
+X191949001Y-71537521D01*
+X191951144Y-71542404D01*
+X191952868Y-71547453D01*
+X192062937Y-71749755D01*
+X192066235Y-71753939D01*
+X192066238Y-71753943D01*
+X192202216Y-71926430D01*
+X192202220Y-71926434D01*
+X192205519Y-71930619D01*
+X192209479Y-71934191D01*
+X192209480Y-71934192D01*
+X192241960Y-71963488D01*
+X192376536Y-72084874D01*
+X192489719Y-72156564D01*
+X192534480Y-72184915D01*
+X192571098Y-72208109D01*
+X192576025Y-72210165D01*
+X192576027Y-72210166D01*
+X192772372Y-72292097D01*
+X192783643Y-72296800D01*
+X192788847Y-72297997D01*
+X192788848Y-72297997D01*
+X193002890Y-72347216D01*
+X193002895Y-72347217D01*
+X193008093Y-72348412D01*
+X193013421Y-72348715D01*
+X193013424Y-72348715D01*
+X193168134Y-72357500D01*
+X193238029Y-72361469D01*
+X193243336Y-72360869D01*
+X193243338Y-72360869D01*
+X193364103Y-72347216D01*
+X193466879Y-72335597D01*
+X193471994Y-72334116D01*
+X193471998Y-72334115D01*
+X193573389Y-72304754D01*
+X193688097Y-72271537D01*
+X193895359Y-72171119D01*
+X193968295Y-72118998D01*
+X194078393Y-72040321D01*
+X194078395Y-72040319D01*
+X194082739Y-72037215D01*
+X194222204Y-71896528D01*
+X194241126Y-71877440D01*
+X194241127Y-71877439D01*
+X194244879Y-71873654D01*
+X194269509Y-71838544D01*
+X194374078Y-71689481D01*
+X194374079Y-71689479D01*
+X194377142Y-71685113D01*
+X194475748Y-71476982D01*
+X194495204Y-71407532D01*
+X194536437Y-71260348D01*
+X194536438Y-71260342D01*
+X194537875Y-71255213D01*
+X194546538Y-71172091D01*
+X194561442Y-71029097D01*
+X194561443Y-71029087D01*
+X194561749Y-71026147D01*
+X194562000Y-71000000D01*
+X194559854Y-70974701D01*
+X194553367Y-70898258D01*
+X194542528Y-70770517D01*
+X194524716Y-70701888D01*
+X194486009Y-70552760D01*
+X194484669Y-70547597D01*
+X194390078Y-70337611D01*
+X194261458Y-70146566D01*
+X194257580Y-70142500D01*
+X194106175Y-69983786D01*
+X194102489Y-69979922D01*
+X194095694Y-69974866D01*
+X193949052Y-69865762D01*
+X193917714Y-69842446D01*
+X193727658Y-69745817D01*
+X193676001Y-69697114D01*
+X193658874Y-69628214D01*
+X193681716Y-69560992D01*
+X193737275Y-69516791D01*
+X193784763Y-69507500D01*
+X199291150Y-69507500D01*
+X199380246Y-69544405D01*
+X199463297Y-69627457D01*
+X199541368Y-69705528D01*
+X199557037Y-69724621D01*
+X199557490Y-69725299D01*
+X199557492Y-69725301D01*
+X199564384Y-69735616D01*
+X199574699Y-69742508D01*
+X199635011Y-69782806D01*
+X199649546Y-69792518D01*
+X199661717Y-69794939D01*
+X199724863Y-69807500D01*
+X199737828Y-69810079D01*
+X199737829Y-69810079D01*
+X199749999Y-69812500D01*
+X199762169Y-69810079D01*
+X199762171Y-69810079D01*
+X199762965Y-69809921D01*
+X199787547Y-69807500D01*
+X201112452Y-69807500D01*
+X201137034Y-69809921D01*
+X201137828Y-69810079D01*
+X201137830Y-69810079D01*
+X201150000Y-69812500D01*
+X201162170Y-69810079D01*
+X201162965Y-69809921D01*
+X201175135Y-69807500D01*
+X201175136Y-69807500D01*
+X201250454Y-69792518D01*
+X201264990Y-69782806D01*
+X201325301Y-69742508D01*
+X201335616Y-69735616D01*
+X201342968Y-69724614D01*
+X201358634Y-69705526D01*
+X202021154Y-69043006D01*
+X202083466Y-69008980D01*
+X202121120Y-69006571D01*
+X202169660Y-69010775D01*
+X202169663Y-69010775D01*
+X202179041Y-69011587D01*
+X202188242Y-69009606D01*
+X202188244Y-69009606D01*
+X202304278Y-68984625D01*
+X202304281Y-68984624D01*
+X202313482Y-68982643D01*
+X202321694Y-68978044D01*
+X202321697Y-68978043D01*
+X202425254Y-68920048D01*
+X202433470Y-68915447D01*
+X202528394Y-68815940D01*
+X202563093Y-68746497D01*
+X202611434Y-68694501D01*
+X202680213Y-68676894D01*
+X202765647Y-68714475D01*
+X203556344Y-69518619D01*
+X203592501Y-69606960D01*
+X203592500Y-70264327D01*
+X203592500Y-70912452D01*
+X203590079Y-70937034D01*
+X203587500Y-70950000D01*
+X203589921Y-70962170D01*
+X203589921Y-70962174D01*
+X203592499Y-70975133D01*
+X203592500Y-70975135D01*
+X203607482Y-71050453D01*
+X203614378Y-71060774D01*
+X203657488Y-71125296D01*
+X203657490Y-71125298D01*
+X203664384Y-71135616D01*
+X203674705Y-71142512D01*
+X203675385Y-71142967D01*
+X203694478Y-71158637D01*
+X205791365Y-73255525D01*
+X205807035Y-73274618D01*
+X205807490Y-73275299D01*
+X205807492Y-73275301D01*
+X205814384Y-73285616D01*
+X205899546Y-73342518D01*
+X205911717Y-73344939D01*
+X205974863Y-73357500D01*
+X205987828Y-73360079D01*
+X205987829Y-73360079D01*
+X205999999Y-73362500D01*
+X206012169Y-73360079D01*
+X206012171Y-73360079D01*
+X206012965Y-73359921D01*
+X206037547Y-73357500D01*
+X208312452Y-73357500D01*
+X208337034Y-73359921D01*
+X208337828Y-73360079D01*
+X208337830Y-73360079D01*
+X208350000Y-73362500D01*
+X208362170Y-73360079D01*
+X208362965Y-73359921D01*
+X208375135Y-73357500D01*
+X208375136Y-73357500D01*
+X208450454Y-73342518D01*
+X208535616Y-73285616D01*
+X208542508Y-73275301D01*
+X208542510Y-73275299D01*
+X208542967Y-73274615D01*
+X208558637Y-73255522D01*
+X211164159Y-70650000D01*
+X213188000Y-70650000D01*
+X213188000Y-72350000D01*
+X213189207Y-72356067D01*
+X213196411Y-72392281D01*
+X213200332Y-72411995D01*
+X213207224Y-72422309D01*
+X213207225Y-72422312D01*
+X213225822Y-72450143D01*
+X213235449Y-72464551D01*
+X213245765Y-72471444D01*
+X213277688Y-72492775D01*
+X213277691Y-72492776D01*
+X213288005Y-72499668D01*
+X213300172Y-72502088D01*
+X213300174Y-72502089D01*
+X213330432Y-72508108D01*
+X213350000Y-72512000D01*
+X215050000Y-72512000D01*
+X215069568Y-72508108D01*
+X215099826Y-72502089D01*
+X215099828Y-72502088D01*
+X215111995Y-72499668D01*
+X215122309Y-72492776D01*
+X215122312Y-72492775D01*
+X215154235Y-72471444D01*
+X215164551Y-72464551D01*
+X215174178Y-72450143D01*
+X215192775Y-72422312D01*
+X215192776Y-72422309D01*
+X215199668Y-72411995D01*
+X215203590Y-72392281D01*
+X215210793Y-72356067D01*
+X215212000Y-72350000D01*
+X215212000Y-70650000D01*
+X215199668Y-70588005D01*
+X215192776Y-70577691D01*
+X215192775Y-70577688D01*
+X215171444Y-70545765D01*
+X215164551Y-70535449D01*
+X215145169Y-70522498D01*
+X215122312Y-70507225D01*
+X215122309Y-70507224D01*
+X215111995Y-70500332D01*
+X215099828Y-70497912D01*
+X215099826Y-70497911D01*
+X215069568Y-70491892D01*
+X215050000Y-70488000D01*
+X213350000Y-70488000D01*
+X213330432Y-70491892D01*
+X213300174Y-70497911D01*
+X213300172Y-70497912D01*
+X213288005Y-70500332D01*
+X213277691Y-70507224D01*
+X213277688Y-70507225D01*
+X213254831Y-70522498D01*
+X213235449Y-70535449D01*
+X213228556Y-70545765D01*
+X213207225Y-70577688D01*
+X213207224Y-70577691D01*
+X213200332Y-70588005D01*
+X213188000Y-70650000D01*
+X211164159Y-70650000D01*
+X211605525Y-70208635D01*
+X211624618Y-70192965D01*
+X211625299Y-70192510D01*
+X211625301Y-70192508D01*
+X211635616Y-70185616D01*
+X211692518Y-70100454D01*
+X211701062Y-70057500D01*
+X211707500Y-70025137D01*
+X211710079Y-70012172D01*
+X211710079Y-70012171D01*
+X211712500Y-70000001D01*
+X211709921Y-69987035D01*
+X211707500Y-69962453D01*
+X211707500Y-69328849D01*
+X211744405Y-69239754D01*
+X212038289Y-68945870D01*
+X213188099Y-68945870D01*
+X213189286Y-68960000D01*
+X213203970Y-69134867D01*
+X213204626Y-69142685D01*
+X213214778Y-69178088D01*
+X213257337Y-69326511D01*
+X213259066Y-69332542D01*
+X213261885Y-69338027D01*
+X213346529Y-69502727D01*
+X213346532Y-69502732D01*
+X213349347Y-69508209D01*
+X213472028Y-69662994D01*
+X213476722Y-69666988D01*
+X213476722Y-69666989D01*
+X213616118Y-69785624D01*
+X213622438Y-69791003D01*
+X213627816Y-69794009D01*
+X213627818Y-69794010D01*
+X213669380Y-69817238D01*
+X213794847Y-69887359D01*
+X213982688Y-69948392D01*
+X214178806Y-69971778D01*
+X214184941Y-69971306D01*
+X214184943Y-69971306D01*
+X214369589Y-69957098D01*
+X214369592Y-69957097D01*
+X214375732Y-69956625D01*
+X214565964Y-69903512D01*
+X214742257Y-69814460D01*
+X214747152Y-69810636D01*
+X214893039Y-69696656D01*
+X214897895Y-69692862D01*
+X214916460Y-69671355D01*
+X215022924Y-69548015D01*
+X215026951Y-69543350D01*
+X215042039Y-69516791D01*
+X215121465Y-69376974D01*
+X215121466Y-69376973D01*
+X215124508Y-69371617D01*
+X215131483Y-69350651D01*
+X215167376Y-69242751D01*
+X215186851Y-69184207D01*
+X215211605Y-68988257D01*
+X215212000Y-68960000D01*
+X215192727Y-68763435D01*
+X215135641Y-68574357D01*
+X215128715Y-68561331D01*
+X215045810Y-68405410D01*
+X215045808Y-68405407D01*
+X215042916Y-68399968D01*
+X214918086Y-68246910D01*
+X214765903Y-68121014D01*
+X214592166Y-68027074D01*
+X214403491Y-67968670D01*
+X214397366Y-67968026D01*
+X214397365Y-67968026D01*
+X214213193Y-67948669D01*
+X214213192Y-67948669D01*
+X214207065Y-67948025D01*
+X214086743Y-67958975D01*
+X214016511Y-67965366D01*
+X214016510Y-67965366D01*
+X214010370Y-67965925D01*
+X213994853Y-67970492D01*
+X213826809Y-68019950D01*
+X213826806Y-68019951D01*
+X213820898Y-68021690D01*
+X213645866Y-68113195D01*
+X213641068Y-68117053D01*
+X213641066Y-68117054D01*
+X213592573Y-68156044D01*
+X213491941Y-68236954D01*
+X213487982Y-68241672D01*
+X213487981Y-68241673D01*
+X213482234Y-68248522D01*
+X213364985Y-68388253D01*
+X213362022Y-68393642D01*
+X213362019Y-68393647D01*
+X213310661Y-68487068D01*
+X213269835Y-68561331D01*
+X213210115Y-68749593D01*
+X213209429Y-68755710D01*
+X213209428Y-68755714D01*
+X213195375Y-68881002D01*
+X213188099Y-68945870D01*
+X212038289Y-68945870D01*
+X213626080Y-67358080D01*
+X213688392Y-67324054D01*
+X213776644Y-67337186D01*
+X213789468Y-67344353D01*
+X213794847Y-67347359D01*
+X213982688Y-67408392D01*
+X214178806Y-67431778D01*
+X214184941Y-67431306D01*
+X214184943Y-67431306D01*
+X214369589Y-67417098D01*
+X214369592Y-67417097D01*
+X214375732Y-67416625D01*
+X214565964Y-67363512D01*
+X214742257Y-67274460D01*
+X214778009Y-67246528D01*
+X214893039Y-67156656D01*
+X214897895Y-67152862D01*
+X214917444Y-67130215D01*
+X215009717Y-67023316D01*
+X215026951Y-67003350D01*
+X215033164Y-66992414D01*
+X215121465Y-66836974D01*
+X215121466Y-66836973D01*
+X215124508Y-66831617D01*
+X215128143Y-66820692D01*
+X215184904Y-66650059D01*
+X215186851Y-66644207D01*
+X215211605Y-66448257D01*
+X215212000Y-66420000D01*
+X215192727Y-66223435D01*
+X215135641Y-66034357D01*
+X215115805Y-65997051D01*
+X215045810Y-65865410D01*
+X215045808Y-65865407D01*
+X215042916Y-65859968D01*
+X214918086Y-65706910D01*
+X214765903Y-65581014D01*
+X214592166Y-65487074D01*
+X214482060Y-65452991D01*
+X214422901Y-65413740D01*
+X214394353Y-65348736D01*
+X214405481Y-65278617D01*
+X214484272Y-65211598D01*
+X214683333Y-65153954D01*
+X214693259Y-65150143D01*
+X214891065Y-65054307D01*
+X214900212Y-65048876D01*
+X215079041Y-64921083D01*
+X215087149Y-64914182D01*
+X215241893Y-64758082D01*
+X215248706Y-64749933D01*
+X215374940Y-64569988D01*
+X215380295Y-64560787D01*
+X215474399Y-64362156D01*
+X215478123Y-64352197D01*
+X215535968Y-64145718D01*
+X215534430Y-64137351D01*
+X215522137Y-64134000D01*
+X212883403Y-64134000D01*
+X212870222Y-64137870D01*
+X212868255Y-64152423D01*
+X212876638Y-64204471D01*
+X212879212Y-64214793D01*
+X212950230Y-64422810D01*
+X212954497Y-64432533D01*
+X213059556Y-64625625D01*
+X213065402Y-64634491D01*
+X213201486Y-64807113D01*
+X213208750Y-64814875D01*
+X213371967Y-64962094D01*
+X213380444Y-64968528D01*
+X213566122Y-65086136D01*
+X213575567Y-65091053D01*
+X213778406Y-65175694D01*
+X213788545Y-65178950D01*
+X213915264Y-65208089D01*
+X213977170Y-65242848D01*
+X214010456Y-65305558D01*
+X214004554Y-65376309D01*
+X213961338Y-65432638D01*
+X213922609Y-65451755D01*
+X213820898Y-65481690D01*
+X213645866Y-65573195D01*
+X213641068Y-65577053D01*
+X213641066Y-65577054D01*
+X213636141Y-65581014D01*
+X213491941Y-65696954D01*
+X213487982Y-65701672D01*
+X213487981Y-65701673D01*
+X213384373Y-65825147D01*
+X213364985Y-65848253D01*
+X213362022Y-65853642D01*
+X213362019Y-65853647D01*
+X213283183Y-65997051D01*
+X213269835Y-66021331D01*
+X213210115Y-66209593D01*
+X213209429Y-66215710D01*
+X213209428Y-66215714D01*
+X213190157Y-66387522D01*
+X213188099Y-66405870D01*
+X213188615Y-66412014D01*
+X213200832Y-66557500D01*
+X213204626Y-66602685D01*
+X213214194Y-66636052D01*
+X213252593Y-66769966D01*
+X213259066Y-66792542D01*
+X213261881Y-66798019D01*
+X213261882Y-66798022D01*
+X213286398Y-66845725D01*
+X213299745Y-66915456D01*
+X213263426Y-66992414D01*
+X211294478Y-68961363D01*
+X211275385Y-68977033D01*
+X211274875Y-68977374D01*
+X211264384Y-68984384D01*
+X211257490Y-68994702D01*
+X211257488Y-68994704D01*
+X211221452Y-69048638D01*
+X211207482Y-69069547D01*
+X211192934Y-69142685D01*
+X211187500Y-69170000D01*
+X211189921Y-69182170D01*
+X211189921Y-69182173D01*
+X211190079Y-69182966D01*
+X211192500Y-69207548D01*
+X211192501Y-69543350D01*
+X211192501Y-69841149D01*
+X211155596Y-69930244D01*
+X208280246Y-72805595D01*
+X208191151Y-72842500D01*
+X206158850Y-72842500D01*
+X206069755Y-72805595D01*
+X204144405Y-70880246D01*
+X204107500Y-70791151D01*
+X204107500Y-69489190D01*
+X204109959Y-69465258D01*
+X204109967Y-69464367D01*
+X204112491Y-69452213D01*
+X204110172Y-69440017D01*
+X204107518Y-69426058D01*
+X204107500Y-69425933D01*
+X204107500Y-69424864D01*
+X204102817Y-69401320D01*
+X204102631Y-69400365D01*
+X204095569Y-69363227D01*
+X204095568Y-69363225D01*
+X204093356Y-69351593D01*
+X204092738Y-69350651D01*
+X204092518Y-69349546D01*
+X204085930Y-69339687D01*
+X204085929Y-69339683D01*
+X204064916Y-69308234D01*
+X204064448Y-69307528D01*
+X204051232Y-69287384D01*
+X204050480Y-69286619D01*
+X204050401Y-69286512D01*
+X204042510Y-69274702D01*
+X204035616Y-69264384D01*
+X204025298Y-69257490D01*
+X204024676Y-69256868D01*
+X204006131Y-69241516D01*
+X203543594Y-68771113D01*
+X206013181Y-68771113D01*
+X206015233Y-68788850D01*
+X206017192Y-68798744D01*
+X206080516Y-69022527D01*
+X206084028Y-69031971D01*
+X206182317Y-69242751D01*
+X206187296Y-69251516D01*
+X206318014Y-69443861D01*
+X206324346Y-69451736D01*
+X206484123Y-69620696D01*
+X206491632Y-69627457D01*
+X206676375Y-69768704D01*
+X206684854Y-69774168D01*
+X206814675Y-69843777D01*
+X206865258Y-69893595D01*
+X206880878Y-69962852D01*
+X206856574Y-70029559D01*
+X206830523Y-70055779D01*
+X206722470Y-70136466D01*
+X206578123Y-70292619D01*
+X206575042Y-70297502D01*
+X206575039Y-70297506D01*
+X206483555Y-70442500D01*
+X206464650Y-70472463D01*
+X206385851Y-70669974D01*
+X206366880Y-70765349D01*
+X206346747Y-70866570D01*
+X206344366Y-70878538D01*
+X206344290Y-70884313D01*
+X206344290Y-70884317D01*
+X206343793Y-70922287D01*
+X206341582Y-71091169D01*
+X206342561Y-71096866D01*
+X206342561Y-71096867D01*
+X206351691Y-71149999D01*
+X206377594Y-71300748D01*
+X206451196Y-71500254D01*
+X206454148Y-71505215D01*
+X206454148Y-71505216D01*
+X206536257Y-71643228D01*
+X206559922Y-71683006D01*
+X206700132Y-71842885D01*
+X206704667Y-71846460D01*
+X206704668Y-71846461D01*
+X206779568Y-71905507D01*
+X206867129Y-71974535D01*
+X206872245Y-71977226D01*
+X206872247Y-71977228D01*
+X207050203Y-72070855D01*
+X207055322Y-72073548D01*
+X207101002Y-72087732D01*
+X207252892Y-72134896D01*
+X207252897Y-72134897D01*
+X207258407Y-72136608D01*
+X207287746Y-72140081D01*
+X207427013Y-72156564D01*
+X207427019Y-72156564D01*
+X207430700Y-72157000D01*
+X207954414Y-72157000D01*
+X208040497Y-72149090D01*
+X208106004Y-72143071D01*
+X208106007Y-72143070D01*
+X208111758Y-72142542D01*
+X208117315Y-72140975D01*
+X208117319Y-72140974D01*
+X208310865Y-72086388D01*
+X208310867Y-72086387D01*
+X208316424Y-72084820D01*
+X208321600Y-72082268D01*
+X208321604Y-72082266D01*
+X208501962Y-71993323D01*
+X208507143Y-71990768D01*
+X208677530Y-71863534D01*
+X208821877Y-71707381D01*
+X208824958Y-71702498D01*
+X208824961Y-71702494D01*
+X208932269Y-71532420D01*
+X208935350Y-71527537D01*
+X209014149Y-71330026D01*
+X209044958Y-71175134D01*
+X209054507Y-71127130D01*
+X209054507Y-71127126D01*
+X209055634Y-71121462D01*
+X209055752Y-71112500D01*
+X209057550Y-70975133D01*
+X209058418Y-70908831D01*
+X209052977Y-70877163D01*
+X209023385Y-70704949D01*
+X209023385Y-70704948D01*
+X209022406Y-70699252D01*
+X208948804Y-70499746D01*
+X208941816Y-70488000D01*
+X208843034Y-70321962D01*
+X208843032Y-70321959D01*
+X208840078Y-70316994D01*
+X208699868Y-70157115D01*
+X208695332Y-70153539D01*
+X208571613Y-70056006D01*
+X208530500Y-69998124D01*
+X208527206Y-69927204D01*
+X208562778Y-69865762D01*
+X208592423Y-69846306D01*
+X208591819Y-69845151D01*
+X208605251Y-69838128D01*
+X208802037Y-69714206D01*
+X208810118Y-69708161D01*
+X208984565Y-69554365D01*
+X208991576Y-69547104D01*
+X209139188Y-69367400D01*
+X209144941Y-69359122D01*
+X209261931Y-69158114D01*
+X209266286Y-69149023D01*
+X209349629Y-68931907D01*
+X209352477Y-68922230D01*
+X209383917Y-68771736D01*
+X209382905Y-68759066D01*
+X209367472Y-68754000D01*
+X207954002Y-68753999D01*
+X207953996Y-68754000D01*
+X206029316Y-68753999D01*
+X206015230Y-68758135D01*
+X206013181Y-68771113D01*
+X203543594Y-68771113D01*
+X203009822Y-68228264D01*
+X206016083Y-68228264D01*
+X206017095Y-68240934D01*
+X206032528Y-68246000D01*
+X207445998Y-68246001D01*
+X207446004Y-68246000D01*
+X209370684Y-68246001D01*
+X209384770Y-68241865D01*
+X209386819Y-68228887D01*
+X209384767Y-68211150D01*
+X209382808Y-68201256D01*
+X209319484Y-67977473D01*
+X209315972Y-67968029D01*
+X209217683Y-67757249D01*
+X209212704Y-67748484D01*
+X209081986Y-67556139D01*
+X209075654Y-67548264D01*
+X208915877Y-67379304D01*
+X208908368Y-67372543D01*
+X208723625Y-67231296D01*
+X208715146Y-67225832D01*
+X208585325Y-67156223D01*
+X208534742Y-67106405D01*
+X208519122Y-67037148D01*
+X208543426Y-66970441D01*
+X208569477Y-66944221D01*
+X208630675Y-66898522D01*
+X208677530Y-66863534D01*
+X208821877Y-66707381D01*
+X208824958Y-66702498D01*
+X208824961Y-66702494D01*
+X208932269Y-66532420D01*
+X208935350Y-66527537D01*
+X209014149Y-66330026D01*
+X209045203Y-66173905D01*
+X209054507Y-66127130D01*
+X209054507Y-66127126D01*
+X209055634Y-66121462D01*
+X209055819Y-66107393D01*
+X209058342Y-65914613D01*
+X209058418Y-65908831D01*
+X209052977Y-65877163D01*
+X209023385Y-65704949D01*
+X209023385Y-65704948D01*
+X209022406Y-65699252D01*
+X208948804Y-65499746D01*
+X208908879Y-65432638D01*
+X208843034Y-65321962D01*
+X208843032Y-65321959D01*
+X208840078Y-65316994D01*
+X208699868Y-65157115D01*
+X208695332Y-65153539D01*
+X208537410Y-65029043D01*
+X208537408Y-65029042D01*
+X208532871Y-65025465D01*
+X208527755Y-65022774D01*
+X208527753Y-65022772D01*
+X208349797Y-64929145D01*
+X208349795Y-64929144D01*
+X208344678Y-64926452D01*
+X208269199Y-64903015D01*
+X208147108Y-64865104D01*
+X208147103Y-64865103D01*
+X208141593Y-64863392D01*
+X208112254Y-64859919D01*
+X207972987Y-64843436D01*
+X207972981Y-64843436D01*
+X207969300Y-64843000D01*
+X207445586Y-64843000D01*
+X207359503Y-64850910D01*
+X207293996Y-64856929D01*
+X207293993Y-64856930D01*
+X207288242Y-64857458D01*
+X207282685Y-64859025D01*
+X207282681Y-64859026D01*
+X207089135Y-64913612D01*
+X207089133Y-64913613D01*
+X207083576Y-64915180D01*
+X207078400Y-64917732D01*
+X207078396Y-64917734D01*
+X206956102Y-64978043D01*
+X206892857Y-65009232D01*
+X206722470Y-65136466D01*
+X206578123Y-65292619D01*
+X206575042Y-65297502D01*
+X206575039Y-65297506D01*
+X206495188Y-65424063D01*
+X206464650Y-65472463D01*
+X206462510Y-65477827D01*
+X206412052Y-65604302D01*
+X206385851Y-65669974D01*
+X206379285Y-65702985D01*
+X206346410Y-65868263D01*
+X206344366Y-65878538D01*
+X206344290Y-65884313D01*
+X206344290Y-65884317D01*
+X206343254Y-65963488D01*
+X206341582Y-66091169D01*
+X206342561Y-66096866D01*
+X206342561Y-66096867D01*
+X206360922Y-66203720D01*
+X206377594Y-66300748D01*
+X206451196Y-66500254D01*
+X206454148Y-66505215D01*
+X206454148Y-66505216D01*
+X206540321Y-66650059D01*
+X206559922Y-66683006D01*
+X206700132Y-66842885D01*
+X206704667Y-66846460D01*
+X206704668Y-66846461D01*
+X206828387Y-66943994D01*
+X206869500Y-67001876D01*
+X206872794Y-67072796D01*
+X206837222Y-67134238D01*
+X206807577Y-67153694D01*
+X206808181Y-67154849D01*
+X206794749Y-67161872D01*
+X206597963Y-67285794D01*
+X206589882Y-67291839D01*
+X206415435Y-67445635D01*
+X206408424Y-67452896D01*
+X206260812Y-67632600D01*
+X206255059Y-67640878D01*
+X206138069Y-67841886D01*
+X206133714Y-67850977D01*
+X206050371Y-68068093D01*
+X206047523Y-68077770D01*
+X206016083Y-68228264D01*
+X203009822Y-68228264D01*
+X202626149Y-67838068D01*
+X202611228Y-67819731D01*
+X202609871Y-67817700D01*
+X202609869Y-67817698D01*
+X202602977Y-67807383D01*
+X202592662Y-67800491D01*
+X202592660Y-67800489D01*
+X202561659Y-67779775D01*
+X202560780Y-67779182D01*
+X202529680Y-67758021D01*
+X202529678Y-67758020D01*
+X202519856Y-67751337D01*
+X202518753Y-67751108D01*
+X202517815Y-67750481D01*
+X202506174Y-67748166D01*
+X202506173Y-67748165D01*
+X202484325Y-67743820D01*
+X202469145Y-67740800D01*
+X202468274Y-67740624D01*
+X202419574Y-67730508D01*
+X202406302Y-67733032D01*
+X202404992Y-67733281D01*
+X202381453Y-67735499D01*
+X201530546Y-67735499D01*
+X201505964Y-67733078D01*
+X201505170Y-67732920D01*
+X201505168Y-67732920D01*
+X201492998Y-67730499D01*
+X201479013Y-67733281D01*
+X201467863Y-67735499D01*
+X201404715Y-67748060D01*
+X201404714Y-67748060D01*
+X201392545Y-67750481D01*
+X201307383Y-67807383D01*
+X201300491Y-67817698D01*
+X201300489Y-67817700D01*
+X201300036Y-67818378D01*
+X201284367Y-67837471D01*
+X201196144Y-67925695D01*
+X201123245Y-67998594D01*
+X201034149Y-68035499D01*
+X190794876Y-68035499D01*
+X190770294Y-68033078D01*
+X190769500Y-68032920D01*
+X190769498Y-68032920D01*
+X190757328Y-68030499D01*
+X190732193Y-68035499D01*
+X190732192Y-68035499D01*
+X190656874Y-68050481D01*
+X190571712Y-68107383D01*
+X190564820Y-68117698D01*
+X190564818Y-68117700D01*
+X190564363Y-68118381D01*
+X190548693Y-68137474D01*
+X188744477Y-69941691D01*
+X188725384Y-69957361D01*
+X188724874Y-69957702D01*
+X188714383Y-69964712D01*
+X188659147Y-70047381D01*
+X188604675Y-70092907D01*
+X188563284Y-70103063D01*
+X188538341Y-70104828D01*
+X188508510Y-70106940D01*
+X188508507Y-70106941D01*
+X188499109Y-70107606D01*
+X188370850Y-70157226D01*
+X188262852Y-70242365D01*
+X188184662Y-70355496D01*
+X188143194Y-70486617D01*
+X188142114Y-70624135D01*
+X188144814Y-70633163D01*
+X188163718Y-70696377D01*
+X188164073Y-70767373D01*
+X188125988Y-70827290D01*
+X188043001Y-70858478D01*
+X185521569Y-70858478D01*
+X185496987Y-70856057D01*
+X185496193Y-70855899D01*
+X185496191Y-70855899D01*
+X185484021Y-70853478D01*
+X185471851Y-70855899D01*
+X185471850Y-70855899D01*
+X185471056Y-70856057D01*
+X185464913Y-70857279D01*
+X185411880Y-70856447D01*
+X185336287Y-70838926D01*
+X185326891Y-70839591D01*
+X185326888Y-70839591D01*
+X185208511Y-70847972D01*
+X185208509Y-70847973D01*
+X185199109Y-70848638D01*
+X185070850Y-70898258D01*
+X184962852Y-70983397D01*
+X184884662Y-71096528D01*
+X184881823Y-71105505D01*
+X184881822Y-71105507D01*
+X184860765Y-71172091D01*
+X184843194Y-71227649D01*
+X184842114Y-71365167D01*
+X184844813Y-71374191D01*
+X184844813Y-71374193D01*
+X184859340Y-71422768D01*
+X184881517Y-71496923D01*
+X184886747Y-71504751D01*
+X184888352Y-71508161D01*
+X184899257Y-71578316D01*
+X184870502Y-71643228D01*
+X184819809Y-71679317D01*
+X184770850Y-71698258D01*
+X184662852Y-71783397D01*
+X184584662Y-71896528D01*
+X184543194Y-72027649D01*
+X184542114Y-72165167D01*
+X184544813Y-72174191D01*
+X184544813Y-72174193D01*
+X184567513Y-72250095D01*
+X184581517Y-72296923D01*
+X184638368Y-72382005D01*
+X184659583Y-72449758D01*
+X184640800Y-72518225D01*
+X184587984Y-72565669D01*
+X184533603Y-72578008D01*
+X176888693Y-72578008D01*
+X176867662Y-72575770D01*
+X176864109Y-72575602D01*
+X176853930Y-72573411D01*
+X176823150Y-72577054D01*
+X176822466Y-72577135D01*
+X176817183Y-72577446D01*
+X176817194Y-72577580D01*
+X176812018Y-72578008D01*
+X176806814Y-72578008D01*
+X176795233Y-72579935D01*
+X176789388Y-72580908D01*
+X176783517Y-72581745D01*
+X176735720Y-72587402D01*
+X176727945Y-72591135D01*
+X176719434Y-72592552D01*
+X176710270Y-72597497D01*
+X176710269Y-72597497D01*
+X176677084Y-72615403D01*
+X176671792Y-72618100D01*
+X176628414Y-72638929D01*
+X176624884Y-72641897D01*
+X176622948Y-72643833D01*
+X176620964Y-72645653D01*
+X176620857Y-72645536D01*
+X176620257Y-72646065D01*
+X176614675Y-72649077D01*
+X176607607Y-72656723D01*
+X176579306Y-72687339D01*
+X176575876Y-72690905D01*
+X176404185Y-72862596D01*
+X176315090Y-72899501D01*
+X176084911Y-72899501D01*
+X175995816Y-72862596D01*
+X174394405Y-71261186D01*
+X174357500Y-71172091D01*
+X174357500Y-69883083D01*
+X174378687Y-69816087D01*
+X174378394Y-69815940D01*
+X174415145Y-69742390D01*
+X174435653Y-69701348D01*
+X174435653Y-69701347D01*
+X174439864Y-69692920D01*
+X174462443Y-69557265D01*
+X174462500Y-69550000D01*
+X174450035Y-69467089D01*
+X174443454Y-69423316D01*
+X174443453Y-69423314D01*
+X174442054Y-69414006D01*
+X174398877Y-69324090D01*
+X174386600Y-69298522D01*
+X174386600Y-69298521D01*
+X174382525Y-69290036D01*
+X174363104Y-69269026D01*
+X174331552Y-69205429D01*
+X174339393Y-69134867D01*
+X174384137Y-69079745D01*
+X174455629Y-69057499D01*
+X176709777Y-69057499D01*
+X176798873Y-69094404D01*
+X176862295Y-69157827D01*
+X176924007Y-69219539D01*
+X176939676Y-69238632D01*
+X176940129Y-69239310D01*
+X176940131Y-69239312D01*
+X176947023Y-69249627D01*
+X176957338Y-69256519D01*
+X177011189Y-69292500D01*
+X177032185Y-69306529D01*
+X177044356Y-69308950D01*
+X177107502Y-69321511D01*
+X177120467Y-69324090D01*
+X177120468Y-69324090D01*
+X177132638Y-69326511D01*
+X177144808Y-69324090D01*
+X177144810Y-69324090D01*
+X177145604Y-69323932D01*
+X177170186Y-69321511D01*
+X177698441Y-69321511D01*
+X177723023Y-69323932D01*
+X177723817Y-69324090D01*
+X177723819Y-69324090D01*
+X177735989Y-69326511D01*
+X177748159Y-69324090D01*
+X177748954Y-69323932D01*
+X177761124Y-69321511D01*
+X177761125Y-69321511D01*
+X177836443Y-69306529D01*
+X177852249Y-69295968D01*
+X177911286Y-69256522D01*
+X177911287Y-69256521D01*
+X177921605Y-69249627D01*
+X177928950Y-69238634D01*
+X177944621Y-69219538D01*
+X178171155Y-68993005D01*
+X178233467Y-68958980D01*
+X178271121Y-68956571D01*
+X178319660Y-68960775D01*
+X178319663Y-68960775D01*
+X178329041Y-68961587D01*
+X178338242Y-68959606D01*
+X178338244Y-68959606D01*
+X178454278Y-68934625D01*
+X178454281Y-68934624D01*
+X178463482Y-68932643D01*
+X178471694Y-68928044D01*
+X178471697Y-68928043D01*
+X178575254Y-68870048D01*
+X178583470Y-68865447D01*
+X178678394Y-68765940D01*
+X178722888Y-68676894D01*
+X178735653Y-68651348D01*
+X178735653Y-68651347D01*
+X178739864Y-68642920D01*
+X178746353Y-68603937D01*
+X178761630Y-68512149D01*
+X178762443Y-68507265D01*
+X178762500Y-68500000D01*
+X178746874Y-68396063D01*
+X178743454Y-68373316D01*
+X178743453Y-68373314D01*
+X178742054Y-68364006D01*
+X178682525Y-68240036D01*
+X178589175Y-68139051D01*
+X178581031Y-68134320D01*
+X178581029Y-68134319D01*
+X178478399Y-68074706D01*
+X178478395Y-68074704D01*
+X178470258Y-68069978D01*
+X178415884Y-68057375D01*
+X178345465Y-68041053D01*
+X178345463Y-68041053D01*
+X178336287Y-68038926D01*
+X178326891Y-68039591D01*
+X178326888Y-68039591D01*
+X178208511Y-68047972D01*
+X178208509Y-68047973D01*
+X178199109Y-68048638D01*
+X178070850Y-68098258D01*
+X177962852Y-68183397D01*
+X177957500Y-68191141D01*
+X177957497Y-68191144D01*
+X177949956Y-68202056D01*
+X177894771Y-68246724D01*
+X177824198Y-68254465D01*
+X177753779Y-68215949D01*
+X177713248Y-68172103D01*
+X177681697Y-68108505D01*
+X177689538Y-68037943D01*
+X177716679Y-67997480D01*
+X177862999Y-67851161D01*
+X178071155Y-67643005D01*
+X178133467Y-67608980D01*
+X178171121Y-67606571D01*
+X178219660Y-67610775D01*
+X178219663Y-67610775D01*
+X178229041Y-67611587D01*
+X178238242Y-67609606D01*
+X178238244Y-67609606D01*
+X178354278Y-67584625D01*
+X178354281Y-67584624D01*
+X178363482Y-67582643D01*
+X178371694Y-67578044D01*
+X178371697Y-67578043D01*
+X178475254Y-67520048D01*
+X178483470Y-67515447D01*
+X178578394Y-67415940D01*
+X178624307Y-67324054D01*
+X178635653Y-67301348D01*
+X178635653Y-67301347D01*
+X178639864Y-67292920D01*
+X178662443Y-67157265D01*
+X178662500Y-67150000D01*
+X178647204Y-67048258D01*
+X178643454Y-67023316D01*
+X178643453Y-67023314D01*
+X178642054Y-67014006D01*
+X178582525Y-66890036D01*
+X178489175Y-66789051D01*
+X178481031Y-66784320D01*
+X178481029Y-66784319D01*
+X178378399Y-66724706D01*
+X178378395Y-66724704D01*
+X178370258Y-66719978D01*
+X178325023Y-66709493D01*
+X178245465Y-66691053D01*
+X178245463Y-66691053D01*
+X178236287Y-66688926D01*
+X178226891Y-66689591D01*
+X178226888Y-66689591D01*
+X178108511Y-66697972D01*
+X178108509Y-66697973D01*
+X178099109Y-66698638D01*
+X177970850Y-66748258D01*
+X177862852Y-66833397D01*
+X177784662Y-66946528D01*
+X177781823Y-66955505D01*
+X177781822Y-66955507D01*
+X177762105Y-67017852D01*
+X177743194Y-67077649D01*
+X177743120Y-67087070D01*
+X177742293Y-67192344D01*
+X177705392Y-67280449D01*
+X177330246Y-67655595D01*
+X177241151Y-67692500D01*
+X163733115Y-67692500D01*
+X163644020Y-67655596D01*
+X163282896Y-67294473D01*
+X163267225Y-67275377D01*
+X163266775Y-67274704D01*
+X163259880Y-67264384D01*
+X163246595Y-67255507D01*
+X163185036Y-67214376D01*
+X163174718Y-67207482D01*
+X163099400Y-67192500D01*
+X163099399Y-67192500D01*
+X163074264Y-67187500D01*
+X163074883Y-67184388D01*
+X162995008Y-67149451D01*
+X162939175Y-67089051D01*
+X162931031Y-67084320D01*
+X162931029Y-67084319D01*
+X162828399Y-67024706D01*
+X162828395Y-67024704D01*
+X162820258Y-67019978D01*
+X162768645Y-67008015D01*
+X162695465Y-66991053D01*
+X162695463Y-66991053D01*
+X162686287Y-66988926D01*
+X162676891Y-66989591D01*
+X162676888Y-66989591D01*
+X162558511Y-66997972D01*
+X162558509Y-66997973D01*
+X162549109Y-66998638D01*
+X162420850Y-67048258D01*
+X162312852Y-67133397D01*
+X162234662Y-67246528D01*
+X162231823Y-67255505D01*
+X162231822Y-67255507D01*
+X162218192Y-67298605D01*
+X162193194Y-67377649D01*
+X162192114Y-67515167D01*
+X162231517Y-67646923D01*
+X162245140Y-67667311D01*
+X162302662Y-67753397D01*
+X162307921Y-67761268D01*
+X162315222Y-67767212D01*
+X162315223Y-67767213D01*
+X162330653Y-67779775D01*
+X162413191Y-67846972D01*
+X162413193Y-67846974D01*
+X162414568Y-67848093D01*
+X162414414Y-67848282D01*
+X162458893Y-67895919D01*
+X162471597Y-67965770D01*
+X162444520Y-68031401D01*
+X162386259Y-68071974D01*
+X162346300Y-68078478D01*
+X161296682Y-68078478D01*
+X161272100Y-68076057D01*
+X161271306Y-68075899D01*
+X161271304Y-68075899D01*
+X161259134Y-68073478D01*
+X161233999Y-68078478D01*
+X161233998Y-68078478D01*
+X161158680Y-68093460D01*
+X161073518Y-68150362D01*
+X161066626Y-68160677D01*
+X161066624Y-68160679D01*
+X161066169Y-68161360D01*
+X161050499Y-68180453D01*
+X157749145Y-71481808D01*
+X154475358Y-74755595D01*
+X154386263Y-74792500D01*
+X148413739Y-74792500D01*
+X148324644Y-74755596D01*
+X148119405Y-74550358D01*
+X148082500Y-74461262D01*
+X148082500Y-73405870D01*
+X149188099Y-73405870D01*
+X149188615Y-73412014D01*
+X149204092Y-73596320D01*
+X149204626Y-73602685D01*
+X149211784Y-73627649D01*
+X149253805Y-73774193D01*
+X149259066Y-73792542D01*
+X149261885Y-73798027D01*
+X149346529Y-73962727D01*
+X149346532Y-73962732D01*
+X149349347Y-73968209D01*
+X149472028Y-74122994D01*
+X149476722Y-74126988D01*
+X149476722Y-74126989D01*
+X149567317Y-74204091D01*
+X149622438Y-74251003D01*
+X149627816Y-74254009D01*
+X149627818Y-74254010D01*
+X149644176Y-74263152D01*
+X149794847Y-74347359D01*
+X149982688Y-74408392D01*
+X150178806Y-74431778D01*
+X150184941Y-74431306D01*
+X150184943Y-74431306D01*
+X150369589Y-74417098D01*
+X150369592Y-74417097D01*
+X150375732Y-74416625D01*
+X150565964Y-74363512D01*
+X150742257Y-74274460D01*
+X150777392Y-74247010D01*
+X150893039Y-74156656D01*
+X150897895Y-74152862D01*
+X150906296Y-74143130D01*
+X150942807Y-74100831D01*
+X151026951Y-74003350D01*
+X151030861Y-73996468D01*
+X151121465Y-73836974D01*
+X151121466Y-73836973D01*
+X151124508Y-73831617D01*
+X151130025Y-73815034D01*
+X151184904Y-73650059D01*
+X151186851Y-73644207D01*
+X151211605Y-73448257D01*
+X151211875Y-73428946D01*
+X151211951Y-73423522D01*
+X151211951Y-73423518D01*
+X151212000Y-73420000D01*
+X151192727Y-73223435D01*
+X151135641Y-73034357D01*
+X151107083Y-72980647D01*
+X151045810Y-72865410D01*
+X151045808Y-72865407D01*
+X151042916Y-72859968D01*
+X150918086Y-72706910D01*
+X150765903Y-72581014D01*
+X150592166Y-72487074D01*
+X150403491Y-72428670D01*
+X150397366Y-72428026D01*
+X150397365Y-72428026D01*
+X150213193Y-72408669D01*
+X150213192Y-72408669D01*
+X150207065Y-72408025D01*
+X150086743Y-72418975D01*
+X150016511Y-72425366D01*
+X150016510Y-72425366D01*
+X150010370Y-72425925D01*
+X149994853Y-72430492D01*
+X149826809Y-72479950D01*
+X149826806Y-72479951D01*
+X149820898Y-72481690D01*
+X149645866Y-72573195D01*
+X149641068Y-72577053D01*
+X149641066Y-72577054D01*
+X149615640Y-72597497D01*
+X149491941Y-72696954D01*
+X149487982Y-72701672D01*
+X149487981Y-72701673D01*
+X149370232Y-72842000D01*
+X149364985Y-72848253D01*
+X149362022Y-72853642D01*
+X149362019Y-72853647D01*
+X149336811Y-72899501D01*
+X149269835Y-73021331D01*
+X149210115Y-73209593D01*
+X149209429Y-73215710D01*
+X149209428Y-73215714D01*
+X149193253Y-73359921D01*
+X149188099Y-73405870D01*
+X148082500Y-73405870D01*
+X148082500Y-72249366D01*
+X148102502Y-72181245D01*
+X148188789Y-72124917D01*
+X148226160Y-72118998D01*
+X148226161Y-72118998D01*
+X148235952Y-72117447D01*
+X148347320Y-72060702D01*
+X148435702Y-71972320D01*
+X148492447Y-71860952D01*
+X148512000Y-71737500D01*
+X148512000Y-71262500D01*
+X148888000Y-71262500D01*
+X148888000Y-71737500D01*
+X148907553Y-71860952D01*
+X148964298Y-71972320D01*
+X149052680Y-72060702D01*
+X149164048Y-72117447D01*
+X149173838Y-72118998D01*
+X149173839Y-72118998D01*
+X149210147Y-72124748D01*
+X149287500Y-72137000D01*
+X149862500Y-72137000D01*
+X149939853Y-72124748D01*
+X149976161Y-72118998D01*
+X149976162Y-72118998D01*
+X149985952Y-72117447D01*
+X150097320Y-72060702D01*
+X150185702Y-71972320D01*
+X150242447Y-71860952D01*
+X150262000Y-71737500D01*
+X150262000Y-71683500D01*
+X150282002Y-71615379D01*
+X150335658Y-71568886D01*
+X150388000Y-71557500D01*
+X151413603Y-71557500D01*
+X151481724Y-71577502D01*
+X151521888Y-71619077D01*
+X151549476Y-71665447D01*
+X151559922Y-71683006D01*
+X151700132Y-71842885D01*
+X151704667Y-71846460D01*
+X151704668Y-71846461D01*
+X151779568Y-71905507D01*
+X151867129Y-71974535D01*
+X151872245Y-71977226D01*
+X151872247Y-71977228D01*
+X152050203Y-72070855D01*
+X152055322Y-72073548D01*
+X152101002Y-72087732D01*
+X152252892Y-72134896D01*
+X152252897Y-72134897D01*
+X152258407Y-72136608D01*
+X152287746Y-72140081D01*
+X152427013Y-72156564D01*
+X152427019Y-72156564D01*
+X152430700Y-72157000D01*
+X152954414Y-72157000D01*
+X153040497Y-72149090D01*
+X153106004Y-72143071D01*
+X153106007Y-72143070D01*
+X153111758Y-72142542D01*
+X153117315Y-72140975D01*
+X153117319Y-72140974D01*
+X153310865Y-72086388D01*
+X153310867Y-72086387D01*
+X153316424Y-72084820D01*
+X153321600Y-72082268D01*
+X153321604Y-72082266D01*
+X153501962Y-71993323D01*
+X153507143Y-71990768D01*
+X153677530Y-71863534D01*
+X153821877Y-71707381D01*
+X153824958Y-71702498D01*
+X153824961Y-71702494D01*
+X153932269Y-71532420D01*
+X153935350Y-71527537D01*
+X154014149Y-71330026D01*
+X154044958Y-71175134D01*
+X154054507Y-71127130D01*
+X154054507Y-71127126D01*
+X154055634Y-71121462D01*
+X154055752Y-71112500D01*
+X154057550Y-70975133D01*
+X154058418Y-70908831D01*
+X154052977Y-70877163D01*
+X154023385Y-70704949D01*
+X154023385Y-70704948D01*
+X154022406Y-70699252D01*
+X153948804Y-70499746D01*
+X153941816Y-70488000D01*
+X153843034Y-70321962D01*
+X153843032Y-70321959D01*
+X153840078Y-70316994D01*
+X153699868Y-70157115D01*
+X153695332Y-70153539D01*
+X153571613Y-70056006D01*
+X153530500Y-69998124D01*
+X153527206Y-69927204D01*
+X153562778Y-69865762D01*
+X153592423Y-69846306D01*
+X153591819Y-69845151D01*
+X153605251Y-69838128D01*
+X153802037Y-69714206D01*
+X153810118Y-69708161D01*
+X153984565Y-69554365D01*
+X153991576Y-69547104D01*
+X154139188Y-69367400D01*
+X154144941Y-69359122D01*
+X154261931Y-69158114D01*
+X154266286Y-69149023D01*
+X154349629Y-68931907D01*
+X154352477Y-68922230D01*
+X154383917Y-68771736D01*
+X154382905Y-68759066D01*
+X154367472Y-68754000D01*
+X152954002Y-68753999D01*
+X152953996Y-68754000D01*
+X151029316Y-68753999D01*
+X151015230Y-68758135D01*
+X151013181Y-68771113D01*
+X151015233Y-68788850D01*
+X151017192Y-68798744D01*
+X151080516Y-69022527D01*
+X151084028Y-69031971D01*
+X151182317Y-69242751D01*
+X151187296Y-69251516D01*
+X151318014Y-69443861D01*
+X151324346Y-69451736D01*
+X151484123Y-69620696D01*
+X151491632Y-69627457D01*
+X151676375Y-69768704D01*
+X151684854Y-69774168D01*
+X151814675Y-69843777D01*
+X151865258Y-69893595D01*
+X151880878Y-69962852D01*
+X151856574Y-70029559D01*
+X151830523Y-70055779D01*
+X151722470Y-70136466D01*
+X151578123Y-70292619D01*
+X151520632Y-70383737D01*
+X151467366Y-70430674D01*
+X151414071Y-70442500D01*
+X150518648Y-70442500D01*
+X150450527Y-70422498D01*
+X150404034Y-70368842D01*
+X150393930Y-70298568D01*
+X150428650Y-70231655D01*
+X150427359Y-70230572D01*
+X150441452Y-70213776D01*
+X150529137Y-70080458D01*
+X150535681Y-70067427D01*
+X150590261Y-69917470D01*
+X150593621Y-69903293D01*
+X150608968Y-69771996D01*
+X150606498Y-69757546D01*
+X150593804Y-69754000D01*
+X149847115Y-69754000D01*
+X149831876Y-69758475D01*
+X149830671Y-69759865D01*
+X149829000Y-69767548D01*
+X149829000Y-70422003D01*
+X149808998Y-70490124D01*
+X149782296Y-70519922D01*
+X149771680Y-70528519D01*
+X149753083Y-70541015D01*
+X149739623Y-70548415D01*
+X149728935Y-70557641D01*
+X149727298Y-70559278D01*
+X149704736Y-70581841D01*
+X149694932Y-70590668D01*
+X149664955Y-70614942D01*
+X149659980Y-70621943D01*
+X149654678Y-70629403D01*
+X149641068Y-70645508D01*
+X149460482Y-70826095D01*
+X149371386Y-70863000D01*
+X149287500Y-70863000D01*
+X149225184Y-70872870D01*
+X149173839Y-70881002D01*
+X149173838Y-70881002D01*
+X149164048Y-70882553D01*
+X149052680Y-70939298D01*
+X148964298Y-71027680D01*
+X148907553Y-71139048D01*
+X148906002Y-71148838D01*
+X148906002Y-71148839D01*
+X148900252Y-71185147D01*
+X148888000Y-71262500D01*
+X148512000Y-71262500D01*
+X148499748Y-71185147D01*
+X148493998Y-71148839D01*
+X148493998Y-71148838D01*
+X148492447Y-71139048D01*
+X148435702Y-71027680D01*
+X148419405Y-71011383D01*
+X148382500Y-70922288D01*
+X148382500Y-70131083D01*
+X148402502Y-70062962D01*
+X148456158Y-70016469D01*
+X148526432Y-70006365D01*
+X148591012Y-70035859D01*
+X148617619Y-70068083D01*
+X148681835Y-70179308D01*
+X148690546Y-70191009D01*
+X148800050Y-70307077D01*
+X148811224Y-70316452D01*
+X148944542Y-70404137D01*
+X148957573Y-70410681D01*
+X149107530Y-70465261D01*
+X149121708Y-70468621D01*
+X149283856Y-70487574D01*
+X149291169Y-70488000D01*
+X149302885Y-70488000D01*
+X149318124Y-70483525D01*
+X149319329Y-70482135D01*
+X149321000Y-70474452D01*
+X149321000Y-68512000D01*
+X149322202Y-68512000D01*
+X149321345Y-68488000D01*
+X149827798Y-68488000D01*
+X149828655Y-68512000D01*
+X149829000Y-68512000D01*
+X149829000Y-68521666D01*
+X149829119Y-68524998D01*
+X149829000Y-68525545D01*
+X149829000Y-69227885D01*
+X149833475Y-69243124D01*
+X149834865Y-69244329D01*
+X149842548Y-69246000D01*
+X150593441Y-69246000D01*
+X150608069Y-69241705D01*
+X150610132Y-69229728D01*
+X150602157Y-69138565D01*
+X150599759Y-69126034D01*
+X150553727Y-68972276D01*
+X150547949Y-68958882D01*
+X150468165Y-68820692D01*
+X150459454Y-68808991D01*
+X150349950Y-68692923D01*
+X150338779Y-68683551D01*
+X150217733Y-68603937D01*
+X150171811Y-68549793D01*
+X150162451Y-68479416D01*
+X150192626Y-68415151D01*
+X150223972Y-68389547D01*
+X150304308Y-68343165D01*
+X150316009Y-68334454D01*
+X150432077Y-68224950D01*
+X150441452Y-68213776D01*
+X150529137Y-68080458D01*
+X150535681Y-68067427D01*
+X150590261Y-67917470D01*
+X150593621Y-67903293D01*
+X150608968Y-67771996D01*
+X150606498Y-67757546D01*
+X150593804Y-67754000D01*
+X149847115Y-67753999D01*
+X149831876Y-67758474D01*
+X149830671Y-67759864D01*
+X149829000Y-67767547D01*
+X149828999Y-68476997D01*
+X149829000Y-68477003D01*
+X149829000Y-68488000D01*
+X149827798Y-68488000D01*
+X149321345Y-68488000D01*
+X149321000Y-68488000D01*
+X149321000Y-68478334D01*
+X149320881Y-68475002D01*
+X149321000Y-68474455D01*
+X149321001Y-67754000D01*
+X149321000Y-67753996D01*
+X149321001Y-66530115D01*
+X149316526Y-66514876D01*
+X149315136Y-66513671D01*
+X149307453Y-66512000D01*
+X149290240Y-66512000D01*
+X149284776Y-66512238D01*
+X149163565Y-66522843D01*
+X149151033Y-66525242D01*
+X148997276Y-66571273D01*
+X148983882Y-66577051D01*
+X148845692Y-66656835D01*
+X148833991Y-66665546D01*
+X148717923Y-66775050D01*
+X148708548Y-66786224D01*
+X148616829Y-66925676D01*
+X148615657Y-66924905D01*
+X148572651Y-66970974D01*
+X148503836Y-66988441D01*
+X148436503Y-66965931D01*
+X148392028Y-66910591D01*
+X148382500Y-66862527D01*
+X148382500Y-66077712D01*
+X148419405Y-65988617D01*
+X148435702Y-65972320D01*
+X148492447Y-65860952D01*
+X148494459Y-65848253D01*
+X148500844Y-65807938D01*
+X148512000Y-65737500D01*
+X148512000Y-65262500D01*
+X148888000Y-65262500D01*
+X148888000Y-65737500D01*
+X148899156Y-65807938D01*
+X148905542Y-65848253D01*
+X148907553Y-65860952D01*
+X148964298Y-65972320D01*
+X149052680Y-66060702D01*
+X149164048Y-66117447D01*
+X149173838Y-66118998D01*
+X149173839Y-66118998D01*
+X149210147Y-66124748D01*
+X149287500Y-66137000D01*
+X149371386Y-66137000D01*
+X149460481Y-66173905D01*
+X149674098Y-66387522D01*
+X149677752Y-66391331D01*
+X149716449Y-66433414D01*
+X149753964Y-66456674D01*
+X149763710Y-66463372D01*
+X149779182Y-66475116D01*
+X149821347Y-66532231D01*
+X149829000Y-66575476D01*
+X149828999Y-67227885D01*
+X149833474Y-67243124D01*
+X149834864Y-67244329D01*
+X149842547Y-67246000D01*
+X150593441Y-67246001D01*
+X150608069Y-67241706D01*
+X150610132Y-67229729D01*
+X150602157Y-67138565D01*
+X150599759Y-67126034D01*
+X150553727Y-66972276D01*
+X150547949Y-66958882D01*
+X150468165Y-66820692D01*
+X150459451Y-66808986D01*
+X150422637Y-66769966D01*
+X150390439Y-66706691D01*
+X150397561Y-66636052D01*
+X150441743Y-66580478D01*
+X150514286Y-66557500D01*
+X151413603Y-66557500D01*
+X151481724Y-66577502D01*
+X151521888Y-66619077D01*
+X151540321Y-66650059D01*
+X151559922Y-66683006D01*
+X151700132Y-66842885D01*
+X151704667Y-66846460D01*
+X151704668Y-66846461D01*
+X151828387Y-66943994D01*
+X151869500Y-67001876D01*
+X151872794Y-67072796D01*
+X151837222Y-67134238D01*
+X151807577Y-67153694D01*
+X151808181Y-67154849D01*
+X151794749Y-67161872D01*
+X151597963Y-67285794D01*
+X151589882Y-67291839D01*
+X151415435Y-67445635D01*
+X151408424Y-67452896D01*
+X151260812Y-67632600D01*
+X151255059Y-67640878D01*
+X151138069Y-67841886D01*
+X151133714Y-67850977D01*
+X151050371Y-68068093D01*
+X151047523Y-68077770D01*
+X151016083Y-68228264D01*
+X151017095Y-68240934D01*
+X151032528Y-68246000D01*
+X152445998Y-68246001D01*
+X152446004Y-68246000D01*
+X154370684Y-68246001D01*
+X154384770Y-68241865D01*
+X154386819Y-68228887D01*
+X154384767Y-68211150D01*
+X154382808Y-68201256D01*
+X154319484Y-67977473D01*
+X154315972Y-67968029D01*
+X154217683Y-67757249D01*
+X154212704Y-67748484D01*
+X154081986Y-67556139D01*
+X154075654Y-67548264D01*
+X153915877Y-67379304D01*
+X153908368Y-67372543D01*
+X153723625Y-67231296D01*
+X153715146Y-67225832D01*
+X153585325Y-67156223D01*
+X153534742Y-67106405D01*
+X153519122Y-67037148D01*
+X153543426Y-66970441D01*
+X153569477Y-66944221D01*
+X153630675Y-66898522D01*
+X153677530Y-66863534D01*
+X153821877Y-66707381D01*
+X153824958Y-66702498D01*
+X153824961Y-66702494D01*
+X153932269Y-66532420D01*
+X153935350Y-66527537D01*
+X154014149Y-66330026D01*
+X154045203Y-66173905D01*
+X154054507Y-66127130D01*
+X154054507Y-66127126D01*
+X154055634Y-66121462D01*
+X154055819Y-66107393D01*
+X154055887Y-66102121D01*
+X165841834Y-66102121D01*
+X165842683Y-66107391D01*
+X165842683Y-66107393D01*
+X165874700Y-66306171D01*
+X165878457Y-66329498D01*
+X165880182Y-66334550D01*
+X165880182Y-66334551D01*
+X165897005Y-66383828D01*
+X165952868Y-66547453D01*
+X166062937Y-66749755D01*
+X166066235Y-66753939D01*
+X166066238Y-66753943D01*
+X166202216Y-66926430D01*
+X166202220Y-66926434D01*
+X166205519Y-66930619D01*
+X166376536Y-67084874D01*
+X166485188Y-67153694D01*
+X166566286Y-67205061D01*
+X166571098Y-67208109D01*
+X166576025Y-67210165D01*
+X166576027Y-67210166D01*
+X166778066Y-67294473D01*
+X166783643Y-67296800D01*
+X166788847Y-67297997D01*
+X166788848Y-67297997D01*
+X167002890Y-67347216D01*
+X167002895Y-67347217D01*
+X167008093Y-67348412D01*
+X167013421Y-67348715D01*
+X167013424Y-67348715D01*
+X167171689Y-67357702D01*
+X167238029Y-67361469D01*
+X167243336Y-67360869D01*
+X167243338Y-67360869D01*
+X167389428Y-67344353D01*
+X167466879Y-67335597D01*
+X167471994Y-67334116D01*
+X167471998Y-67334115D01*
+X167558159Y-67309164D01*
+X167688097Y-67271537D01*
+X167895359Y-67171119D01*
+X168010202Y-67089051D01*
+X168078393Y-67040321D01*
+X168078395Y-67040319D01*
+X168082739Y-67037215D01*
+X168228639Y-66890036D01*
+X168241126Y-66877440D01*
+X168241127Y-66877439D01*
+X168244879Y-66873654D01*
+X168290244Y-66808986D01*
+X168374078Y-66689481D01*
+X168374079Y-66689479D01*
+X168377142Y-66685113D01*
+X168475748Y-66476982D01*
+X168479557Y-66463385D01*
+X168536437Y-66260348D01*
+X168536438Y-66260342D01*
+X168537875Y-66255213D01*
+X168550196Y-66137000D01*
+X168553831Y-66102121D01*
+X191841834Y-66102121D01*
+X191842683Y-66107391D01*
+X191842683Y-66107393D01*
+X191874700Y-66306171D01*
+X191878457Y-66329498D01*
+X191880182Y-66334550D01*
+X191880182Y-66334551D01*
+X191897005Y-66383828D01*
+X191952868Y-66547453D01*
+X192062937Y-66749755D01*
+X192066235Y-66753939D01*
+X192066238Y-66753943D01*
+X192202216Y-66926430D01*
+X192202220Y-66926434D01*
+X192205519Y-66930619D01*
+X192376536Y-67084874D01*
+X192485188Y-67153694D01*
+X192566286Y-67205061D01*
+X192571098Y-67208109D01*
+X192576025Y-67210165D01*
+X192576027Y-67210166D01*
+X192778066Y-67294473D01*
+X192783643Y-67296800D01*
+X192788847Y-67297997D01*
+X192788848Y-67297997D01*
+X193002890Y-67347216D01*
+X193002895Y-67347217D01*
+X193008093Y-67348412D01*
+X193013421Y-67348715D01*
+X193013424Y-67348715D01*
+X193171689Y-67357702D01*
+X193238029Y-67361469D01*
+X193243336Y-67360869D01*
+X193243338Y-67360869D01*
+X193389428Y-67344353D01*
+X193466879Y-67335597D01*
+X193471994Y-67334116D01*
+X193471998Y-67334115D01*
+X193558159Y-67309164D01*
+X193688097Y-67271537D01*
+X193895359Y-67171119D01*
+X194010202Y-67089051D01*
+X194078393Y-67040321D01*
+X194078395Y-67040319D01*
+X194082739Y-67037215D01*
+X194228639Y-66890036D01*
+X194241126Y-66877440D01*
+X194241127Y-66877439D01*
+X194244879Y-66873654D01*
+X194290244Y-66808986D01*
+X194374078Y-66689481D01*
+X194374079Y-66689479D01*
+X194377142Y-66685113D01*
+X194475748Y-66476982D01*
+X194479557Y-66463385D01*
+X194536437Y-66260348D01*
+X194536438Y-66260342D01*
+X194537875Y-66255213D01*
+X194550196Y-66137000D01*
+X194561442Y-66029097D01*
+X194561443Y-66029087D01*
+X194561749Y-66026147D01*
+X194562000Y-66000000D01*
+X194542528Y-65770517D01*
+X194533959Y-65737500D01*
+X194486009Y-65552760D01*
+X194484669Y-65547597D01*
+X194390078Y-65337611D01*
+X194261458Y-65146566D01*
+X194254287Y-65139048D01*
+X194106175Y-64983786D01*
+X194102489Y-64979922D01*
+X194078528Y-64962094D01*
+X193946381Y-64863775D01*
+X193917714Y-64842446D01*
+X193712417Y-64738068D01*
+X193492470Y-64669772D01*
+X193484125Y-64668666D01*
+X193269444Y-64640212D01*
+X193269439Y-64640212D01*
+X193264159Y-64639512D01*
+X193258830Y-64639712D01*
+X193258828Y-64639712D01*
+X193149087Y-64643832D01*
+X193034014Y-64648152D01*
+X192808615Y-64695446D01*
+X192803659Y-64697403D01*
+X192803653Y-64697405D01*
+X192661274Y-64753634D01*
+X192594407Y-64780041D01*
+X192397515Y-64899518D01*
+X192393485Y-64903015D01*
+X192239643Y-65036512D01*
+X192223568Y-65050461D01*
+X192220181Y-65054592D01*
+X192080924Y-65224427D01*
+X192080920Y-65224433D01*
+X192077540Y-65228555D01*
+X192074901Y-65233191D01*
+X192074899Y-65233194D01*
+X191980758Y-65398576D01*
+X191963607Y-65428706D01*
+X191885026Y-65645193D01*
+X191884077Y-65650442D01*
+X191884076Y-65650445D01*
+X191855721Y-65807254D01*
+X191844045Y-65871824D01*
+X191843690Y-65908831D01*
+X191841995Y-66085387D01*
+X191841834Y-66102121D01*
+X168553831Y-66102121D01*
+X168561442Y-66029097D01*
+X168561443Y-66029087D01*
+X168561749Y-66026147D01*
+X168562000Y-66000000D01*
+X168542528Y-65770517D01*
+X168533959Y-65737500D01*
+X168486009Y-65552760D01*
+X168484669Y-65547597D01*
+X168390078Y-65337611D01*
+X168261458Y-65146566D01*
+X168254287Y-65139048D01*
+X168106175Y-64983786D01*
+X168102489Y-64979922D01*
+X168078528Y-64962094D01*
+X167946381Y-64863775D01*
+X167917714Y-64842446D01*
+X167712417Y-64738068D01*
+X167492470Y-64669772D01*
+X167484125Y-64668666D01*
+X167269444Y-64640212D01*
+X167269439Y-64640212D01*
+X167264159Y-64639512D01*
+X167258830Y-64639712D01*
+X167258828Y-64639712D01*
+X167149087Y-64643832D01*
+X167034014Y-64648152D01*
+X166808615Y-64695446D01*
+X166803659Y-64697403D01*
+X166803653Y-64697405D01*
+X166661274Y-64753634D01*
+X166594407Y-64780041D01*
+X166397515Y-64899518D01*
+X166393485Y-64903015D01*
+X166239643Y-65036512D01*
+X166223568Y-65050461D01*
+X166220181Y-65054592D01*
+X166080924Y-65224427D01*
+X166080920Y-65224433D01*
+X166077540Y-65228555D01*
+X166074901Y-65233191D01*
+X166074899Y-65233194D01*
+X165980758Y-65398576D01*
+X165963607Y-65428706D01*
+X165885026Y-65645193D01*
+X165884077Y-65650442D01*
+X165884076Y-65650445D01*
+X165855721Y-65807254D01*
+X165844045Y-65871824D01*
+X165843690Y-65908831D01*
+X165841995Y-66085387D01*
+X165841834Y-66102121D01*
+X154055887Y-66102121D01*
+X154058342Y-65914613D01*
+X154058418Y-65908831D01*
+X154052977Y-65877163D01*
+X154023385Y-65704949D01*
+X154023385Y-65704948D01*
+X154022406Y-65699252D01*
+X153948804Y-65499746D01*
+X153908879Y-65432638D01*
+X153843034Y-65321962D01*
+X153843032Y-65321959D01*
+X153840078Y-65316994D01*
+X153699868Y-65157115D01*
+X153695332Y-65153539D01*
+X153537410Y-65029043D01*
+X153537408Y-65029042D01*
+X153532871Y-65025465D01*
+X153527755Y-65022774D01*
+X153527753Y-65022772D01*
+X153349797Y-64929145D01*
+X153349795Y-64929144D01*
+X153344678Y-64926452D01*
+X153269199Y-64903015D01*
+X153147108Y-64865104D01*
+X153147103Y-64865103D01*
+X153141593Y-64863392D01*
+X153112254Y-64859919D01*
+X152972987Y-64843436D01*
+X152972981Y-64843436D01*
+X152969300Y-64843000D01*
+X152445586Y-64843000D01*
+X152359503Y-64850910D01*
+X152293996Y-64856929D01*
+X152293993Y-64856930D01*
+X152288242Y-64857458D01*
+X152282685Y-64859025D01*
+X152282681Y-64859026D01*
+X152089135Y-64913612D01*
+X152089133Y-64913613D01*
+X152083576Y-64915180D01*
+X152078400Y-64917732D01*
+X152078396Y-64917734D01*
+X151956102Y-64978043D01*
+X151892857Y-65009232D01*
+X151722470Y-65136466D01*
+X151578123Y-65292619D01*
+X151520632Y-65383737D01*
+X151467366Y-65430674D01*
+X151414071Y-65442500D01*
+X150388000Y-65442500D01*
+X150319879Y-65422498D01*
+X150273386Y-65368842D01*
+X150262000Y-65316500D01*
+X150262000Y-65262500D01*
+X150244742Y-65153539D01*
+X150243998Y-65148839D01*
+X150243998Y-65148838D01*
+X150242447Y-65139048D01*
+X150185702Y-65027680D01*
+X150097320Y-64939298D01*
+X149985952Y-64882553D01*
+X149976162Y-64881002D01*
+X149976161Y-64881002D01*
+X149939853Y-64875252D01*
+X149862500Y-64863000D01*
+X149287500Y-64863000D01*
+X149210147Y-64875252D01*
+X149173839Y-64881002D01*
+X149173838Y-64881002D01*
+X149164048Y-64882553D01*
+X149052680Y-64939298D01*
+X148964298Y-65027680D01*
+X148907553Y-65139048D01*
+X148906002Y-65148838D01*
+X148906002Y-65148839D01*
+X148905258Y-65153539D01*
+X148888000Y-65262500D01*
+X148512000Y-65262500D01*
+X148494742Y-65153539D01*
+X148493998Y-65148839D01*
+X148493998Y-65148838D01*
+X148492447Y-65139048D01*
+X148435702Y-65027680D01*
+X148347320Y-64939298D01*
+X148235952Y-64882553D01*
+X148226162Y-64881002D01*
+X148226161Y-64881002D01*
+X148189853Y-64875252D01*
+X148112500Y-64863000D01*
+X147537500Y-64863000D01*
+X147460147Y-64875252D01*
+X147423839Y-64881002D01*
+X147423838Y-64881002D01*
+X147414048Y-64882553D01*
+X147302680Y-64939298D01*
+X147214298Y-65027680D01*
+X147157553Y-65139048D01*
+X147156002Y-65148838D01*
+X147156002Y-65148839D01*
+X147155258Y-65153539D01*
+X147138000Y-65262500D01*
+X147138000Y-65737500D01*
+X147149156Y-65807938D01*
+X147155542Y-65848253D01*
+X147157553Y-65860952D01*
+X147214298Y-65972320D01*
+X147230595Y-65988617D01*
+X147267500Y-66077712D01*
+X147267501Y-66481808D01*
+X147267501Y-66816500D01*
+X147247499Y-66884621D01*
+X147193843Y-66931114D01*
+X147141501Y-66942500D01*
+X146433855Y-66942500D01*
+X146376652Y-66928767D01*
+X146358887Y-66919715D01*
+X146285952Y-66882553D01*
+X146276162Y-66881002D01*
+X146276161Y-66881002D01*
+X146229766Y-66873654D01*
+X146162500Y-66863000D01*
+X145587500Y-66863000D01*
+X145520234Y-66873654D01*
+X145473839Y-66881002D01*
+X145473838Y-66881002D01*
+X145464048Y-66882553D01*
+X145352680Y-66939298D01*
+X145264298Y-67027680D01*
+X145207553Y-67139048D01*
+X145206002Y-67148839D01*
+X145206002Y-67148840D01*
+X145188440Y-67259721D01*
+X145161551Y-67312493D01*
+X145164383Y-67314385D01*
+X145157037Y-67325379D01*
+X145141367Y-67344472D01*
+X145027095Y-67458744D01*
+X144964783Y-67492770D01*
+X144893968Y-67487705D01*
+X144837132Y-67445158D01*
+X144812000Y-67369649D01*
+X144812000Y-67262500D01*
+X144795332Y-67157265D01*
+X144793998Y-67148839D01*
+X144793998Y-67148838D01*
+X144792447Y-67139048D01*
+X144735702Y-67027680D01*
+X144647320Y-66939298D01*
+X144535952Y-66882553D01*
+X144526162Y-66881002D01*
+X144526161Y-66881002D01*
+X144479766Y-66873654D01*
+X144412500Y-66863000D01*
+X143837500Y-66863000D01*
+X143770234Y-66873654D01*
+X143723839Y-66881002D01*
+X143723838Y-66881002D01*
+X143714048Y-66882553D01*
+X143602680Y-66939298D01*
+X143514298Y-67027680D01*
+X143457553Y-67139048D01*
+X143456002Y-67148838D01*
+X143456002Y-67148839D01*
+X143454668Y-67157265D01*
+X143438000Y-67262500D01*
+X135357000Y-67262500D01*
+X135357000Y-64615167D01*
+X186892114Y-64615167D01*
+X186894813Y-64624191D01*
+X186894813Y-64624193D01*
+X186910800Y-64677649D01*
+X186931517Y-64746923D01*
+X186941691Y-64762149D01*
+X186998073Y-64846529D01*
+X187007921Y-64861268D01*
+X187114568Y-64948093D01*
+X187242032Y-64999721D01*
+X187251417Y-65000534D01*
+X187251418Y-65000534D01*
+X187369661Y-65010775D01*
+X187369664Y-65010775D01*
+X187379041Y-65011587D01*
+X187388242Y-65009606D01*
+X187388244Y-65009606D01*
+X187504278Y-64984625D01*
+X187504281Y-64984624D01*
+X187513482Y-64982643D01*
+X187521694Y-64978044D01*
+X187521697Y-64978043D01*
+X187625254Y-64920048D01*
+X187633470Y-64915447D01*
+X187666856Y-64880449D01*
+X187699214Y-64846529D01*
+X187790384Y-64807500D01*
+X187841151Y-64807500D01*
+X187930245Y-64844404D01*
+X188874010Y-65788170D01*
+X188889674Y-65807257D01*
+X188890129Y-65807938D01*
+X188890131Y-65807940D01*
+X188897023Y-65818255D01*
+X188907338Y-65825147D01*
+X188952302Y-65855190D01*
+X188982185Y-65875157D01*
+X188994356Y-65877578D01*
+X189057503Y-65890139D01*
+X189057504Y-65890139D01*
+X189082639Y-65895139D01*
+X189094809Y-65892718D01*
+X189094811Y-65892718D01*
+X189095605Y-65892560D01*
+X189120187Y-65890139D01*
+X190479813Y-65890139D01*
+X190504395Y-65892560D01*
+X190505189Y-65892718D01*
+X190505191Y-65892718D01*
+X190517361Y-65895139D01*
+X190529531Y-65892718D01*
+X190530326Y-65892560D01*
+X190542496Y-65890139D01*
+X190542497Y-65890139D01*
+X190617815Y-65875157D01*
+X190647699Y-65855190D01*
+X190692662Y-65825147D01*
+X190702977Y-65818255D01*
+X190709869Y-65807940D01*
+X190709871Y-65807938D01*
+X190710328Y-65807254D01*
+X190725998Y-65788161D01*
+X192569755Y-63944405D01*
+X192658850Y-63907500D01*
+X194491150Y-63907500D01*
+X194580246Y-63944405D01*
+X194960546Y-64324706D01*
+X195334367Y-64698527D01*
+X195350036Y-64717620D01*
+X195350489Y-64718298D01*
+X195350491Y-64718300D01*
+X195357383Y-64728615D01*
+X195442545Y-64785517D01*
+X195454716Y-64787938D01*
+X195517862Y-64800499D01*
+X195530827Y-64803078D01*
+X195530828Y-64803078D01*
+X195542998Y-64805499D01*
+X195555168Y-64803078D01*
+X195555170Y-64803078D01*
+X195555964Y-64802920D01*
+X195580546Y-64800499D01*
+X196874149Y-64800499D01*
+X196963245Y-64837404D01*
+X197350267Y-65224427D01*
+X197721368Y-65595528D01*
+X197737037Y-65614621D01*
+X197737490Y-65615299D01*
+X197737492Y-65615301D01*
+X197744384Y-65625616D01*
+X197829546Y-65682518D01*
+X197841717Y-65684939D01*
+X197904864Y-65697500D01*
+X197904865Y-65697500D01*
+X197930000Y-65702500D01*
+X197942170Y-65700079D01*
+X197942172Y-65700079D01*
+X197942966Y-65699921D01*
+X197967548Y-65697500D01*
+X201322452Y-65697500D01*
+X201347034Y-65699921D01*
+X201347828Y-65700079D01*
+X201347830Y-65700079D01*
+X201360000Y-65702500D01*
+X201372170Y-65700079D01*
+X201372965Y-65699921D01*
+X201385135Y-65697500D01*
+X201385136Y-65697500D01*
+X201460454Y-65682518D01*
+X201545616Y-65625616D01*
+X201552968Y-65614614D01*
+X201568634Y-65595526D01*
+X201921154Y-65243006D01*
+X201983466Y-65208980D01*
+X202021120Y-65206571D01*
+X202069660Y-65210775D01*
+X202069663Y-65210775D01*
+X202079041Y-65211587D01*
+X202088242Y-65209606D01*
+X202088244Y-65209606D01*
+X202204278Y-65184625D01*
+X202204281Y-65184624D01*
+X202213482Y-65182643D01*
+X202221694Y-65178044D01*
+X202221697Y-65178043D01*
+X202325254Y-65120048D01*
+X202333470Y-65115447D01*
+X202428394Y-65015940D01*
+X202489864Y-64892920D01*
+X202495855Y-64856929D01*
+X202511630Y-64762149D01*
+X202512443Y-64757265D01*
+X202512500Y-64750000D01*
+X202492054Y-64614006D01*
+X202432525Y-64490036D01*
+X202339175Y-64389051D01*
+X202331031Y-64384320D01*
+X202331029Y-64384319D01*
+X202228399Y-64324706D01*
+X202228395Y-64324704D01*
+X202220258Y-64319978D01*
+X202142862Y-64302039D01*
+X202095465Y-64291053D01*
+X202095463Y-64291053D01*
+X202086287Y-64288926D01*
+X202076891Y-64289591D01*
+X202076888Y-64289591D01*
+X201958511Y-64297972D01*
+X201958509Y-64297973D01*
+X201949109Y-64298638D01*
+X201820850Y-64348258D01*
+X201712852Y-64433397D01*
+X201634662Y-64546528D01*
+X201631823Y-64555505D01*
+X201631822Y-64555507D01*
+X201612954Y-64615167D01*
+X201593194Y-64677649D01*
+X201593120Y-64687070D01*
+X201592293Y-64792344D01*
+X201555392Y-64880449D01*
+X201290246Y-65145595D01*
+X201201151Y-65182500D01*
+X198088850Y-65182500D01*
+X197999755Y-65145595D01*
+X197241633Y-64387473D01*
+X197225967Y-64368385D01*
+X197218615Y-64357383D01*
+X197133453Y-64300481D01*
+X197058135Y-64285499D01*
+X197058134Y-64285499D01*
+X197032999Y-64280499D01*
+X197020829Y-64282920D01*
+X197020827Y-64282920D01*
+X197020033Y-64283078D01*
+X196995451Y-64285499D01*
+X195701849Y-64285499D01*
+X195612754Y-64248594D01*
+X194858634Y-63494474D01*
+X194842968Y-63475386D01*
+X194835616Y-63464384D01*
+X194787797Y-63432433D01*
+X194760772Y-63414376D01*
+X194750454Y-63407482D01*
+X194675136Y-63392500D01*
+X194675135Y-63392500D01*
+X194650000Y-63387500D01*
+X194637830Y-63389921D01*
+X194637828Y-63389921D01*
+X194637034Y-63390079D01*
+X194612452Y-63392500D01*
+X192537548Y-63392500D01*
+X192512966Y-63390079D01*
+X192512172Y-63389921D01*
+X192512170Y-63389921D01*
+X192500000Y-63387500D01*
+X192474865Y-63392500D01*
+X192474864Y-63392500D01*
+X192399546Y-63407482D01*
+X192389228Y-63414376D01*
+X192362203Y-63432433D01*
+X192314384Y-63464384D01*
+X192307492Y-63474699D01*
+X192307490Y-63474701D01*
+X192307035Y-63475382D01*
+X192291366Y-63494474D01*
+X191357798Y-64428043D01*
+X190447607Y-65338234D01*
+X190358512Y-65375139D01*
+X189241489Y-65375139D01*
+X189152396Y-65338236D01*
+X188208632Y-64394473D01*
+X188192967Y-64375385D01*
+X188192510Y-64374701D01*
+X188192508Y-64374699D01*
+X188185616Y-64364384D01*
+X188100454Y-64307482D01*
+X188025136Y-64292500D01*
+X188025135Y-64292500D01*
+X188017861Y-64291053D01*
+X188000000Y-64287500D01*
+X187987830Y-64289921D01*
+X187987828Y-64289921D01*
+X187987034Y-64290079D01*
+X187962452Y-64292500D01*
+X187789916Y-64292500D01*
+X187697392Y-64252029D01*
+X187645572Y-64195971D01*
+X187645571Y-64195970D01*
+X187639175Y-64189051D01*
+X187631031Y-64184320D01*
+X187631029Y-64184319D01*
+X187528399Y-64124706D01*
+X187528395Y-64124704D01*
+X187520258Y-64119978D01*
+X187475023Y-64109493D01*
+X187395465Y-64091053D01*
+X187395463Y-64091053D01*
+X187386287Y-64088926D01*
+X187376891Y-64089591D01*
+X187376888Y-64089591D01*
+X187258511Y-64097972D01*
+X187258509Y-64097973D01*
+X187249109Y-64098638D01*
+X187120850Y-64148258D01*
+X187012852Y-64233397D01*
+X186934662Y-64346528D01*
+X186931823Y-64355505D01*
+X186931822Y-64355507D01*
+X186925752Y-64374701D01*
+X186893194Y-64477649D01*
+X186892114Y-64615167D01*
+X135357000Y-64615167D01*
+X135357000Y-62389242D01*
+X146400490Y-62389242D01*
+X146408872Y-62444018D01*
+X146432475Y-62598258D01*
+X146434429Y-62611029D01*
+X146457210Y-62677567D01*
+X146504786Y-62816525D01*
+X146507106Y-62823302D01*
+X146616224Y-63019349D01*
+X146619776Y-63023689D01*
+X146619779Y-63023693D01*
+X146724264Y-63151348D01*
+X146758335Y-63192975D01*
+X146762603Y-63196620D01*
+X146924682Y-63335049D01*
+X146924686Y-63335052D01*
+X146928947Y-63338691D01*
+X147122667Y-63451892D01*
+X147127933Y-63453819D01*
+X147127937Y-63453821D01*
+X147328106Y-63527072D01*
+X147328109Y-63527073D01*
+X147333370Y-63528998D01*
+X147338886Y-63529961D01*
+X147338891Y-63529962D01*
+X147466952Y-63552312D01*
+X147554399Y-63567574D01*
+X147560005Y-63567545D01*
+X147560009Y-63567545D01*
+X147663025Y-63567005D01*
+X147778765Y-63566399D01*
+X147781619Y-63565870D01*
+X149188099Y-63565870D01*
+X149188615Y-63572014D01*
+X149203437Y-63748522D01*
+X149204626Y-63762685D01*
+X149206325Y-63768609D01*
+X149256733Y-63944405D01*
+X149259066Y-63952542D01*
+X149261885Y-63958027D01*
+X149346529Y-64122727D01*
+X149346532Y-64122732D01*
+X149349347Y-64128209D01*
+X149472028Y-64282994D01*
+X149476722Y-64286988D01*
+X149476722Y-64286989D01*
+X149584479Y-64378697D01*
+X149622438Y-64411003D01*
+X149627816Y-64414009D01*
+X149627818Y-64414010D01*
+X149669380Y-64437238D01*
+X149794847Y-64507359D01*
+X149982688Y-64568392D01*
+X150178806Y-64591778D01*
+X150184941Y-64591306D01*
+X150184943Y-64591306D01*
+X150369589Y-64577098D01*
+X150369592Y-64577097D01*
+X150375732Y-64576625D01*
+X150565964Y-64523512D01*
+X150742257Y-64434460D01*
+X150750471Y-64428043D01*
+X150893039Y-64316656D01*
+X150897895Y-64312862D01*
+X151026951Y-64163350D01*
+X151030070Y-64157861D01*
+X151121465Y-63996974D01*
+X151121466Y-63996973D01*
+X151124508Y-63991617D01*
+X151126941Y-63984305D01*
+X151163861Y-63873316D01*
+X151186851Y-63804207D01*
+X151211605Y-63608257D01*
+X151212000Y-63580000D01*
+X151192727Y-63383435D01*
+X151135641Y-63194357D01*
+X151085547Y-63100144D01*
+X151045810Y-63025410D01*
+X151045808Y-63025407D01*
+X151042916Y-63019968D01*
+X150918086Y-62866910D01*
+X150765903Y-62741014D01*
+X150592166Y-62647074D01*
+X150403491Y-62588670D01*
+X150397366Y-62588026D01*
+X150397365Y-62588026D01*
+X150213193Y-62568669D01*
+X150213192Y-62568669D01*
+X150207065Y-62568025D01*
+X150086743Y-62578975D01*
+X150016511Y-62585366D01*
+X150016510Y-62585366D01*
+X150010370Y-62585925D01*
+X149968735Y-62598179D01*
+X149826809Y-62639950D01*
+X149826806Y-62639951D01*
+X149820898Y-62641690D01*
+X149645866Y-62733195D01*
+X149641068Y-62737053D01*
+X149641066Y-62737054D01*
+X149584909Y-62782206D01*
+X149491941Y-62856954D01*
+X149487982Y-62861672D01*
+X149487981Y-62861673D01*
+X149413417Y-62950534D01*
+X149364985Y-63008253D01*
+X149362022Y-63013642D01*
+X149362019Y-63013647D01*
+X149286318Y-63151348D01*
+X149269835Y-63181331D01*
+X149210115Y-63369593D01*
+X149209429Y-63375710D01*
+X149209428Y-63375714D01*
+X149192626Y-63525511D01*
+X149188099Y-63565870D01*
+X147781619Y-63565870D01*
+X147999377Y-63525511D01*
+X148004626Y-63523528D01*
+X148004628Y-63523527D01*
+X148119392Y-63480161D01*
+X148209262Y-63446202D01*
+X148231918Y-63432643D01*
+X148303890Y-63389568D01*
+X148401785Y-63330979D01*
+X148406005Y-63327297D01*
+X148406010Y-63327294D01*
+X148566631Y-63187175D01*
+X148570861Y-63183485D01*
+X148634653Y-63103860D01*
+X148707641Y-63012757D01*
+X148707644Y-63012752D01*
+X148711147Y-63008380D01*
+X148818206Y-62811201D01*
+X148888657Y-62598179D01*
+X148890011Y-62588670D01*
+X148910690Y-62443360D01*
+X148920270Y-62376048D01*
+X148922000Y-62310000D01*
+X148920889Y-62297545D01*
+X148910701Y-62183397D01*
+X148909530Y-62170271D01*
+X157656996Y-62170271D01*
+X157663275Y-62242049D01*
+X157664549Y-62250280D01*
+X157728160Y-62534860D01*
+X157730516Y-62542864D01*
+X157831205Y-62816525D01*
+X157834595Y-62824141D01*
+X157970589Y-63082076D01*
+X157974967Y-63089192D01*
+X158143880Y-63326875D01*
+X158149151Y-63333338D01*
+X158348020Y-63546600D01*
+X158354104Y-63552312D01*
+X158579425Y-63737393D01*
+X158586206Y-63742248D01*
+X158834038Y-63895910D01*
+X158841398Y-63899823D01*
+X159107364Y-64019353D01*
+X159115187Y-64022263D01*
+X159394637Y-64105571D01*
+X159402758Y-64107416D01*
+X159691433Y-64153137D01*
+X159698408Y-64153845D01*
+X159788477Y-64157936D01*
+X159791310Y-64158000D01*
+X160127885Y-64158000D01*
+X160143124Y-64153525D01*
+X160144329Y-64152135D01*
+X160146000Y-64144452D01*
+X160146000Y-64139885D01*
+X160653999Y-64139885D01*
+X160658474Y-64155124D01*
+X160659864Y-64156329D01*
+X160667547Y-64158000D01*
+X160973181Y-64158000D01*
+X160977373Y-64157861D01*
+X161195125Y-64143398D01*
+X161203394Y-64142295D01*
+X161489231Y-64084659D01*
+X161497292Y-64082469D01*
+X161772998Y-63987536D01*
+X161780685Y-63984305D01*
+X162041410Y-63853743D01*
+X162048619Y-63849513D01*
+X162289783Y-63685619D01*
+X162296358Y-63680482D01*
+X162513735Y-63486125D01*
+X162519575Y-63480161D01*
+X162709336Y-63258762D01*
+X162714329Y-63252088D01*
+X162873144Y-63007536D01*
+X162877218Y-63000246D01*
+X163002288Y-62736848D01*
+X163005364Y-62729080D01*
+X163094501Y-62451449D01*
+X163096518Y-62443360D01*
+X163106255Y-62389242D01*
+X166400490Y-62389242D01*
+X166408872Y-62444018D01*
+X166432475Y-62598258D01*
+X166434429Y-62611029D01*
+X166457210Y-62677567D01*
+X166504786Y-62816525D01*
+X166507106Y-62823302D01*
+X166616224Y-63019349D01*
+X166619776Y-63023689D01*
+X166619779Y-63023693D01*
+X166724264Y-63151348D01*
+X166758335Y-63192975D01*
+X166762603Y-63196620D01*
+X166924682Y-63335049D01*
+X166924686Y-63335052D01*
+X166928947Y-63338691D01*
+X167122667Y-63451892D01*
+X167127933Y-63453819D01*
+X167127937Y-63453821D01*
+X167328106Y-63527072D01*
+X167328109Y-63527073D01*
+X167333370Y-63528998D01*
+X167338886Y-63529961D01*
+X167338891Y-63529962D01*
+X167466952Y-63552312D01*
+X167554399Y-63567574D01*
+X167560005Y-63567545D01*
+X167560009Y-63567545D01*
+X167663025Y-63567005D01*
+X167778765Y-63566399D01*
+X167781619Y-63565870D01*
+X169188099Y-63565870D01*
+X169188615Y-63572014D01*
+X169203437Y-63748522D01*
+X169204626Y-63762685D01*
+X169206325Y-63768609D01*
+X169256733Y-63944405D01*
+X169259066Y-63952542D01*
+X169261885Y-63958027D01*
+X169346529Y-64122727D01*
+X169346532Y-64122732D01*
+X169349347Y-64128209D01*
+X169472028Y-64282994D01*
+X169476722Y-64286988D01*
+X169476722Y-64286989D01*
+X169584479Y-64378697D01*
+X169622438Y-64411003D01*
+X169627816Y-64414009D01*
+X169627818Y-64414010D01*
+X169669380Y-64437238D01*
+X169794847Y-64507359D01*
+X169982688Y-64568392D01*
+X170178806Y-64591778D01*
+X170184941Y-64591306D01*
+X170184943Y-64591306D01*
+X170369589Y-64577098D01*
+X170369592Y-64577097D01*
+X170375732Y-64576625D01*
+X170565964Y-64523512D01*
+X170742257Y-64434460D01*
+X170750471Y-64428043D01*
+X170893039Y-64316656D01*
+X170897895Y-64312862D01*
+X171026951Y-64163350D01*
+X171030070Y-64157861D01*
+X171121465Y-63996974D01*
+X171121466Y-63996973D01*
+X171124508Y-63991617D01*
+X171126941Y-63984305D01*
+X171163861Y-63873316D01*
+X171186851Y-63804207D01*
+X171211605Y-63608257D01*
+X171212000Y-63580000D01*
+X171192727Y-63383435D01*
+X171135641Y-63194357D01*
+X171085547Y-63100144D01*
+X171045810Y-63025410D01*
+X171045808Y-63025407D01*
+X171042916Y-63019968D01*
+X170918086Y-62866910D01*
+X170765903Y-62741014D01*
+X170592166Y-62647074D01*
+X170403491Y-62588670D01*
+X170397366Y-62588026D01*
+X170397365Y-62588026D01*
+X170213193Y-62568669D01*
+X170213192Y-62568669D01*
+X170207065Y-62568025D01*
+X170086743Y-62578975D01*
+X170016511Y-62585366D01*
+X170016510Y-62585366D01*
+X170010370Y-62585925D01*
+X169968735Y-62598179D01*
+X169826809Y-62639950D01*
+X169826806Y-62639951D01*
+X169820898Y-62641690D01*
+X169645866Y-62733195D01*
+X169641068Y-62737053D01*
+X169641066Y-62737054D01*
+X169584909Y-62782206D01*
+X169491941Y-62856954D01*
+X169487982Y-62861672D01*
+X169487981Y-62861673D01*
+X169413417Y-62950534D01*
+X169364985Y-63008253D01*
+X169362022Y-63013642D01*
+X169362019Y-63013647D01*
+X169286318Y-63151348D01*
+X169269835Y-63181331D01*
+X169210115Y-63369593D01*
+X169209429Y-63375710D01*
+X169209428Y-63375714D01*
+X169192626Y-63525511D01*
+X169188099Y-63565870D01*
+X167781619Y-63565870D01*
+X167999377Y-63525511D01*
+X168004626Y-63523528D01*
+X168004628Y-63523527D01*
+X168119392Y-63480161D01*
+X168209262Y-63446202D01*
+X168231918Y-63432643D01*
+X168303890Y-63389568D01*
+X168401785Y-63330979D01*
+X168406005Y-63327297D01*
+X168406010Y-63327294D01*
+X168566631Y-63187175D01*
+X168570861Y-63183485D01*
+X168634653Y-63103860D01*
+X168707641Y-63012757D01*
+X168707644Y-63012752D01*
+X168711147Y-63008380D01*
+X168818206Y-62811201D01*
+X168888657Y-62598179D01*
+X168890011Y-62588670D01*
+X168910690Y-62443360D01*
+X168920270Y-62376048D01*
+X168922000Y-62310000D01*
+X168920889Y-62297545D01*
+X168910701Y-62183397D01*
+X168902055Y-62086519D01*
+X168858312Y-61926620D01*
+X168844332Y-61875518D01*
+X168844331Y-61875514D01*
+X168842850Y-61870102D01*
+X168746256Y-61667590D01*
+X168730743Y-61646001D01*
+X168618604Y-61489941D01*
+X168618599Y-61489936D01*
+X168615328Y-61485383D01*
+X168454202Y-61329242D01*
+X168267973Y-61204101D01*
+X168125481Y-61141551D01*
+X168067669Y-61116173D01*
+X168067667Y-61116172D01*
+X168062527Y-61113916D01*
+X167902838Y-61075578D01*
+X167849814Y-61062848D01*
+X167849813Y-61062848D01*
+X167844357Y-61061538D01*
+X167759591Y-61056651D01*
+X167625967Y-61048946D01*
+X167625964Y-61048946D01*
+X167620360Y-61048623D01*
+X167397615Y-61075578D01*
+X167183165Y-61141551D01*
+X167178185Y-61144121D01*
+X167178181Y-61144123D01*
+X166988770Y-61241886D01*
+X166983787Y-61244458D01*
+X166805783Y-61381045D01*
+X166802010Y-61385191D01*
+X166802005Y-61385196D01*
+X166706695Y-61489941D01*
+X166654779Y-61546996D01*
+X166535550Y-61737064D01*
+X166451863Y-61945242D01*
+X166406364Y-62164949D01*
+X166406217Y-62170559D01*
+X166406217Y-62170560D01*
+X166406069Y-62176202D01*
+X166400490Y-62389242D01*
+X163106255Y-62389242D01*
+X163145375Y-62171830D01*
+X163143943Y-62158571D01*
+X163129333Y-62154000D01*
+X160672115Y-62153999D01*
+X160656876Y-62158474D01*
+X160655671Y-62159864D01*
+X160654000Y-62167547D01*
+X160653999Y-64139885D01*
+X160146000Y-64139885D01*
+X160146001Y-62172115D01*
+X160141526Y-62156876D01*
+X160140136Y-62155671D01*
+X160132453Y-62154000D01*
+X157673687Y-62153999D01*
+X157659059Y-62158294D01*
+X157656996Y-62170271D01*
+X148909530Y-62170271D01*
+X148902055Y-62086519D01*
+X148858312Y-61926620D01*
+X148844332Y-61875518D01*
+X148844331Y-61875514D01*
+X148842850Y-61870102D01*
+X148746256Y-61667590D01*
+X148730743Y-61646001D01*
+X148717931Y-61628170D01*
+X157654625Y-61628170D01*
+X157656057Y-61641429D01*
+X157670667Y-61646000D01*
+X160127885Y-61646001D01*
+X160143124Y-61641526D01*
+X160144329Y-61640136D01*
+X160146000Y-61632453D01*
+X160146000Y-61627885D01*
+X160653999Y-61627885D01*
+X160658474Y-61643124D01*
+X160659864Y-61644329D01*
+X160667547Y-61646000D01*
+X163126313Y-61646001D01*
+X163140941Y-61641706D01*
+X163143004Y-61629729D01*
+X163136725Y-61557951D01*
+X163135451Y-61549720D01*
+X163071840Y-61265140D01*
+X163069484Y-61257136D01*
+X162968795Y-60983475D01*
+X162965405Y-60975859D01*
+X162829411Y-60717924D01*
+X162825033Y-60710808D01*
+X162656120Y-60473125D01*
+X162650849Y-60466662D01*
+X162451980Y-60253400D01*
+X162445896Y-60247688D01*
+X162220575Y-60062607D01*
+X162213794Y-60057752D01*
+X161965962Y-59904090D01*
+X161958602Y-59900177D01*
+X161692636Y-59780647D01*
+X161684813Y-59777737D01*
+X161405363Y-59694429D01*
+X161397242Y-59692584D01*
+X161108567Y-59646863D01*
+X161101592Y-59646155D01*
+X161011523Y-59642064D01*
+X161008690Y-59642000D01*
+X160672115Y-59642000D01*
+X160656876Y-59646475D01*
+X160655671Y-59647865D01*
+X160654000Y-59655548D01*
+X160653999Y-61627885D01*
+X160146000Y-61627885D01*
+X160146001Y-59660115D01*
+X160141526Y-59644876D01*
+X160140136Y-59643671D01*
+X160132453Y-59642000D01*
+X159826819Y-59642000D01*
+X159822627Y-59642139D01*
+X159604875Y-59656602D01*
+X159596606Y-59657705D01*
+X159310769Y-59715341D01*
+X159302708Y-59717531D01*
+X159027002Y-59812464D01*
+X159019315Y-59815695D01*
+X158758590Y-59946257D01*
+X158751381Y-59950487D01*
+X158510217Y-60114381D01*
+X158503642Y-60119518D01*
+X158286265Y-60313875D01*
+X158280425Y-60319839D01*
+X158090664Y-60541238D01*
+X158085671Y-60547912D01*
+X157926856Y-60792464D01*
+X157922782Y-60799754D01*
+X157797712Y-61063152D01*
+X157794636Y-61070920D01*
+X157705499Y-61348551D01*
+X157703482Y-61356640D01*
+X157654625Y-61628170D01*
+X148717931Y-61628170D01*
+X148618604Y-61489941D01*
+X148618599Y-61489936D01*
+X148615328Y-61485383D01*
+X148454202Y-61329242D01*
+X148267973Y-61204101D01*
+X148125481Y-61141551D01*
+X148067669Y-61116173D01*
+X148067667Y-61116172D01*
+X148062527Y-61113916D01*
+X147902838Y-61075578D01*
+X147849814Y-61062848D01*
+X147849813Y-61062848D01*
+X147844357Y-61061538D01*
+X147759591Y-61056651D01*
+X147625967Y-61048946D01*
+X147625964Y-61048946D01*
+X147620360Y-61048623D01*
+X147397615Y-61075578D01*
+X147183165Y-61141551D01*
+X147178185Y-61144121D01*
+X147178181Y-61144123D01*
+X146988770Y-61241886D01*
+X146983787Y-61244458D01*
+X146805783Y-61381045D01*
+X146802010Y-61385191D01*
+X146802005Y-61385196D01*
+X146706695Y-61489941D01*
+X146654779Y-61546996D01*
+X146535550Y-61737064D01*
+X146451863Y-61945242D01*
+X146406364Y-62164949D01*
+X146406217Y-62170559D01*
+X146406217Y-62170560D01*
+X146406069Y-62176202D01*
+X146400490Y-62389242D01*
+X135357000Y-62389242D01*
+X135357000Y-58477360D01*
+X148038119Y-58477360D01*
+X148055048Y-58770970D01*
+X148055873Y-58775175D01*
+X148055874Y-58775183D01*
+X148069061Y-58842395D01*
+X148111668Y-59059567D01*
+X148113055Y-59063617D01*
+X148113056Y-59063622D01*
+X148192237Y-59294889D01*
+X148206932Y-59337809D01*
+X148339076Y-59600548D01*
+X148341502Y-59604077D01*
+X148341505Y-59604083D01*
+X148499098Y-59833382D01*
+X148505655Y-59842922D01*
+X148703586Y-60060446D01*
+X148791627Y-60134059D01*
+X148925916Y-60246343D01*
+X148925921Y-60246347D01*
+X148929208Y-60249095D01*
+X149032476Y-60313875D01*
+X149174705Y-60403095D01*
+X149174709Y-60403097D01*
+X149178345Y-60405378D01*
+X149304431Y-60462308D01*
+X149442475Y-60524638D01*
+X149442479Y-60524640D01*
+X149446387Y-60526404D01*
+X149450507Y-60527624D01*
+X149450506Y-60527624D01*
+X149724261Y-60608714D01*
+X149724265Y-60608715D01*
+X149728374Y-60609932D01*
+X149732608Y-60610580D01*
+X149732613Y-60610581D01*
+X149991302Y-60650166D01*
+X150019089Y-60654418D01*
+X150168859Y-60656771D01*
+X150308859Y-60658970D01*
+X150308865Y-60658970D01*
+X150313150Y-60659037D01*
+X150605118Y-60623705D01*
+X150747354Y-60586390D01*
+X150885447Y-60550162D01*
+X150885448Y-60550162D01*
+X150889590Y-60549075D01*
+X151161301Y-60436529D01*
+X151415224Y-60288148D01*
+X151646660Y-60106679D01*
+X151851327Y-59895480D01*
+X151853860Y-59892032D01*
+X151853864Y-59892027D01*
+X152022899Y-59661913D01*
+X152025437Y-59658458D01*
+X152027483Y-59654690D01*
+X152163718Y-59403775D01*
+X152163719Y-59403773D01*
+X152165768Y-59399999D01*
+X152241453Y-59199707D01*
+X152268207Y-59128905D01*
+X152268208Y-59128901D01*
+X152269725Y-59124887D01*
+X152317625Y-58915744D01*
+X152334424Y-58842395D01*
+X152334425Y-58842391D01*
+X152335382Y-58838211D01*
+X152339484Y-58792256D01*
+X152359024Y-58573316D01*
+X152361526Y-58545278D01*
+X152362000Y-58500000D01*
+X152361235Y-58488780D01*
+X152360457Y-58477360D01*
+X168038119Y-58477360D01*
+X168055048Y-58770970D01*
+X168055873Y-58775175D01*
+X168055874Y-58775183D01*
+X168069061Y-58842395D01*
+X168111668Y-59059567D01*
+X168113055Y-59063617D01*
+X168113056Y-59063622D01*
+X168192237Y-59294889D01*
+X168206932Y-59337809D01*
+X168339076Y-59600548D01*
+X168341502Y-59604077D01*
+X168341505Y-59604083D01*
+X168499098Y-59833382D01*
+X168505655Y-59842922D01*
+X168703586Y-60060446D01*
+X168791627Y-60134059D01*
+X168925916Y-60246343D01*
+X168925921Y-60246347D01*
+X168929208Y-60249095D01*
+X169032476Y-60313875D01*
+X169174705Y-60403095D01*
+X169174709Y-60403097D01*
+X169178345Y-60405378D01*
+X169304431Y-60462308D01*
+X169442475Y-60524638D01*
+X169442479Y-60524640D01*
+X169446387Y-60526404D01*
+X169450507Y-60527624D01*
+X169450506Y-60527624D01*
+X169724261Y-60608714D01*
+X169724265Y-60608715D01*
+X169728374Y-60609932D01*
+X169732608Y-60610580D01*
+X169732613Y-60610581D01*
+X169991302Y-60650166D01*
+X170019089Y-60654418D01*
+X170168859Y-60656771D01*
+X170308859Y-60658970D01*
+X170308865Y-60658970D01*
+X170313150Y-60659037D01*
+X170605118Y-60623705D01*
+X170747354Y-60586390D01*
+X170885447Y-60550162D01*
+X170885448Y-60550162D01*
+X170889590Y-60549075D01*
+X171161301Y-60436529D01*
+X171415224Y-60288148D01*
+X171646660Y-60106679D01*
+X171851327Y-59895480D01*
+X171853860Y-59892032D01*
+X171853864Y-59892027D01*
+X172022899Y-59661913D01*
+X172025437Y-59658458D01*
+X172027483Y-59654690D01*
+X172163718Y-59403775D01*
+X172163719Y-59403773D01*
+X172165768Y-59399999D01*
+X172241453Y-59199707D01*
+X172268207Y-59128905D01*
+X172268208Y-59128901D01*
+X172269725Y-59124887D01*
+X172317625Y-58915744D01*
+X172334424Y-58842395D01*
+X172334425Y-58842391D01*
+X172335382Y-58838211D01*
+X172339484Y-58792256D01*
+X172359024Y-58573316D01*
+X172361526Y-58545278D01*
+X172362000Y-58500000D01*
+X172361235Y-58488780D01*
+X172342289Y-58210860D01*
+X172342288Y-58210854D01*
+X172341997Y-58206583D01*
+X172312232Y-58062852D01*
+X172283228Y-57922801D01*
+X172282357Y-57918595D01*
+X172184186Y-57641366D01*
+X172049298Y-57380026D01*
+X172038405Y-57364526D01*
+X171935105Y-57217545D01*
+X171880190Y-57139409D01*
+X171740134Y-56988691D01*
+X171682912Y-56927113D01*
+X171682909Y-56927111D01*
+X171679991Y-56923970D01*
+X171452406Y-56737694D01*
+X171201646Y-56584028D01*
+X171197729Y-56582309D01*
+X171197726Y-56582307D01*
+X170960585Y-56478210D01*
+X170932351Y-56465816D01*
+X170928223Y-56464640D01*
+X170928220Y-56464639D01*
+X170822854Y-56434625D01*
+X170649505Y-56385245D01*
+X170645263Y-56384641D01*
+X170645257Y-56384640D01*
+X170362592Y-56344411D01*
+X170358341Y-56343806D01*
+X170203328Y-56342994D01*
+X170068533Y-56342288D01*
+X170068526Y-56342288D01*
+X170064247Y-56342266D01*
+X170060002Y-56342825D01*
+X170060000Y-56342825D01*
+X169994726Y-56351419D01*
+X169772665Y-56380654D01*
+X169488990Y-56458258D01*
+X169485042Y-56459942D01*
+X169222425Y-56571958D01*
+X169222421Y-56571960D01*
+X169218473Y-56573644D01*
+X169197385Y-56586265D01*
+X168969799Y-56722471D01*
+X168969795Y-56722474D01*
+X168966117Y-56724675D01*
+X168962774Y-56727353D01*
+X168962770Y-56727356D01*
+X168884455Y-56790099D01*
+X168736594Y-56908558D01*
+X168733650Y-56911660D01*
+X168733646Y-56911664D01*
+X168561260Y-57093321D01*
+X168534150Y-57121889D01*
+X168531651Y-57125367D01*
+X168531650Y-57125368D01*
+X168495686Y-57175418D01*
+X168362532Y-57360722D01*
+X168224915Y-57620635D01*
+X168195041Y-57702269D01*
+X168147657Y-57831753D01*
+X168123845Y-57896821D01*
+X168061193Y-58184168D01*
+X168038119Y-58477360D01*
+X152360457Y-58477360D01*
+X152342289Y-58210860D01*
+X152342288Y-58210854D01*
+X152341997Y-58206583D01*
+X152312232Y-58062852D01*
+X152283228Y-57922801D01*
+X152282357Y-57918595D01*
+X152184186Y-57641366D01*
+X152049298Y-57380026D01*
+X152038405Y-57364526D01*
+X151935105Y-57217545D01*
+X151880190Y-57139409D01*
+X151740134Y-56988691D01*
+X151682912Y-56927113D01*
+X151682909Y-56927111D01*
+X151679991Y-56923970D01*
+X151452406Y-56737694D01*
+X151201646Y-56584028D01*
+X151197729Y-56582309D01*
+X151197726Y-56582307D01*
+X150960585Y-56478210D01*
+X150932351Y-56465816D01*
+X150928223Y-56464640D01*
+X150928220Y-56464639D01*
+X150822854Y-56434625D01*
+X150649505Y-56385245D01*
+X150645263Y-56384641D01*
+X150645257Y-56384640D01*
+X150362592Y-56344411D01*
+X150358341Y-56343806D01*
+X150203328Y-56342994D01*
+X150068533Y-56342288D01*
+X150068526Y-56342288D01*
+X150064247Y-56342266D01*
+X150060002Y-56342825D01*
+X150060000Y-56342825D01*
+X149994726Y-56351419D01*
+X149772665Y-56380654D01*
+X149488990Y-56458258D01*
+X149485042Y-56459942D01*
+X149222425Y-56571958D01*
+X149222421Y-56571960D01*
+X149218473Y-56573644D01*
+X149197385Y-56586265D01*
+X148969799Y-56722471D01*
+X148969795Y-56722474D01*
+X148966117Y-56724675D01*
+X148962774Y-56727353D01*
+X148962770Y-56727356D01*
+X148884455Y-56790099D01*
+X148736594Y-56908558D01*
+X148733650Y-56911660D01*
+X148733646Y-56911664D01*
+X148561260Y-57093321D01*
+X148534150Y-57121889D01*
+X148531651Y-57125367D01*
+X148531650Y-57125368D01*
+X148495686Y-57175418D01*
+X148362532Y-57360722D01*
+X148224915Y-57620635D01*
+X148195041Y-57702269D01*
+X148147657Y-57831753D01*
+X148123845Y-57896821D01*
+X148061193Y-58184168D01*
+X148038119Y-58477360D01*
+X135357000Y-58477360D01*
+X135357000Y-56039242D01*
+X143860490Y-56039242D01*
+X143876355Y-56142920D01*
+X143892463Y-56248179D01*
+X143894429Y-56261029D01*
+X143911630Y-56311268D01*
+X143965134Y-56467541D01*
+X143967106Y-56473302D01*
+X144076224Y-56669349D01*
+X144079776Y-56673689D01*
+X144079779Y-56673693D01*
+X144185017Y-56802268D01*
+X144218335Y-56842975D01*
+X144253437Y-56872955D01*
+X144384682Y-56985049D01*
+X144384686Y-56985052D01*
+X144388947Y-56988691D01*
+X144582667Y-57101892D01*
+X144587933Y-57103819D01*
+X144587937Y-57103821D01*
+X144788106Y-57177072D01*
+X144788109Y-57177073D01*
+X144793370Y-57178998D01*
+X144798886Y-57179961D01*
+X144798891Y-57179962D01*
+X144977145Y-57211072D01*
+X145014399Y-57217574D01*
+X145020005Y-57217545D01*
+X145020009Y-57217545D01*
+X145123025Y-57217005D01*
+X145238765Y-57216399D01*
+X145459377Y-57175511D01*
+X145464626Y-57173528D01*
+X145464628Y-57173527D01*
+X145609511Y-57118780D01*
+X145669262Y-57096202D01*
+X145861785Y-56980979D01*
+X145866005Y-56977297D01*
+X145866010Y-56977294D01*
+X146026631Y-56837175D01*
+X146030861Y-56833485D01*
+X146045479Y-56815239D01*
+X146167641Y-56662757D01*
+X146167644Y-56662752D01*
+X146171147Y-56658380D01*
+X146278206Y-56461201D01*
+X146348657Y-56248179D01*
+X146357458Y-56186343D01*
+X146373418Y-56074193D01*
+X146378392Y-56039242D01*
+X163860490Y-56039242D01*
+X163876355Y-56142920D01*
+X163892463Y-56248179D01*
+X163894429Y-56261029D01*
+X163911630Y-56311268D01*
+X163965134Y-56467541D01*
+X163967106Y-56473302D01*
+X164076224Y-56669349D01*
+X164079776Y-56673689D01*
+X164079779Y-56673693D01*
+X164185017Y-56802268D01*
+X164218335Y-56842975D01*
+X164253437Y-56872955D01*
+X164384682Y-56985049D01*
+X164384686Y-56985052D01*
+X164388947Y-56988691D01*
+X164582667Y-57101892D01*
+X164587933Y-57103819D01*
+X164587937Y-57103821D01*
+X164788106Y-57177072D01*
+X164788109Y-57177073D01*
+X164793370Y-57178998D01*
+X164798886Y-57179961D01*
+X164798891Y-57179962D01*
+X164977145Y-57211072D01*
+X165014399Y-57217574D01*
+X165020005Y-57217545D01*
+X165020009Y-57217545D01*
+X165123025Y-57217005D01*
+X165238765Y-57216399D01*
+X165459377Y-57175511D01*
+X165464626Y-57173528D01*
+X165464628Y-57173527D01*
+X165609511Y-57118780D01*
+X165669262Y-57096202D01*
+X165861785Y-56980979D01*
+X165866005Y-56977297D01*
+X165866010Y-56977294D01*
+X166026631Y-56837175D01*
+X166030861Y-56833485D01*
+X166045479Y-56815239D01*
+X166167641Y-56662757D01*
+X166167644Y-56662752D01*
+X166171147Y-56658380D01*
+X166278206Y-56461201D01*
+X166348657Y-56248179D01*
+X166357458Y-56186343D01*
+X166373418Y-56074193D01*
+X166380270Y-56026048D01*
+X166382000Y-55960000D01*
+X166381253Y-55951623D01*
+X166369555Y-55820560D01*
+X166362055Y-55736519D01*
+X166318312Y-55576620D01*
+X166304332Y-55525518D01*
+X166304331Y-55525514D01*
+X166302850Y-55520102D01*
+X166206256Y-55317590D01*
+X166169903Y-55266999D01*
+X166078604Y-55139941D01*
+X166078599Y-55139936D01*
+X166075328Y-55135383D01*
+X165914202Y-54979242D01*
+X165727973Y-54854101D01*
+X165585481Y-54791551D01*
+X165527669Y-54766173D01*
+X165527667Y-54766172D01*
+X165522527Y-54763916D01*
+X165362838Y-54725578D01*
+X165309814Y-54712848D01*
+X165309813Y-54712848D01*
+X165304357Y-54711538D01*
+X165219591Y-54706651D01*
+X165085967Y-54698946D01*
+X165085964Y-54698946D01*
+X165080360Y-54698623D01*
+X164857615Y-54725578D01*
+X164643165Y-54791551D01*
+X164638185Y-54794121D01*
+X164638181Y-54794123D01*
+X164476747Y-54877446D01*
+X164443787Y-54894458D01*
+X164265783Y-55031045D01*
+X164262010Y-55035191D01*
+X164262005Y-55035196D01*
+X164166695Y-55139941D01*
+X164114779Y-55196996D01*
+X164043131Y-55311213D01*
+X164014035Y-55357597D01*
+X163995550Y-55387064D01*
+X163911863Y-55595242D01*
+X163866364Y-55814949D01*
+X163860490Y-56039242D01*
+X146378392Y-56039242D01*
+X146380270Y-56026048D01*
+X146382000Y-55960000D01*
+X146381253Y-55951623D01*
+X146369555Y-55820560D01*
+X146362055Y-55736519D01*
+X146318312Y-55576620D01*
+X146304332Y-55525518D01*
+X146304331Y-55525514D01*
+X146302850Y-55520102D01*
+X146206256Y-55317590D01*
+X146169903Y-55266999D01*
+X146078604Y-55139941D01*
+X146078599Y-55139936D01*
+X146075328Y-55135383D01*
+X145914202Y-54979242D01*
+X145727973Y-54854101D01*
+X145585481Y-54791551D01*
+X145527669Y-54766173D01*
+X145527667Y-54766172D01*
+X145522527Y-54763916D01*
+X145362838Y-54725578D01*
+X145309814Y-54712848D01*
+X145309813Y-54712848D01*
+X145304357Y-54711538D01*
+X145219591Y-54706651D01*
+X145085967Y-54698946D01*
+X145085964Y-54698946D01*
+X145080360Y-54698623D01*
+X144857615Y-54725578D01*
+X144643165Y-54791551D01*
+X144638185Y-54794121D01*
+X144638181Y-54794123D01*
+X144476747Y-54877446D01*
+X144443787Y-54894458D01*
+X144265783Y-55031045D01*
+X144262010Y-55035191D01*
+X144262005Y-55035196D01*
+X144166695Y-55139941D01*
+X144114779Y-55196996D01*
+X144043131Y-55311213D01*
+X144014035Y-55357597D01*
+X143995550Y-55387064D01*
+X143911863Y-55595242D01*
+X143866364Y-55814949D01*
+X143860490Y-56039242D01*
+X135357000Y-56039242D01*
+X135357000Y-53405870D01*
+X149188099Y-53405870D01*
+X149188615Y-53412014D01*
+X149200297Y-53551128D01*
+X149204626Y-53602685D01*
+X149216532Y-53644207D01*
+X149251225Y-53765196D01*
+X149259066Y-53792542D01*
+X149261885Y-53798027D01*
+X149346529Y-53962727D01*
+X149346532Y-53962732D01*
+X149349347Y-53968209D01*
+X149472028Y-54122994D01*
+X149476722Y-54126988D01*
+X149476722Y-54126989D01*
+X149545529Y-54185548D01*
+X149622438Y-54251003D01*
+X149627816Y-54254009D01*
+X149627818Y-54254010D01*
+X149657617Y-54270664D01*
+X149794847Y-54347359D01*
+X149982688Y-54408392D01*
+X150178806Y-54431778D01*
+X150184941Y-54431306D01*
+X150184943Y-54431306D01*
+X150369589Y-54417098D01*
+X150369592Y-54417097D01*
+X150375732Y-54416625D01*
+X150565964Y-54363512D01*
+X150742257Y-54274460D01*
+X150777392Y-54247010D01*
+X150893039Y-54156656D01*
+X150897895Y-54152862D01*
+X150923368Y-54123352D01*
+X151022924Y-54008015D01*
+X151026951Y-54003350D01*
+X151044170Y-53973040D01*
+X151121465Y-53836974D01*
+X151121466Y-53836973D01*
+X151124508Y-53831617D01*
+X151139024Y-53787982D01*
+X151166259Y-53706108D01*
+X151186851Y-53644207D01*
+X151211605Y-53448257D01*
+X151211875Y-53428946D01*
+X151211951Y-53423522D01*
+X151211951Y-53423518D01*
+X151212000Y-53420000D01*
+X151210615Y-53405870D01*
+X169188099Y-53405870D01*
+X169188615Y-53412014D01*
+X169200297Y-53551128D01*
+X169204626Y-53602685D01*
+X169216532Y-53644207D01*
+X169251225Y-53765196D01*
+X169259066Y-53792542D01*
+X169261885Y-53798027D01*
+X169346529Y-53962727D01*
+X169346532Y-53962732D01*
+X169349347Y-53968209D01*
+X169472028Y-54122994D01*
+X169476722Y-54126988D01*
+X169476722Y-54126989D01*
+X169545529Y-54185548D01*
+X169622438Y-54251003D01*
+X169627816Y-54254009D01*
+X169627818Y-54254010D01*
+X169657617Y-54270664D01*
+X169794847Y-54347359D01*
+X169982688Y-54408392D01*
+X170178806Y-54431778D01*
+X170184941Y-54431306D01*
+X170184943Y-54431306D01*
+X170369589Y-54417098D01*
+X170369592Y-54417097D01*
+X170375732Y-54416625D01*
+X170560036Y-54365167D01*
+X177242114Y-54365167D01*
+X177244813Y-54374191D01*
+X177244813Y-54374193D01*
+X177262035Y-54431778D01*
+X177281517Y-54496923D01*
+X177357921Y-54611268D01*
+X177464568Y-54698093D01*
+X177473299Y-54701629D01*
+X177473300Y-54701630D01*
+X177503774Y-54713973D01*
+X177592032Y-54749721D01*
+X177601417Y-54750534D01*
+X177601418Y-54750534D01*
+X177617374Y-54751916D01*
+X177683514Y-54777722D01*
+X177725203Y-54835190D01*
+X177732500Y-54877446D01*
+X177732501Y-55927040D01*
+X177730080Y-55951623D01*
+X177723488Y-55984761D01*
+X177725909Y-55996931D01*
+X177725909Y-55996933D01*
+X177726067Y-55997727D01*
+X177728488Y-56022309D01*
+X177728489Y-56777686D01*
+X177726068Y-56802268D01*
+X177723488Y-56815239D01*
+X177725909Y-56827409D01*
+X177730079Y-56848372D01*
+X177732500Y-56872955D01*
+X177732501Y-59257336D01*
+X177730080Y-59281918D01*
+X177727500Y-59294889D01*
+X177746326Y-59389529D01*
+X177747483Y-59395343D01*
+X177804385Y-59480505D01*
+X177814700Y-59487397D01*
+X177814702Y-59487399D01*
+X177815383Y-59487854D01*
+X177834476Y-59503524D01*
+X180159156Y-61828205D01*
+X180193182Y-61890517D01*
+X180188117Y-61961333D01*
+X180145570Y-62018168D01*
+X180078960Y-62042985D01*
+X180048899Y-62045113D01*
+X180008511Y-62047972D01*
+X180008509Y-62047973D01*
+X179999109Y-62048638D01*
+X179870850Y-62098258D01*
+X179762852Y-62183397D01*
+X179684662Y-62296528D01*
+X179681823Y-62305505D01*
+X179681822Y-62305507D01*
+X179653587Y-62394788D01*
+X179643194Y-62427649D01*
+X179642114Y-62565167D01*
+X179644813Y-62574191D01*
+X179644813Y-62574193D01*
+X179664210Y-62639051D01*
+X179681517Y-62696923D01*
+X179708332Y-62737054D01*
+X179734317Y-62775942D01*
+X179757921Y-62811268D01*
+X179765222Y-62817212D01*
+X179765223Y-62817213D01*
+X179772702Y-62823302D01*
+X179864568Y-62898093D01*
+X179873299Y-62901629D01*
+X179873300Y-62901630D01*
+X179928300Y-62923907D01*
+X179992032Y-62949721D01*
+X180001417Y-62950534D01*
+X180001418Y-62950534D01*
+X180119661Y-62960775D01*
+X180119664Y-62960775D01*
+X180129041Y-62961587D01*
+X180138242Y-62959606D01*
+X180138244Y-62959606D01*
+X180254278Y-62934625D01*
+X180254281Y-62934624D01*
+X180263482Y-62932643D01*
+X180271694Y-62928044D01*
+X180271697Y-62928043D01*
+X180375254Y-62870048D01*
+X180383470Y-62865447D01*
+X180478394Y-62765940D01*
+X180539864Y-62642920D01*
+X180548236Y-62592624D01*
+X180561584Y-62512426D01*
+X180592499Y-62448513D01*
+X180653060Y-62411461D01*
+X180724039Y-62413032D01*
+X180774969Y-62444018D01*
+X182786475Y-64455524D01*
+X182802145Y-64474617D01*
+X182802600Y-64475298D01*
+X182802602Y-64475300D01*
+X182809494Y-64485615D01*
+X182894656Y-64542517D01*
+X182906827Y-64544938D01*
+X182969973Y-64557499D01*
+X182982938Y-64560078D01*
+X182982939Y-64560078D01*
+X182995109Y-64562499D01*
+X183007279Y-64560078D01*
+X183007281Y-64560078D01*
+X183008075Y-64559920D01*
+X183032657Y-64557499D01*
+X183762453Y-64557499D01*
+X183787035Y-64559920D01*
+X183787829Y-64560078D01*
+X183787831Y-64560078D01*
+X183800001Y-64562499D01*
+X183812171Y-64560078D01*
+X183812966Y-64559920D01*
+X183825136Y-64557499D01*
+X183825137Y-64557499D01*
+X183900455Y-64542517D01*
+X183985617Y-64485615D01*
+X183986409Y-64486800D01*
+X184036824Y-64459271D01*
+X184074478Y-64456862D01*
+X184119660Y-64460775D01*
+X184119663Y-64460775D01*
+X184129041Y-64461587D01*
+X184138242Y-64459606D01*
+X184138244Y-64459606D01*
+X184254278Y-64434625D01*
+X184254281Y-64434624D01*
+X184263482Y-64432643D01*
+X184271694Y-64428044D01*
+X184271697Y-64428043D01*
+X184375254Y-64370048D01*
+X184383470Y-64365447D01*
+X184478394Y-64265940D01*
+X184513356Y-64195971D01*
+X184535653Y-64151348D01*
+X184535653Y-64151347D01*
+X184539864Y-64142920D01*
+X184541509Y-64133040D01*
+X184550243Y-64080561D01*
+X184562443Y-64007265D01*
+X184562500Y-64000000D01*
+X184542054Y-63864006D01*
+X184510401Y-63798088D01*
+X184486600Y-63748522D01*
+X184486600Y-63748521D01*
+X184482525Y-63740036D01*
+X184389175Y-63639051D01*
+X184381029Y-63634319D01*
+X184373678Y-63628430D01*
+X184375642Y-63625979D01*
+X184337791Y-63586058D01*
+X184333959Y-63565870D01*
+X189188099Y-63565870D01*
+X189188615Y-63572014D01*
+X189203437Y-63748522D01*
+X189204626Y-63762685D01*
+X189206325Y-63768609D01*
+X189256733Y-63944405D01*
+X189259066Y-63952542D01*
+X189261885Y-63958027D01*
+X189346529Y-64122727D01*
+X189346532Y-64122732D01*
+X189349347Y-64128209D01*
+X189472028Y-64282994D01*
+X189476722Y-64286988D01*
+X189476722Y-64286989D01*
+X189584479Y-64378697D01*
+X189622438Y-64411003D01*
+X189627816Y-64414009D01*
+X189627818Y-64414010D01*
+X189669380Y-64437238D01*
+X189794847Y-64507359D01*
+X189982688Y-64568392D01*
+X190178806Y-64591778D01*
+X190184941Y-64591306D01*
+X190184943Y-64591306D01*
+X190369589Y-64577098D01*
+X190369592Y-64577097D01*
+X190375732Y-64576625D01*
+X190565964Y-64523512D01*
+X190742257Y-64434460D01*
+X190750471Y-64428043D01*
+X190893039Y-64316656D01*
+X190897895Y-64312862D01*
+X191026951Y-64163350D01*
+X191030070Y-64157861D01*
+X191121465Y-63996974D01*
+X191121466Y-63996973D01*
+X191124508Y-63991617D01*
+X191126941Y-63984305D01*
+X191163861Y-63873316D01*
+X191186851Y-63804207D01*
+X191211605Y-63608257D01*
+X191212000Y-63580000D01*
+X191192727Y-63383435D01*
+X191135641Y-63194357D01*
+X191085547Y-63100144D01*
+X191045810Y-63025410D01*
+X191045808Y-63025407D01*
+X191042916Y-63019968D01*
+X190918086Y-62866910D01*
+X190765903Y-62741014D01*
+X190592166Y-62647074D01*
+X190403491Y-62588670D01*
+X190397366Y-62588026D01*
+X190397365Y-62588026D01*
+X190213193Y-62568669D01*
+X190213192Y-62568669D01*
+X190207065Y-62568025D01*
+X190086743Y-62578975D01*
+X190016511Y-62585366D01*
+X190016510Y-62585366D01*
+X190010370Y-62585925D01*
+X189968735Y-62598179D01*
+X189826809Y-62639950D01*
+X189826806Y-62639951D01*
+X189820898Y-62641690D01*
+X189645866Y-62733195D01*
+X189641068Y-62737053D01*
+X189641066Y-62737054D01*
+X189584909Y-62782206D01*
+X189491941Y-62856954D01*
+X189487982Y-62861672D01*
+X189487981Y-62861673D01*
+X189413417Y-62950534D01*
+X189364985Y-63008253D01*
+X189362022Y-63013642D01*
+X189362019Y-63013647D01*
+X189286318Y-63151348D01*
+X189269835Y-63181331D01*
+X189210115Y-63369593D01*
+X189209429Y-63375710D01*
+X189209428Y-63375714D01*
+X189192626Y-63525511D01*
+X189188099Y-63565870D01*
+X184333959Y-63565870D01*
+X184324549Y-63516308D01*
+X184351120Y-63450471D01*
+X184388379Y-63418700D01*
+X184396100Y-63414376D01*
+X184483470Y-63365447D01*
+X184578394Y-63265940D01*
+X184639864Y-63142920D01*
+X184646984Y-63100146D01*
+X184661630Y-63012149D01*
+X184662443Y-63007265D01*
+X184662500Y-63000000D01*
+X184642054Y-62864006D01*
+X184582525Y-62740036D01*
+X184499300Y-62650004D01*
+X184495572Y-62645971D01*
+X184495571Y-62645970D01*
+X184489175Y-62639051D01*
+X184481031Y-62634320D01*
+X184481029Y-62634319D01*
+X184378399Y-62574706D01*
+X184378395Y-62574704D01*
+X184370258Y-62569978D01*
+X184285696Y-62550378D01*
+X184245465Y-62541053D01*
+X184245463Y-62541053D01*
+X184236287Y-62538926D01*
+X184226891Y-62539591D01*
+X184226888Y-62539591D01*
+X184108511Y-62547972D01*
+X184108509Y-62547973D01*
+X184099109Y-62548638D01*
+X183970850Y-62598258D01*
+X183862852Y-62683397D01*
+X183784662Y-62796528D01*
+X183781823Y-62805505D01*
+X183781822Y-62805507D01*
+X183762866Y-62865447D01*
+X183743194Y-62927649D01*
+X183743120Y-62937070D01*
+X183742293Y-63042342D01*
+X183705393Y-63130447D01*
+X183522533Y-63313308D01*
+X183344258Y-63491583D01*
+X183255162Y-63528488D01*
+X183144838Y-63528488D01*
+X183055743Y-63491583D01*
+X181327873Y-61763713D01*
+X188138926Y-61763713D01*
+X188139591Y-61773107D01*
+X188139591Y-61773109D01*
+X188142163Y-61809435D01*
+X188142474Y-61819322D01*
+X188142114Y-61865167D01*
+X188144813Y-61874192D01*
+X188146052Y-61882897D01*
+X188147972Y-61891489D01*
+X188148638Y-61900891D01*
+X188152038Y-61909679D01*
+X188165179Y-61943646D01*
+X188168383Y-61953006D01*
+X188181517Y-61996923D01*
+X188186750Y-62004754D01*
+X188190497Y-62012718D01*
+X188194861Y-62020368D01*
+X188198258Y-62029150D01*
+X188204088Y-62036545D01*
+X188204089Y-62036547D01*
+X188226636Y-62065147D01*
+X188232452Y-62073152D01*
+X188252688Y-62103438D01*
+X188252692Y-62103442D01*
+X188257921Y-62111268D01*
+X188265223Y-62117213D01*
+X188271153Y-62123729D01*
+X188277566Y-62129751D01*
+X188283397Y-62137148D01*
+X188291145Y-62142503D01*
+X188291146Y-62142504D01*
+X188321111Y-62163215D01*
+X188329019Y-62169153D01*
+X188357259Y-62192143D01*
+X188357261Y-62192144D01*
+X188364568Y-62198093D01*
+X188373300Y-62201630D01*
+X188380877Y-62206111D01*
+X188388777Y-62209981D01*
+X188396528Y-62215338D01*
+X188405511Y-62218179D01*
+X188440239Y-62229162D01*
+X188449547Y-62232513D01*
+X188492032Y-62249721D01*
+X188501419Y-62250534D01*
+X188510574Y-62252732D01*
+X188510531Y-62252913D01*
+X188513700Y-62253543D01*
+X188513754Y-62253267D01*
+X188520801Y-62254640D01*
+X188527649Y-62256806D01*
+X188536467Y-62257500D01*
+X188576406Y-62257500D01*
+X188587278Y-62257970D01*
+X188619661Y-62260775D01*
+X188619664Y-62260775D01*
+X188629041Y-62261587D01*
+X188638243Y-62259606D01*
+X188646572Y-62259082D01*
+X188661436Y-62257500D01*
+X191946782Y-62257500D01*
+X191961592Y-62258373D01*
+X191994527Y-62262271D01*
+X192003791Y-62260579D01*
+X192003794Y-62260579D01*
+X192053130Y-62251569D01*
+X192057032Y-62250919D01*
+X192106684Y-62243454D01*
+X192106686Y-62243453D01*
+X192115994Y-62242054D01*
+X192122603Y-62238880D01*
+X192129811Y-62237564D01*
+X192182707Y-62210087D01*
+X192186209Y-62208338D01*
+X192210136Y-62196848D01*
+X192239964Y-62182525D01*
+X192245274Y-62177617D01*
+X192245471Y-62177484D01*
+X192251850Y-62174170D01*
+X192258576Y-62168425D01*
+X192297889Y-62129112D01*
+X192301455Y-62125683D01*
+X192334032Y-62095569D01*
+X192340949Y-62089175D01*
+X192344481Y-62083094D01*
+X192349415Y-62077586D01*
+X193857553Y-60569449D01*
+X193919865Y-60535423D01*
+X193990681Y-60540488D01*
+X194047516Y-60583035D01*
+X194070030Y-60684094D01*
+X194026364Y-60894949D01*
+X194020490Y-61119242D01*
+X194033130Y-61201845D01*
+X194052463Y-61328179D01*
+X194054429Y-61341029D01*
+X194068361Y-61381720D01*
+X194102515Y-61481476D01*
+X194127106Y-61553302D01*
+X194236224Y-61749349D01*
+X194239776Y-61753689D01*
+X194239779Y-61753693D01*
+X194289448Y-61814376D01*
+X194378335Y-61922975D01*
+X194382603Y-61926620D01*
+X194544682Y-62065049D01*
+X194544686Y-62065052D01*
+X194548947Y-62068691D01*
+X194742667Y-62181892D01*
+X194747933Y-62183819D01*
+X194747937Y-62183821D01*
+X194948106Y-62257072D01*
+X194948109Y-62257073D01*
+X194953370Y-62258998D01*
+X194958886Y-62259961D01*
+X194958891Y-62259962D01*
+X195124023Y-62288782D01*
+X195174399Y-62297574D01*
+X195180005Y-62297545D01*
+X195180009Y-62297545D01*
+X195283025Y-62297005D01*
+X195398765Y-62296399D01*
+X195619377Y-62255511D01*
+X195624626Y-62253528D01*
+X195624628Y-62253527D01*
+X195725692Y-62215338D01*
+X195829262Y-62176202D01*
+X195839172Y-62170271D01*
+X197256996Y-62170271D01*
+X197263275Y-62242049D01*
+X197264549Y-62250280D01*
+X197328160Y-62534860D01*
+X197330516Y-62542864D01*
+X197431205Y-62816525D01*
+X197434595Y-62824141D01*
+X197570589Y-63082076D01*
+X197574967Y-63089192D01*
+X197743880Y-63326875D01*
+X197749151Y-63333338D01*
+X197948020Y-63546600D01*
+X197954104Y-63552312D01*
+X198179425Y-63737393D01*
+X198186206Y-63742248D01*
+X198434038Y-63895910D01*
+X198441398Y-63899823D01*
+X198707364Y-64019353D01*
+X198715187Y-64022263D01*
+X198994637Y-64105571D01*
+X199002758Y-64107416D01*
+X199291433Y-64153137D01*
+X199298408Y-64153845D01*
+X199388477Y-64157936D01*
+X199391310Y-64158000D01*
+X199727885Y-64158000D01*
+X199743124Y-64153525D01*
+X199744329Y-64152135D01*
+X199746000Y-64144452D01*
+X199746000Y-64139885D01*
+X200253999Y-64139885D01*
+X200258474Y-64155124D01*
+X200259864Y-64156329D01*
+X200267547Y-64158000D01*
+X200573181Y-64158000D01*
+X200577373Y-64157861D01*
+X200795125Y-64143398D01*
+X200803394Y-64142295D01*
+X201089231Y-64084659D01*
+X201097292Y-64082469D01*
+X201372998Y-63987536D01*
+X201380685Y-63984305D01*
+X201641410Y-63853743D01*
+X201648619Y-63849513D01*
+X201889783Y-63685619D01*
+X201896358Y-63680482D01*
+X202024544Y-63565870D01*
+X209188099Y-63565870D01*
+X209188615Y-63572014D01*
+X209203437Y-63748522D01*
+X209204626Y-63762685D01*
+X209206325Y-63768609D01*
+X209256733Y-63944405D01*
+X209259066Y-63952542D01*
+X209261885Y-63958027D01*
+X209346529Y-64122727D01*
+X209346532Y-64122732D01*
+X209349347Y-64128209D01*
+X209472028Y-64282994D01*
+X209476722Y-64286988D01*
+X209476722Y-64286989D01*
+X209584479Y-64378697D01*
+X209622438Y-64411003D01*
+X209627816Y-64414009D01*
+X209627818Y-64414010D01*
+X209669380Y-64437238D01*
+X209794847Y-64507359D01*
+X209982688Y-64568392D01*
+X210178806Y-64591778D01*
+X210184941Y-64591306D01*
+X210184943Y-64591306D01*
+X210369589Y-64577098D01*
+X210369592Y-64577097D01*
+X210375732Y-64576625D01*
+X210565964Y-64523512D01*
+X210742257Y-64434460D01*
+X210750471Y-64428043D01*
+X210893039Y-64316656D01*
+X210897895Y-64312862D01*
+X211026951Y-64163350D01*
+X211030070Y-64157861D01*
+X211121465Y-63996974D01*
+X211121466Y-63996973D01*
+X211124508Y-63991617D01*
+X211126941Y-63984305D01*
+X211163861Y-63873316D01*
+X211186851Y-63804207D01*
+X211211605Y-63608257D01*
+X211211606Y-63608174D01*
+X212869008Y-63608174D01*
+X212870427Y-63621414D01*
+X212885062Y-63626000D01*
+X213927885Y-63626000D01*
+X213943124Y-63621525D01*
+X213944329Y-63620135D01*
+X213946000Y-63612452D01*
+X213946000Y-62563717D01*
+X213945329Y-62561430D01*
+X214454000Y-62561430D01*
+X214454000Y-63607885D01*
+X214458475Y-63623124D01*
+X214459865Y-63624329D01*
+X214467548Y-63626000D01*
+X215519079Y-63626000D01*
+X215530190Y-63622738D01*
+X215531741Y-63604992D01*
+X215486954Y-63432433D01*
+X215483419Y-63422395D01*
+X215393147Y-63221998D01*
+X215387967Y-63212692D01*
+X215265218Y-63030366D01*
+X215258557Y-63022080D01*
+X215106830Y-62863030D01*
+X215098873Y-62855990D01*
+X214922523Y-62724782D01*
+X214913486Y-62719178D01*
+X214717550Y-62619559D01*
+X214707699Y-62615559D01*
+X214497778Y-62550378D01*
+X214487396Y-62548095D01*
+X214471959Y-62546049D01*
+X214457792Y-62548246D01*
+X214454000Y-62561430D01*
+X213945329Y-62561430D01*
+X213942426Y-62551545D01*
+X213926250Y-62549746D01*
+X213813554Y-62573391D01*
+X213803358Y-62576451D01*
+X213598932Y-62657182D01*
+X213589396Y-62661916D01*
+X213401486Y-62775942D01*
+X213392896Y-62782206D01*
+X213226884Y-62926264D01*
+X213219464Y-62933895D01*
+X213080100Y-63103860D01*
+X213074075Y-63112627D01*
+X212965342Y-63303644D01*
+X212960877Y-63313308D01*
+X212885882Y-63519916D01*
+X212883111Y-63530184D01*
+X212869008Y-63608174D01*
+X211211606Y-63608174D01*
+X211212000Y-63580000D01*
+X211192727Y-63383435D01*
+X211135641Y-63194357D01*
+X211085547Y-63100144D01*
+X211045810Y-63025410D01*
+X211045808Y-63025407D01*
+X211042916Y-63019968D01*
+X210918086Y-62866910D01*
+X210765903Y-62741014D01*
+X210592166Y-62647074D01*
+X210403491Y-62588670D01*
+X210397366Y-62588026D01*
+X210397365Y-62588026D01*
+X210213193Y-62568669D01*
+X210213192Y-62568669D01*
+X210207065Y-62568025D01*
+X210086743Y-62578975D01*
+X210016511Y-62585366D01*
+X210016510Y-62585366D01*
+X210010370Y-62585925D01*
+X209968735Y-62598179D01*
+X209826809Y-62639950D01*
+X209826806Y-62639951D01*
+X209820898Y-62641690D01*
+X209645866Y-62733195D01*
+X209641068Y-62737053D01*
+X209641066Y-62737054D01*
+X209584909Y-62782206D01*
+X209491941Y-62856954D01*
+X209487982Y-62861672D01*
+X209487981Y-62861673D01*
+X209413417Y-62950534D01*
+X209364985Y-63008253D01*
+X209362022Y-63013642D01*
+X209362019Y-63013647D01*
+X209286318Y-63151348D01*
+X209269835Y-63181331D01*
+X209210115Y-63369593D01*
+X209209429Y-63375710D01*
+X209209428Y-63375714D01*
+X209192626Y-63525511D01*
+X209188099Y-63565870D01*
+X202024544Y-63565870D01*
+X202113735Y-63486125D01*
+X202119575Y-63480161D01*
+X202309336Y-63258762D01*
+X202314329Y-63252088D01*
+X202473144Y-63007536D01*
+X202477218Y-63000246D01*
+X202602288Y-62736848D01*
+X202605364Y-62729080D01*
+X202694501Y-62451449D01*
+X202696518Y-62443360D01*
+X202745375Y-62171830D01*
+X202743943Y-62158571D01*
+X202729333Y-62154000D01*
+X200272115Y-62153999D01*
+X200256876Y-62158474D01*
+X200255671Y-62159864D01*
+X200254000Y-62167547D01*
+X200253999Y-64139885D01*
+X199746000Y-64139885D01*
+X199746001Y-62172115D01*
+X199741526Y-62156876D01*
+X199740136Y-62155671D01*
+X199732453Y-62154000D01*
+X197273687Y-62153999D01*
+X197259059Y-62158294D01*
+X197256996Y-62170271D01*
+X195839172Y-62170271D01*
+X195842257Y-62168425D01*
+X195950841Y-62103438D01*
+X196021785Y-62060979D01*
+X196026005Y-62057297D01*
+X196026010Y-62057294D01*
+X196186631Y-61917175D01*
+X196190861Y-61913485D01*
+X196221278Y-61875518D01*
+X196327641Y-61742757D01*
+X196327644Y-61742752D01*
+X196331147Y-61738380D01*
+X196390985Y-61628170D01*
+X197254625Y-61628170D01*
+X197256057Y-61641429D01*
+X197270667Y-61646000D01*
+X199727885Y-61646001D01*
+X199743124Y-61641526D01*
+X199744329Y-61640136D01*
+X199746000Y-61632453D01*
+X199746000Y-61627885D01*
+X200253999Y-61627885D01*
+X200258474Y-61643124D01*
+X200259864Y-61644329D01*
+X200267547Y-61646000D01*
+X202726313Y-61646001D01*
+X202740941Y-61641706D01*
+X202743004Y-61629729D01*
+X202736725Y-61557951D01*
+X202735451Y-61549720D01*
+X202671840Y-61265140D01*
+X202669484Y-61257136D01*
+X202618749Y-61119242D01*
+X214020490Y-61119242D01*
+X214033130Y-61201845D01*
+X214052463Y-61328179D01*
+X214054429Y-61341029D01*
+X214068361Y-61381720D01*
+X214102515Y-61481476D01*
+X214127106Y-61553302D01*
+X214236224Y-61749349D01*
+X214239776Y-61753689D01*
+X214239779Y-61753693D01*
+X214289448Y-61814376D01*
+X214378335Y-61922975D01*
+X214382603Y-61926620D01*
+X214544682Y-62065049D01*
+X214544686Y-62065052D01*
+X214548947Y-62068691D01*
+X214742667Y-62181892D01*
+X214747933Y-62183819D01*
+X214747937Y-62183821D01*
+X214948106Y-62257072D01*
+X214948109Y-62257073D01*
+X214953370Y-62258998D01*
+X214958886Y-62259961D01*
+X214958891Y-62259962D01*
+X215124023Y-62288782D01*
+X215174399Y-62297574D01*
+X215180005Y-62297545D01*
+X215180009Y-62297545D01*
+X215283025Y-62297005D01*
+X215398765Y-62296399D01*
+X215619377Y-62255511D01*
+X215624626Y-62253528D01*
+X215624628Y-62253527D01*
+X215725692Y-62215338D01*
+X215829262Y-62176202D01*
+X215842257Y-62168425D01*
+X215950841Y-62103438D01*
+X216021785Y-62060979D01*
+X216026005Y-62057297D01*
+X216026010Y-62057294D01*
+X216186631Y-61917175D01*
+X216190861Y-61913485D01*
+X216221278Y-61875518D01*
+X216327641Y-61742757D01*
+X216327644Y-61742752D01*
+X216331147Y-61738380D01*
+X216438206Y-61541201D01*
+X216508657Y-61328179D01*
+X216540270Y-61106048D01*
+X216541444Y-61061215D01*
+X216541905Y-61043631D01*
+X216541905Y-61043629D01*
+X216542000Y-61040000D01*
+X216522055Y-60816519D01*
+X216478973Y-60659037D01*
+X216464332Y-60605518D01*
+X216464331Y-60605514D01*
+X216462850Y-60600102D01*
+X216366256Y-60397590D01*
+X216310387Y-60319839D01*
+X216238604Y-60219941D01*
+X216238599Y-60219936D01*
+X216235328Y-60215383D01*
+X216074202Y-60059242D01*
+X215887973Y-59934101D01*
+X215745481Y-59871551D01*
+X215687669Y-59846173D01*
+X215687667Y-59846172D01*
+X215682527Y-59843916D01*
+X215522838Y-59805578D01*
+X215469814Y-59792848D01*
+X215469813Y-59792848D01*
+X215464357Y-59791538D01*
+X215379591Y-59786651D01*
+X215245967Y-59778946D01*
+X215245964Y-59778946D01*
+X215240360Y-59778623D01*
+X215017615Y-59805578D01*
+X214803165Y-59871551D01*
+X214798185Y-59874121D01*
+X214798181Y-59874123D01*
+X214658425Y-59946257D01*
+X214603787Y-59974458D01*
+X214425783Y-60111045D01*
+X214422010Y-60115191D01*
+X214422005Y-60115196D01*
+X214326695Y-60219941D01*
+X214274779Y-60276996D01*
+X214251645Y-60313875D01*
+X214174705Y-60436529D01*
+X214155550Y-60467064D01*
+X214071863Y-60675242D01*
+X214026364Y-60894949D01*
+X214020490Y-61119242D01*
+X202618749Y-61119242D01*
+X202568795Y-60983475D01*
+X202565405Y-60975859D01*
+X202429411Y-60717924D01*
+X202425033Y-60710808D01*
+X202256120Y-60473125D01*
+X202250849Y-60466662D01*
+X202051980Y-60253400D01*
+X202045896Y-60247688D01*
+X201820575Y-60062607D01*
+X201813794Y-60057752D01*
+X201565962Y-59904090D01*
+X201558602Y-59900177D01*
+X201292636Y-59780647D01*
+X201284813Y-59777737D01*
+X201005363Y-59694429D01*
+X200997242Y-59692584D01*
+X200708567Y-59646863D01*
+X200701592Y-59646155D01*
+X200611523Y-59642064D01*
+X200608690Y-59642000D01*
+X200272115Y-59642000D01*
+X200256876Y-59646475D01*
+X200255671Y-59647865D01*
+X200254000Y-59655548D01*
+X200253999Y-61627885D01*
+X199746000Y-61627885D01*
+X199746001Y-59660115D01*
+X199741526Y-59644876D01*
+X199740136Y-59643671D01*
+X199732453Y-59642000D01*
+X199426819Y-59642000D01*
+X199422627Y-59642139D01*
+X199204875Y-59656602D01*
+X199196606Y-59657705D01*
+X198910769Y-59715341D01*
+X198902708Y-59717531D01*
+X198627002Y-59812464D01*
+X198619315Y-59815695D01*
+X198358590Y-59946257D01*
+X198351381Y-59950487D01*
+X198110217Y-60114381D01*
+X198103642Y-60119518D01*
+X197886265Y-60313875D01*
+X197880425Y-60319839D01*
+X197690664Y-60541238D01*
+X197685671Y-60547912D01*
+X197526856Y-60792464D01*
+X197522782Y-60799754D01*
+X197397712Y-61063152D01*
+X197394636Y-61070920D01*
+X197305499Y-61348551D01*
+X197303482Y-61356640D01*
+X197254625Y-61628170D01*
+X196390985Y-61628170D01*
+X196438206Y-61541201D01*
+X196508657Y-61328179D01*
+X196540270Y-61106048D01*
+X196541444Y-61061215D01*
+X196541905Y-61043631D01*
+X196541905Y-61043629D01*
+X196542000Y-61040000D01*
+X196522055Y-60816519D01*
+X196478973Y-60659037D01*
+X196464332Y-60605518D01*
+X196464331Y-60605514D01*
+X196462850Y-60600102D01*
+X196366256Y-60397590D01*
+X196310387Y-60319839D01*
+X196238604Y-60219941D01*
+X196238599Y-60219936D01*
+X196235328Y-60215383D01*
+X196074202Y-60059242D01*
+X195887973Y-59934101D01*
+X195745481Y-59871551D01*
+X195687669Y-59846173D01*
+X195687667Y-59846172D01*
+X195682527Y-59843916D01*
+X195522838Y-59805578D01*
+X195469814Y-59792848D01*
+X195469813Y-59792848D01*
+X195464357Y-59791538D01*
+X195379591Y-59786651D01*
+X195245967Y-59778946D01*
+X195245964Y-59778946D01*
+X195240360Y-59778623D01*
+X195017615Y-59805578D01*
+X194930201Y-59832470D01*
+X194859212Y-59833382D01*
+X194798997Y-59795770D01*
+X194768676Y-59731573D01*
+X194777876Y-59661175D01*
+X194804058Y-59622945D01*
+X195532598Y-58894405D01*
+X195621693Y-58857500D01*
+X196558308Y-58857500D01*
+X196647404Y-58894405D01*
+X196725756Y-58972758D01*
+X196793006Y-59040008D01*
+X196802860Y-59051097D01*
+X196823397Y-59077148D01*
+X196831144Y-59082503D01*
+X196831146Y-59082504D01*
+X196845096Y-59092145D01*
+X196868652Y-59108425D01*
+X196872434Y-59111039D01*
+X196875656Y-59113341D01*
+X196904472Y-59134625D01*
+X196923583Y-59148741D01*
+X196930495Y-59151168D01*
+X196936528Y-59155338D01*
+X196945508Y-59158178D01*
+X196945510Y-59158179D01*
+X196981677Y-59169617D01*
+X196993074Y-59173221D01*
+X196993371Y-59173315D01*
+X196997120Y-59174566D01*
+X197053336Y-59194308D01*
+X197060547Y-59194592D01*
+X197060805Y-59194642D01*
+X197067649Y-59196806D01*
+X197076467Y-59197500D01*
+X197132101Y-59197500D01*
+X197137048Y-59197597D01*
+X197190752Y-59199707D01*
+X197197546Y-59197906D01*
+X197204918Y-59197500D01*
+X202560784Y-59197500D01*
+X202575593Y-59198373D01*
+X202585024Y-59199489D01*
+X202608529Y-59202271D01*
+X202617793Y-59200579D01*
+X202617796Y-59200579D01*
+X202667132Y-59191569D01*
+X202671034Y-59190919D01*
+X202720686Y-59183454D01*
+X202720688Y-59183453D01*
+X202729996Y-59182054D01*
+X202736605Y-59178880D01*
+X202743813Y-59177564D01*
+X202796709Y-59150087D01*
+X202800211Y-59148338D01*
+X202832895Y-59132643D01*
+X202853966Y-59122525D01*
+X202859276Y-59117617D01*
+X202859473Y-59117484D01*
+X202865852Y-59114170D01*
+X202872578Y-59108425D01*
+X202911890Y-59069113D01*
+X202915456Y-59065684D01*
+X202948034Y-59035569D01*
+X202954951Y-59029175D01*
+X202958483Y-59023094D01*
+X202963417Y-59017586D01*
+X203060004Y-58920999D01*
+X203071083Y-58911153D01*
+X203097148Y-58890605D01*
+X203131052Y-58841550D01*
+X203133343Y-58838345D01*
+X203163145Y-58797995D01*
+X203163146Y-58797993D01*
+X203168741Y-58790418D01*
+X203171168Y-58783508D01*
+X203175338Y-58777474D01*
+X203193312Y-58720639D01*
+X203194563Y-58716890D01*
+X203211188Y-58669549D01*
+X203214308Y-58660666D01*
+X203214592Y-58653452D01*
+X203214641Y-58653201D01*
+X203216806Y-58646353D01*
+X203217500Y-58637535D01*
+X203217500Y-58581901D01*
+X203217597Y-58576954D01*
+X203218745Y-58547742D01*
+X203219707Y-58523250D01*
+X203217906Y-58516456D01*
+X203217500Y-58509084D01*
+X203217500Y-58477360D01*
+X208038119Y-58477360D01*
+X208055048Y-58770970D01*
+X208055873Y-58775175D01*
+X208055874Y-58775183D01*
+X208069061Y-58842395D01*
+X208111668Y-59059567D01*
+X208113055Y-59063617D01*
+X208113056Y-59063622D01*
+X208192237Y-59294889D01*
+X208206932Y-59337809D01*
+X208339076Y-59600548D01*
+X208341502Y-59604077D01*
+X208341505Y-59604083D01*
+X208499098Y-59833382D01*
+X208505655Y-59842922D01*
+X208703586Y-60060446D01*
+X208791627Y-60134059D01*
+X208925916Y-60246343D01*
+X208925921Y-60246347D01*
+X208929208Y-60249095D01*
+X209032476Y-60313875D01*
+X209174705Y-60403095D01*
+X209174709Y-60403097D01*
+X209178345Y-60405378D01*
+X209304431Y-60462308D01*
+X209442475Y-60524638D01*
+X209442479Y-60524640D01*
+X209446387Y-60526404D01*
+X209450507Y-60527624D01*
+X209450506Y-60527624D01*
+X209724261Y-60608714D01*
+X209724265Y-60608715D01*
+X209728374Y-60609932D01*
+X209732608Y-60610580D01*
+X209732613Y-60610581D01*
+X209991302Y-60650166D01*
+X210019089Y-60654418D01*
+X210168859Y-60656771D01*
+X210308859Y-60658970D01*
+X210308865Y-60658970D01*
+X210313150Y-60659037D01*
+X210605118Y-60623705D01*
+X210747354Y-60586390D01*
+X210885447Y-60550162D01*
+X210885448Y-60550162D01*
+X210889590Y-60549075D01*
+X211161301Y-60436529D01*
+X211415224Y-60288148D01*
+X211646660Y-60106679D01*
+X211851327Y-59895480D01*
+X211853860Y-59892032D01*
+X211853864Y-59892027D01*
+X212022899Y-59661913D01*
+X212025437Y-59658458D01*
+X212027483Y-59654690D01*
+X212163718Y-59403775D01*
+X212163719Y-59403773D01*
+X212165768Y-59399999D01*
+X212241453Y-59199707D01*
+X212268207Y-59128905D01*
+X212268208Y-59128901D01*
+X212269725Y-59124887D01*
+X212317625Y-58915744D01*
+X212334424Y-58842395D01*
+X212334425Y-58842391D01*
+X212335382Y-58838211D01*
+X212339484Y-58792256D01*
+X212359024Y-58573316D01*
+X212361526Y-58545278D01*
+X212362000Y-58500000D01*
+X212361235Y-58488780D01*
+X212342289Y-58210860D01*
+X212342288Y-58210854D01*
+X212341997Y-58206583D01*
+X212312232Y-58062852D01*
+X212283228Y-57922801D01*
+X212282357Y-57918595D01*
+X212184186Y-57641366D01*
+X212049298Y-57380026D01*
+X212038405Y-57364526D01*
+X211935105Y-57217545D01*
+X211880190Y-57139409D01*
+X211740134Y-56988691D01*
+X211682912Y-56927113D01*
+X211682909Y-56927111D01*
+X211679991Y-56923970D01*
+X211452406Y-56737694D01*
+X211201646Y-56584028D01*
+X211197729Y-56582309D01*
+X211197726Y-56582307D01*
+X210960585Y-56478210D01*
+X210932351Y-56465816D01*
+X210928223Y-56464640D01*
+X210928220Y-56464639D01*
+X210822854Y-56434625D01*
+X210649505Y-56385245D01*
+X210645263Y-56384641D01*
+X210645257Y-56384640D01*
+X210362592Y-56344411D01*
+X210358341Y-56343806D01*
+X210203328Y-56342994D01*
+X210068533Y-56342288D01*
+X210068526Y-56342288D01*
+X210064247Y-56342266D01*
+X210060002Y-56342825D01*
+X210060000Y-56342825D01*
+X209994726Y-56351419D01*
+X209772665Y-56380654D01*
+X209488990Y-56458258D01*
+X209485042Y-56459942D01*
+X209222425Y-56571958D01*
+X209222421Y-56571960D01*
+X209218473Y-56573644D01*
+X209197385Y-56586265D01*
+X208969799Y-56722471D01*
+X208969795Y-56722474D01*
+X208966117Y-56724675D01*
+X208962774Y-56727353D01*
+X208962770Y-56727356D01*
+X208884455Y-56790099D01*
+X208736594Y-56908558D01*
+X208733650Y-56911660D01*
+X208733646Y-56911664D01*
+X208561260Y-57093321D01*
+X208534150Y-57121889D01*
+X208531651Y-57125367D01*
+X208531650Y-57125368D01*
+X208495686Y-57175418D01*
+X208362532Y-57360722D01*
+X208224915Y-57620635D01*
+X208195041Y-57702269D01*
+X208147657Y-57831753D01*
+X208123845Y-57896821D01*
+X208061193Y-58184168D01*
+X208038119Y-58477360D01*
+X203217500Y-58477360D01*
+X203217500Y-57852218D01*
+X203218454Y-57840794D01*
+X203218514Y-57840586D01*
+X203218981Y-57831753D01*
+X203217542Y-57775777D01*
+X203217500Y-57772540D01*
+X203217500Y-57746743D01*
+X203216820Y-57742224D01*
+X203216531Y-57736458D01*
+X203214543Y-57659122D01*
+X203214501Y-57655884D01*
+X203214501Y-53405870D01*
+X209188099Y-53405870D01*
+X209188615Y-53412014D01*
+X209200297Y-53551128D01*
+X209204626Y-53602685D01*
+X209216532Y-53644207D01*
+X209251225Y-53765196D01*
+X209259066Y-53792542D01*
+X209261885Y-53798027D01*
+X209346529Y-53962727D01*
+X209346532Y-53962732D01*
+X209349347Y-53968209D01*
+X209472028Y-54122994D01*
+X209476722Y-54126988D01*
+X209476722Y-54126989D01*
+X209545529Y-54185548D01*
+X209622438Y-54251003D01*
+X209627816Y-54254009D01*
+X209627818Y-54254010D01*
+X209657617Y-54270664D01*
+X209794847Y-54347359D01*
+X209982688Y-54408392D01*
+X210178806Y-54431778D01*
+X210184941Y-54431306D01*
+X210184943Y-54431306D01*
+X210369589Y-54417098D01*
+X210369592Y-54417097D01*
+X210375732Y-54416625D01*
+X210565964Y-54363512D01*
+X210742257Y-54274460D01*
+X210777392Y-54247010D01*
+X210893039Y-54156656D01*
+X210897895Y-54152862D01*
+X210923368Y-54123352D01*
+X211022924Y-54008015D01*
+X211026951Y-54003350D01*
+X211044170Y-53973040D01*
+X211121465Y-53836974D01*
+X211121466Y-53836973D01*
+X211124508Y-53831617D01*
+X211139024Y-53787982D01*
+X211166259Y-53706108D01*
+X211186851Y-53644207D01*
+X211211605Y-53448257D01*
+X211211875Y-53428946D01*
+X211211951Y-53423522D01*
+X211211951Y-53423518D01*
+X211212000Y-53420000D01*
+X211192727Y-53223435D01*
+X211135641Y-53034357D01*
+X211114768Y-52995101D01*
+X211045810Y-52865410D01*
+X211045808Y-52865407D01*
+X211042916Y-52859968D01*
+X210918086Y-52706910D01*
+X210765903Y-52581014D01*
+X210592166Y-52487074D01*
+X210403491Y-52428670D01*
+X210397366Y-52428026D01*
+X210397365Y-52428026D01*
+X210213193Y-52408669D01*
+X210213192Y-52408669D01*
+X210207065Y-52408025D01*
+X210094674Y-52418253D01*
+X210016511Y-52425366D01*
+X210016510Y-52425366D01*
+X210010370Y-52425925D01*
+X209994853Y-52430492D01*
+X209826809Y-52479950D01*
+X209826806Y-52479951D01*
+X209820898Y-52481690D01*
+X209645866Y-52573195D01*
+X209641068Y-52577053D01*
+X209641066Y-52577054D01*
+X209614694Y-52598258D01*
+X209491941Y-52696954D01*
+X209487982Y-52701672D01*
+X209487981Y-52701673D01*
+X209435367Y-52764376D01*
+X209364985Y-52848253D01*
+X209362022Y-52853642D01*
+X209362019Y-52853647D01*
+X209284255Y-52995101D01*
+X209269835Y-53021331D01*
+X209210115Y-53209593D01*
+X209209429Y-53215710D01*
+X209209428Y-53215714D01*
+X209188971Y-53398093D01*
+X209188099Y-53405870D01*
+X203214501Y-53405870D01*
+X203214501Y-51090218D01*
+X203215374Y-51075409D01*
+X203218165Y-51051826D01*
+X203219272Y-51042473D01*
+X203208569Y-50983867D01*
+X203207919Y-50979963D01*
+X203200455Y-50930318D01*
+X203200455Y-50930317D01*
+X203199055Y-50921007D01*
+X203195882Y-50914399D01*
+X203194565Y-50907189D01*
+X203190224Y-50898832D01*
+X203190222Y-50898826D01*
+X203167089Y-50854293D01*
+X203165320Y-50850753D01*
+X203162802Y-50845508D01*
+X203155068Y-50829403D01*
+X203143604Y-50805528D01*
+X203143602Y-50805525D01*
+X203139526Y-50797037D01*
+X203134625Y-50791736D01*
+X203134479Y-50791519D01*
+X203131171Y-50785150D01*
+X203125427Y-50778424D01*
+X203086089Y-50739086D01*
+X203082660Y-50735520D01*
+X203052573Y-50702972D01*
+X203052572Y-50702971D01*
+X203046176Y-50696052D01*
+X203040097Y-50692521D01*
+X203034596Y-50687593D01*
+X201468431Y-49121429D01*
+X201468425Y-49121422D01*
+X201129098Y-48782095D01*
+X201125670Y-48778530D01*
+X201095569Y-48745968D01*
+X201089175Y-48739051D01*
+X201061040Y-48722709D01*
+X201057467Y-48720633D01*
+X201045896Y-48713032D01*
+X201023994Y-48696855D01*
+X201023992Y-48696854D01*
+X201016417Y-48691259D01*
+X201003573Y-48686749D01*
+X200982037Y-48676820D01*
+X200978401Y-48674708D01*
+X200970258Y-48669978D01*
+X200934543Y-48661700D01*
+X200921247Y-48657837D01*
+X200895551Y-48648813D01*
+X200895550Y-48648813D01*
+X200886663Y-48645692D01*
+X200877252Y-48645322D01*
+X200877250Y-48645322D01*
+X200875290Y-48645245D01*
+X200873058Y-48645158D01*
+X200849551Y-48642001D01*
+X200836287Y-48638926D01*
+X200826890Y-48639591D01*
+X200826888Y-48639591D01*
+X200799727Y-48641514D01*
+X200785885Y-48641732D01*
+X200778618Y-48641447D01*
+X200749249Y-48640293D01*
+X200740147Y-48642706D01*
+X200740141Y-48642707D01*
+X200736082Y-48643783D01*
+X200712695Y-48647676D01*
+X200711065Y-48647792D01*
+X200699109Y-48648638D01*
+X200690330Y-48652034D01*
+X200690327Y-48652035D01*
+X200680141Y-48655976D01*
+X200664915Y-48661866D01*
+X200651751Y-48666144D01*
+X200637290Y-48669978D01*
+X200625424Y-48673124D01*
+X200625423Y-48673124D01*
+X200616320Y-48675538D01*
+X200608330Y-48680521D01*
+X200608325Y-48680523D01*
+X200604766Y-48682743D01*
+X200583560Y-48693340D01*
+X200579632Y-48694860D01*
+X200579630Y-48694861D01*
+X200570850Y-48698258D01*
+X200563454Y-48704088D01*
+X200563453Y-48704089D01*
+X200542059Y-48720954D01*
+X200530732Y-48728915D01*
+X200507625Y-48743326D01*
+X200507619Y-48743331D01*
+X200499631Y-48748313D01*
+X200493460Y-48755425D01*
+X200493457Y-48755427D01*
+X200490712Y-48758591D01*
+X200473548Y-48774965D01*
+X200462852Y-48783397D01*
+X200454314Y-48795751D01*
+X200442009Y-48813554D01*
+X200433522Y-48824496D01*
+X200409499Y-48852180D01*
+X200405692Y-48860790D01*
+X200405690Y-48860794D01*
+X200403994Y-48864631D01*
+X200392409Y-48885318D01*
+X200390019Y-48888776D01*
+X200390017Y-48888780D01*
+X200384662Y-48896528D01*
+X200377772Y-48918315D01*
+X200373608Y-48931481D01*
+X200368713Y-48944436D01*
+X200353892Y-48977960D01*
+X200352785Y-48987315D01*
+X200352292Y-48991479D01*
+X200347300Y-49014667D01*
+X200343194Y-49027649D01*
+X200343120Y-49037070D01*
+X200343120Y-49037071D01*
+X200342906Y-49064306D01*
+X200342037Y-49078125D01*
+X200340477Y-49091308D01*
+X200337729Y-49114527D01*
+X200340176Y-49127922D01*
+X200342221Y-49151543D01*
+X200342114Y-49165167D01*
+X200344812Y-49174189D01*
+X200344813Y-49174195D01*
+X200352618Y-49200292D01*
+X200355851Y-49213755D01*
+X200362436Y-49249811D01*
+X200368713Y-49261895D01*
+X200377615Y-49283875D01*
+X200381517Y-49296923D01*
+X200401833Y-49327327D01*
+X200401884Y-49327404D01*
+X200408933Y-49339323D01*
+X200425830Y-49371850D01*
+X200431575Y-49378576D01*
+X200436365Y-49383366D01*
+X200452035Y-49402459D01*
+X200457921Y-49411268D01*
+X200465227Y-49417216D01*
+X200465228Y-49417217D01*
+X200487069Y-49434999D01*
+X200496615Y-49443616D01*
+X200800013Y-49747015D01*
+X200800028Y-49747029D01*
+X202262597Y-51209599D01*
+X202299502Y-51298694D01*
+X202299501Y-54451715D01*
+X202299501Y-57591144D01*
+X202298547Y-57602568D01*
+X202298487Y-57602776D01*
+X202298020Y-57611609D01*
+X202299459Y-57667584D01*
+X202299501Y-57670822D01*
+X202299501Y-57696617D01*
+X202300181Y-57701136D01*
+X202300470Y-57706902D01*
+X202302459Y-57784275D01*
+X202302501Y-57787513D01*
+X202302500Y-58156500D01*
+X202282498Y-58224621D01*
+X202228842Y-58271114D01*
+X202176500Y-58282500D01*
+X197381694Y-58282500D01*
+X197292599Y-58245596D01*
+X197146994Y-58099992D01*
+X197137139Y-58088901D01*
+X197122436Y-58070250D01*
+X197122432Y-58070247D01*
+X197116603Y-58062852D01*
+X197108858Y-58057499D01*
+X197102438Y-58051470D01*
+X197095567Y-58045966D01*
+X197089175Y-58039051D01*
+X197066414Y-58025830D01*
+X197057467Y-58020633D01*
+X197045896Y-58013032D01*
+X197023991Y-57996853D01*
+X197023990Y-57996853D01*
+X197016417Y-57991259D01*
+X197009505Y-57988832D01*
+X197003472Y-57984662D01*
+X196994491Y-57981822D01*
+X196986579Y-57977946D01*
+X196978401Y-57974708D01*
+X196970258Y-57969978D01*
+X196934543Y-57961700D01*
+X196921247Y-57957837D01*
+X196896316Y-57949082D01*
+X196886663Y-57945692D01*
+X196879452Y-57945408D01*
+X196879196Y-57945358D01*
+X196872351Y-57943194D01*
+X196863533Y-57942500D01*
+X196861515Y-57942500D01*
+X196854867Y-57941607D01*
+X196854852Y-57941792D01*
+X196845464Y-57941053D01*
+X196836287Y-57938926D01*
+X196826890Y-57939591D01*
+X196826888Y-57939591D01*
+X196799727Y-57941514D01*
+X196785885Y-57941732D01*
+X196778618Y-57941447D01*
+X196749249Y-57940293D01*
+X196742456Y-57942094D01*
+X196735082Y-57942500D01*
+X195413216Y-57942500D01*
+X195398406Y-57941627D01*
+X195387135Y-57940293D01*
+X195365472Y-57937729D01*
+X195356208Y-57939421D01*
+X195356207Y-57939421D01*
+X195306866Y-57948432D01*
+X195302962Y-57949082D01*
+X195253317Y-57956546D01*
+X195253316Y-57956546D01*
+X195244006Y-57957946D01*
+X195237398Y-57961119D01*
+X195230188Y-57962436D01*
+X195221831Y-57966777D01*
+X195221825Y-57966779D01*
+X195177292Y-57989912D01*
+X195173765Y-57991675D01*
+X195162981Y-57996853D01*
+X195128527Y-58013397D01*
+X195128524Y-58013399D01*
+X195120036Y-58017475D01*
+X195114730Y-58022380D01*
+X195114525Y-58022518D01*
+X195108149Y-58025830D01*
+X195101423Y-58031575D01*
+X195062098Y-58070900D01*
+X195058532Y-58074329D01*
+X195019051Y-58110825D01*
+X195015520Y-58116905D01*
+X195010590Y-58122408D01*
+X191827403Y-61305595D01*
+X191738308Y-61342500D01*
+X188666118Y-61342500D01*
+X188654897Y-61341217D01*
+X188654852Y-61341792D01*
+X188645464Y-61341053D01*
+X188636287Y-61338926D01*
+X188626891Y-61339591D01*
+X188626888Y-61339591D01*
+X188590255Y-61342185D01*
+X188581357Y-61342500D01*
+X188566743Y-61342500D01*
+X188554658Y-61344317D01*
+X188544841Y-61345400D01*
+X188499109Y-61348638D01*
+X188490322Y-61352037D01*
+X188481737Y-61353956D01*
+X188473320Y-61356546D01*
+X188464006Y-61357946D01*
+X188423013Y-61377630D01*
+X188422680Y-61377790D01*
+X188413626Y-61381709D01*
+X188370850Y-61398258D01*
+X188363456Y-61404087D01*
+X188355807Y-61408450D01*
+X188348525Y-61413399D01*
+X188340036Y-61417475D01*
+X188306369Y-61448597D01*
+X188298867Y-61455005D01*
+X188262852Y-61483397D01*
+X188257497Y-61491145D01*
+X188251472Y-61497561D01*
+X188245969Y-61504430D01*
+X188239051Y-61510825D01*
+X188234319Y-61518972D01*
+X188216028Y-61550462D01*
+X188210726Y-61558816D01*
+X188190017Y-61588779D01*
+X188190015Y-61588783D01*
+X188184662Y-61596528D01*
+X188181824Y-61605503D01*
+X188177953Y-61613404D01*
+X188174709Y-61621597D01*
+X188169978Y-61629742D01*
+X188167851Y-61638918D01*
+X188167850Y-61638921D01*
+X188159628Y-61674398D01*
+X188157022Y-61683927D01*
+X188143194Y-61727649D01*
+X188143120Y-61737071D01*
+X188141744Y-61745762D01*
+X188141053Y-61754536D01*
+X188138926Y-61763713D01*
+X181327873Y-61763713D01*
+X178641416Y-59077257D01*
+X178604511Y-58988162D01*
+X178604511Y-56708921D01*
+X178606932Y-56684339D01*
+X178607090Y-56683545D01*
+X178607090Y-56683543D01*
+X178609511Y-56671373D01*
+X178602920Y-56638240D01*
+X178600499Y-56613657D01*
+X178600499Y-56186343D01*
+X178602920Y-56161760D01*
+X178604511Y-56153762D01*
+X178607090Y-56140797D01*
+X178609511Y-56128627D01*
+X178606932Y-56115661D01*
+X178604511Y-56091079D01*
+X178604511Y-54660746D01*
+X178624513Y-54592625D01*
+X178678169Y-54546132D01*
+X178774131Y-54544041D01*
+X178774144Y-54543986D01*
+X178774354Y-54544036D01*
+X178777811Y-54543961D01*
+X178792032Y-54549721D01*
+X178801419Y-54550534D01*
+X178803324Y-54550699D01*
+X178804620Y-54551303D01*
+X178810574Y-54552732D01*
+X178810284Y-54553941D01*
+X178881553Y-54587134D01*
+X179267042Y-54972624D01*
+X179510556Y-55216138D01*
+X179523835Y-55232580D01*
+X179526233Y-55235216D01*
+X179531884Y-55243967D01*
+X179540062Y-55250414D01*
+X179540063Y-55250415D01*
+X179556768Y-55263584D01*
+X179560726Y-55267102D01*
+X179560813Y-55266999D01*
+X179564772Y-55270354D01*
+X179568450Y-55274032D01*
+X179572674Y-55277051D01*
+X179572683Y-55277058D01*
+X179582835Y-55284312D01*
+X179587586Y-55287879D01*
+X179625364Y-55317661D01*
+X179633504Y-55320519D01*
+X179640521Y-55325534D01*
+X179650502Y-55328519D01*
+X179686614Y-55339319D01*
+X179692262Y-55341154D01*
+X179737676Y-55357102D01*
+X179742271Y-55357500D01*
+X179744985Y-55357500D01*
+X179747712Y-55357618D01*
+X179747705Y-55357775D01*
+X179748492Y-55357825D01*
+X179754566Y-55359641D01*
+X179806592Y-55357597D01*
+X179811538Y-55357500D01*
+X183899729Y-55357500D01*
+X183988824Y-55394405D01*
+X186105595Y-57511176D01*
+X186142500Y-57600271D01*
+X186142501Y-58373539D01*
+X186120154Y-58445176D01*
+X186084662Y-58496528D01*
+X186043194Y-58627649D01*
+X186042114Y-58765167D01*
+X186044813Y-58774191D01*
+X186044813Y-58774193D01*
+X186063999Y-58838345D01*
+X186081517Y-58896923D01*
+X186157921Y-59011268D01*
+X186165222Y-59017212D01*
+X186165223Y-59017213D01*
+X186230120Y-59070048D01*
+X186264568Y-59098093D01*
+X186273299Y-59101629D01*
+X186273300Y-59101630D01*
+X186304260Y-59114170D01*
+X186392032Y-59149721D01*
+X186401417Y-59150534D01*
+X186401418Y-59150534D01*
+X186519661Y-59160775D01*
+X186519664Y-59160775D01*
+X186529041Y-59161587D01*
+X186538242Y-59159606D01*
+X186538244Y-59159606D01*
+X186654278Y-59134625D01*
+X186654281Y-59134624D01*
+X186663482Y-59132643D01*
+X186671694Y-59128044D01*
+X186671697Y-59128043D01*
+X186754577Y-59081627D01*
+X186783470Y-59065447D01*
+X186878394Y-58965940D01*
+X186917390Y-58887898D01*
+X186935653Y-58851348D01*
+X186935653Y-58851347D01*
+X186939864Y-58842920D01*
+X186962443Y-58707265D01*
+X186962500Y-58700000D01*
+X186950272Y-58618666D01*
+X186943454Y-58573316D01*
+X186943453Y-58573314D01*
+X186942054Y-58564006D01*
+X186900448Y-58477360D01*
+X188038119Y-58477360D01*
+X188055048Y-58770970D01*
+X188055873Y-58775175D01*
+X188055874Y-58775183D01*
+X188069061Y-58842395D01*
+X188111668Y-59059567D01*
+X188113055Y-59063617D01*
+X188113056Y-59063622D01*
+X188192237Y-59294889D01*
+X188206932Y-59337809D01*
+X188339076Y-59600548D01*
+X188341502Y-59604077D01*
+X188341505Y-59604083D01*
+X188499098Y-59833382D01*
+X188505655Y-59842922D01*
+X188703586Y-60060446D01*
+X188791627Y-60134059D01*
+X188925916Y-60246343D01*
+X188925921Y-60246347D01*
+X188929208Y-60249095D01*
+X189032476Y-60313875D01*
+X189174705Y-60403095D01*
+X189174709Y-60403097D01*
+X189178345Y-60405378D01*
+X189304431Y-60462308D01*
+X189442475Y-60524638D01*
+X189442479Y-60524640D01*
+X189446387Y-60526404D01*
+X189450507Y-60527624D01*
+X189450506Y-60527624D01*
+X189724261Y-60608714D01*
+X189724265Y-60608715D01*
+X189728374Y-60609932D01*
+X189732608Y-60610580D01*
+X189732613Y-60610581D01*
+X189991302Y-60650166D01*
+X190019089Y-60654418D01*
+X190168859Y-60656771D01*
+X190308859Y-60658970D01*
+X190308865Y-60658970D01*
+X190313150Y-60659037D01*
+X190605118Y-60623705D01*
+X190747354Y-60586390D01*
+X190885447Y-60550162D01*
+X190885448Y-60550162D01*
+X190889590Y-60549075D01*
+X191161301Y-60436529D01*
+X191415224Y-60288148D01*
+X191646660Y-60106679D01*
+X191851327Y-59895480D01*
+X191853860Y-59892032D01*
+X191853864Y-59892027D01*
+X192022899Y-59661913D01*
+X192025437Y-59658458D01*
+X192027483Y-59654690D01*
+X192163718Y-59403775D01*
+X192163719Y-59403773D01*
+X192165768Y-59399999D01*
+X192241453Y-59199707D01*
+X192268207Y-59128905D01*
+X192268208Y-59128901D01*
+X192269725Y-59124887D01*
+X192317625Y-58915744D01*
+X192334424Y-58842395D01*
+X192334425Y-58842391D01*
+X192335382Y-58838211D01*
+X192339484Y-58792256D01*
+X192359024Y-58573316D01*
+X192361526Y-58545278D01*
+X192362000Y-58500000D01*
+X192361235Y-58488780D01*
+X192342289Y-58210860D01*
+X192342288Y-58210854D01*
+X192341997Y-58206583D01*
+X192312232Y-58062852D01*
+X192283228Y-57922801D01*
+X192282357Y-57918595D01*
+X192184186Y-57641366D01*
+X192049298Y-57380026D01*
+X192038405Y-57364526D01*
+X191935105Y-57217545D01*
+X191880190Y-57139409D01*
+X191740134Y-56988691D01*
+X191682912Y-56927113D01*
+X191682909Y-56927111D01*
+X191679991Y-56923970D01*
+X191452406Y-56737694D01*
+X191201646Y-56584028D01*
+X191197729Y-56582309D01*
+X191197726Y-56582307D01*
+X190960585Y-56478210D01*
+X190932351Y-56465816D01*
+X190928223Y-56464640D01*
+X190928220Y-56464639D01*
+X190822854Y-56434625D01*
+X190649505Y-56385245D01*
+X190645263Y-56384641D01*
+X190645257Y-56384640D01*
+X190362592Y-56344411D01*
+X190358341Y-56343806D01*
+X190203328Y-56342994D01*
+X190068533Y-56342288D01*
+X190068526Y-56342288D01*
+X190064247Y-56342266D01*
+X190060002Y-56342825D01*
+X190060000Y-56342825D01*
+X189994726Y-56351419D01*
+X189772665Y-56380654D01*
+X189488990Y-56458258D01*
+X189485042Y-56459942D01*
+X189222425Y-56571958D01*
+X189222421Y-56571960D01*
+X189218473Y-56573644D01*
+X189197385Y-56586265D01*
+X188969799Y-56722471D01*
+X188969795Y-56722474D01*
+X188966117Y-56724675D01*
+X188962774Y-56727353D01*
+X188962770Y-56727356D01*
+X188884455Y-56790099D01*
+X188736594Y-56908558D01*
+X188733650Y-56911660D01*
+X188733646Y-56911664D01*
+X188561260Y-57093321D01*
+X188534150Y-57121889D01*
+X188531651Y-57125367D01*
+X188531650Y-57125368D01*
+X188495686Y-57175418D01*
+X188362532Y-57360722D01*
+X188224915Y-57620635D01*
+X188195041Y-57702269D01*
+X188147657Y-57831753D01*
+X188123845Y-57896821D01*
+X188061193Y-58184168D01*
+X188038119Y-58477360D01*
+X186900448Y-58477360D01*
+X186882525Y-58440036D01*
+X186878722Y-58435922D01*
+X186857500Y-58366941D01*
+X186857500Y-57451839D01*
+X186859738Y-57430808D01*
+X186859906Y-57427255D01*
+X186862097Y-57417076D01*
+X186858373Y-57385612D01*
+X186858062Y-57380329D01*
+X186857928Y-57380340D01*
+X186857500Y-57375164D01*
+X186857500Y-57369960D01*
+X186854600Y-57352534D01*
+X186853763Y-57346660D01*
+X186849330Y-57309208D01*
+X186848106Y-57298866D01*
+X186844373Y-57291091D01*
+X186842956Y-57282580D01*
+X186820104Y-57240228D01*
+X186817408Y-57234937D01*
+X186800013Y-57198711D01*
+X186800012Y-57198710D01*
+X186796579Y-57191560D01*
+X186793611Y-57188030D01*
+X186791675Y-57186094D01*
+X186789855Y-57184110D01*
+X186789972Y-57184003D01*
+X186789443Y-57183403D01*
+X186786431Y-57177821D01*
+X186748186Y-57142468D01*
+X186744620Y-57139039D01*
+X184389449Y-54783868D01*
+X184376161Y-54767416D01*
+X184373767Y-54764785D01*
+X184368116Y-54756033D01*
+X184343227Y-54736412D01*
+X184339276Y-54732901D01*
+X184339189Y-54733003D01*
+X184335227Y-54729646D01*
+X184331549Y-54725968D01*
+X184317156Y-54715683D01*
+X184312449Y-54712149D01*
+X184274636Y-54682339D01*
+X184266494Y-54679480D01*
+X184259478Y-54674466D01*
+X184249502Y-54671483D01*
+X184249501Y-54671482D01*
+X184220653Y-54662855D01*
+X184213382Y-54660680D01*
+X184207737Y-54658846D01*
+X184169805Y-54645525D01*
+X184169804Y-54645525D01*
+X184162324Y-54642898D01*
+X184157729Y-54642500D01*
+X184155015Y-54642500D01*
+X184152288Y-54642382D01*
+X184152295Y-54642225D01*
+X184151506Y-54642175D01*
+X184145433Y-54640359D01*
+X184093407Y-54642403D01*
+X184088461Y-54642500D01*
+X180000273Y-54642500D01*
+X179911178Y-54605596D01*
+X179384214Y-54078633D01*
+X179348709Y-54008270D01*
+X179343454Y-53973316D01*
+X179343453Y-53973314D01*
+X179342054Y-53964006D01*
+X179282525Y-53840036D01*
+X179189175Y-53739051D01*
+X179132460Y-53706108D01*
+X179085073Y-53678583D01*
+X179036215Y-53627072D01*
+X179022961Y-53557323D01*
+X179059264Y-53480533D01*
+X179091541Y-53448257D01*
+X179245394Y-53294404D01*
+X179334489Y-53257500D01*
+X180255185Y-53257500D01*
+X180348379Y-53298700D01*
+X180352686Y-53303433D01*
+X180357921Y-53311268D01*
+X180464568Y-53398093D01*
+X180473299Y-53401629D01*
+X180473300Y-53401630D01*
+X180498937Y-53412014D01*
+X180592032Y-53449721D01*
+X180601417Y-53450534D01*
+X180601418Y-53450534D01*
+X180719661Y-53460775D01*
+X180719664Y-53460775D01*
+X180729041Y-53461587D01*
+X180738242Y-53459606D01*
+X180738244Y-53459606D01*
+X180854278Y-53434625D01*
+X180854281Y-53434624D01*
+X180863482Y-53432643D01*
+X180871694Y-53428044D01*
+X180871697Y-53428043D01*
+X180975254Y-53370048D01*
+X180983470Y-53365447D01*
+X181078394Y-53265940D01*
+X181139864Y-53142920D01*
+X181162443Y-53007265D01*
+X181162500Y-53000000D01*
+X181142054Y-52864006D01*
+X181082525Y-52740036D01*
+X180989175Y-52639051D01*
+X180981031Y-52634320D01*
+X180981029Y-52634319D01*
+X180878399Y-52574706D01*
+X180878395Y-52574704D01*
+X180870258Y-52569978D01*
+X180778189Y-52548638D01*
+X180745465Y-52541053D01*
+X180745463Y-52541053D01*
+X180736287Y-52538926D01*
+X180726891Y-52539591D01*
+X180726888Y-52539591D01*
+X180608511Y-52547972D01*
+X180608509Y-52547973D01*
+X180599109Y-52548638D01*
+X180470850Y-52598258D01*
+X180362852Y-52683397D01*
+X180357495Y-52691148D01*
+X180351051Y-52698010D01*
+X180349351Y-52696413D01*
+X180304397Y-52732803D01*
+X180255923Y-52742500D01*
+X179213185Y-52742500D01*
+X179188603Y-52740079D01*
+X179187809Y-52739921D01*
+X179187807Y-52739921D01*
+X179175637Y-52737500D01*
+X179150502Y-52742500D01*
+X179087354Y-52755061D01*
+X179087353Y-52755061D01*
+X179075184Y-52757482D01*
+X178990022Y-52814384D01*
+X178983130Y-52824699D01*
+X178983128Y-52824701D01*
+X178982673Y-52825382D01*
+X178967003Y-52844475D01*
+X178187473Y-53624005D01*
+X178168385Y-53639671D01*
+X178157383Y-53647023D01*
+X178150489Y-53657341D01*
+X178150487Y-53657343D01*
+X178136296Y-53678583D01*
+X178100481Y-53732186D01*
+X178098060Y-53744357D01*
+X178098059Y-53744359D01*
+X178089382Y-53787982D01*
+X178089381Y-53787986D01*
+X178086463Y-53802653D01*
+X178053553Y-53865561D01*
+X177991857Y-53900691D01*
+X177899598Y-53887020D01*
+X177878400Y-53874707D01*
+X177878398Y-53874706D01*
+X177870258Y-53869978D01*
+X177778189Y-53848638D01*
+X177745465Y-53841053D01*
+X177745463Y-53841053D01*
+X177736287Y-53838926D01*
+X177726891Y-53839591D01*
+X177726888Y-53839591D01*
+X177608511Y-53847972D01*
+X177608509Y-53847973D01*
+X177599109Y-53848638D01*
+X177470850Y-53898258D01*
+X177362852Y-53983397D01*
+X177284662Y-54096528D01*
+X177281823Y-54105505D01*
+X177281822Y-54105507D01*
+X177275028Y-54126989D01*
+X177243194Y-54227649D01*
+X177242114Y-54365167D01*
+X170560036Y-54365167D01*
+X170565964Y-54363512D01*
+X170742257Y-54274460D01*
+X170777392Y-54247010D01*
+X170893039Y-54156656D01*
+X170897895Y-54152862D01*
+X170923368Y-54123352D01*
+X171022924Y-54008015D01*
+X171026951Y-54003350D01*
+X171044170Y-53973040D01*
+X171121465Y-53836974D01*
+X171121466Y-53836973D01*
+X171124508Y-53831617D01*
+X171139024Y-53787982D01*
+X171166259Y-53706108D01*
+X171186851Y-53644207D01*
+X171211605Y-53448257D01*
+X171211875Y-53428946D01*
+X171211951Y-53423522D01*
+X171211951Y-53423518D01*
+X171212000Y-53420000D01*
+X171192727Y-53223435D01*
+X171135641Y-53034357D01*
+X171114768Y-52995101D01*
+X171045810Y-52865410D01*
+X171045808Y-52865407D01*
+X171042916Y-52859968D01*
+X170918086Y-52706910D01*
+X170765903Y-52581014D01*
+X170592166Y-52487074D01*
+X170403491Y-52428670D01*
+X170397366Y-52428026D01*
+X170397365Y-52428026D01*
+X170213193Y-52408669D01*
+X170213192Y-52408669D01*
+X170207065Y-52408025D01*
+X170094674Y-52418253D01*
+X170016511Y-52425366D01*
+X170016510Y-52425366D01*
+X170010370Y-52425925D01*
+X169994853Y-52430492D01*
+X169826809Y-52479950D01*
+X169826806Y-52479951D01*
+X169820898Y-52481690D01*
+X169645866Y-52573195D01*
+X169641068Y-52577053D01*
+X169641066Y-52577054D01*
+X169614694Y-52598258D01*
+X169491941Y-52696954D01*
+X169487982Y-52701672D01*
+X169487981Y-52701673D01*
+X169435367Y-52764376D01*
+X169364985Y-52848253D01*
+X169362022Y-52853642D01*
+X169362019Y-52853647D01*
+X169284255Y-52995101D01*
+X169269835Y-53021331D01*
+X169210115Y-53209593D01*
+X169209429Y-53215710D01*
+X169209428Y-53215714D01*
+X169188971Y-53398093D01*
+X169188099Y-53405870D01*
+X151210615Y-53405870D01*
+X151192727Y-53223435D01*
+X151135641Y-53034357D01*
+X151114768Y-52995101D01*
+X151045810Y-52865410D01*
+X151045808Y-52865407D01*
+X151042916Y-52859968D01*
+X150918086Y-52706910D01*
+X150765903Y-52581014D01*
+X150592166Y-52487074D01*
+X150403491Y-52428670D01*
+X150397366Y-52428026D01*
+X150397365Y-52428026D01*
+X150213193Y-52408669D01*
+X150213192Y-52408669D01*
+X150207065Y-52408025D01*
+X150094674Y-52418253D01*
+X150016511Y-52425366D01*
+X150016510Y-52425366D01*
+X150010370Y-52425925D01*
+X149994853Y-52430492D01*
+X149826809Y-52479950D01*
+X149826806Y-52479951D01*
+X149820898Y-52481690D01*
+X149645866Y-52573195D01*
+X149641068Y-52577053D01*
+X149641066Y-52577054D01*
+X149614694Y-52598258D01*
+X149491941Y-52696954D01*
+X149487982Y-52701672D01*
+X149487981Y-52701673D01*
+X149435367Y-52764376D01*
+X149364985Y-52848253D01*
+X149362022Y-52853642D01*
+X149362019Y-52853647D01*
+X149284255Y-52995101D01*
+X149269835Y-53021331D01*
+X149210115Y-53209593D01*
+X149209429Y-53215710D01*
+X149209428Y-53215714D01*
+X149188971Y-53398093D01*
+X149188099Y-53405870D01*
+X135357000Y-53405870D01*
+X135357000Y-49852548D01*
+X174872001Y-49852548D01*
+X174872001Y-50182912D01*
+X174872302Y-50189060D01*
+X174885692Y-50325626D01*
+X174888075Y-50337661D01*
+X174941655Y-50515124D01*
+X174946330Y-50526466D01*
+X175033358Y-50690144D01*
+X175040145Y-50700360D01*
+X175157311Y-50844019D01*
+X175165955Y-50852723D01*
+X175308789Y-50970886D01*
+X175318960Y-50977746D01*
+X175482032Y-51065918D01*
+X175493331Y-51070668D01*
+X175608693Y-51106380D01*
+X175619134Y-51106532D01*
+X175626000Y-51088528D01*
+X175626000Y-49857115D01*
+X175624659Y-49852548D01*
+X176134000Y-49852548D01*
+X176134000Y-51093052D01*
+X176137040Y-51103405D01*
+X176155829Y-51104742D01*
+X176253557Y-51075979D01*
+X176264941Y-51071380D01*
+X176429222Y-50985496D01*
+X176439483Y-50978782D01*
+X176583959Y-50862620D01*
+X176592719Y-50854042D01*
+X176711881Y-50712030D01*
+X176718807Y-50701916D01*
+X176808118Y-50539458D01*
+X176812946Y-50528194D01*
+X176869002Y-50351484D01*
+X176871550Y-50339497D01*
+X176887607Y-50196339D01*
+X176888000Y-50189315D01*
+X176888000Y-49857115D01*
+X176883525Y-49841876D01*
+X176882135Y-49840671D01*
+X176874452Y-49839000D01*
+X176152115Y-49839000D01*
+X176136876Y-49843475D01*
+X176135671Y-49844865D01*
+X176134000Y-49852548D01*
+X175624659Y-49852548D01*
+X175621525Y-49841876D01*
+X175620135Y-49840671D01*
+X175612452Y-49839000D01*
+X174890116Y-49839000D01*
+X174874877Y-49843475D01*
+X174873672Y-49844865D01*
+X174872001Y-49852548D01*
+X135357000Y-49852548D01*
+X135357000Y-48506285D01*
+X135357125Y-48500679D01*
+X135376078Y-48075112D01*
+X135377074Y-48063945D01*
+X135432947Y-47647963D01*
+X135434933Y-47636928D01*
+X135476354Y-47453876D01*
+X137438604Y-47453876D01*
+X137438828Y-47458542D01*
+X137438828Y-47458547D01*
+X137443949Y-47565147D01*
+X137451134Y-47714734D01*
+X137502083Y-47970874D01*
+X137590333Y-48216670D01*
+X137592549Y-48220794D01*
+X137657006Y-48340754D01*
+X137713944Y-48446722D01*
+X137716739Y-48450465D01*
+X137716741Y-48450468D01*
+X137867410Y-48652238D01*
+X137867415Y-48652244D01*
+X137870202Y-48655976D01*
+X137873511Y-48659256D01*
+X137873516Y-48659262D01*
+X138046260Y-48830504D01*
+X138055673Y-48839835D01*
+X138183828Y-48933803D01*
+X138248115Y-48980940D01*
+X138266282Y-48994261D01*
+X138270425Y-48996441D01*
+X138270427Y-48996442D01*
+X138493254Y-49113677D01*
+X138493259Y-49113679D01*
+X138497404Y-49115860D01*
+X138501827Y-49117405D01*
+X138501828Y-49117405D01*
+X138739182Y-49200292D01*
+X138743961Y-49201961D01*
+X139000536Y-49250674D01*
+X139124612Y-49255549D01*
+X139256825Y-49260744D01*
+X139256830Y-49260744D01*
+X139261493Y-49260927D01*
+X139368659Y-49249190D01*
+X139516446Y-49233005D01*
+X139516451Y-49233004D01*
+X139521099Y-49232495D01*
+X139592279Y-49213755D01*
+X139769128Y-49167195D01*
+X139769130Y-49167194D01*
+X139773651Y-49166004D01*
+X139807310Y-49151543D01*
+X140009307Y-49064758D01*
+X140009309Y-49064757D01*
+X140013601Y-49062913D01*
+X140146478Y-48980686D01*
+X174872000Y-48980686D01*
+X174872000Y-49312885D01*
+X174876475Y-49328124D01*
+X174877865Y-49329329D01*
+X174885548Y-49331000D01*
+X175607885Y-49331000D01*
+X175623124Y-49326525D01*
+X175624329Y-49325135D01*
+X175626000Y-49317452D01*
+X175626000Y-48081472D01*
+X176134000Y-48081472D01*
+X176134000Y-49312885D01*
+X176138475Y-49328124D01*
+X176139865Y-49329329D01*
+X176147548Y-49331000D01*
+X176853541Y-49331000D01*
+X176921662Y-49351002D01*
+X176949992Y-49375925D01*
+X176974202Y-49404726D01*
+X176985399Y-49418047D01*
+X177100341Y-49494559D01*
+X177232138Y-49535735D01*
+X177241110Y-49535899D01*
+X177241113Y-49535900D01*
+X177303657Y-49537046D01*
+X177370194Y-49538266D01*
+X177378858Y-49535904D01*
+X177494752Y-49504308D01*
+X177494754Y-49504307D01*
+X177503411Y-49501947D01*
+X177621080Y-49429698D01*
+X177639064Y-49409830D01*
+X177707719Y-49333980D01*
+X177713741Y-49327327D01*
+X177773946Y-49203064D01*
+X177784207Y-49142072D01*
+X177796049Y-49071691D01*
+X177796049Y-49071686D01*
+X177796855Y-49066898D01*
+X177797000Y-49055000D01*
+X177796148Y-49049050D01*
+X182603036Y-49049050D01*
+X182604200Y-49057952D01*
+X182604200Y-49057955D01*
+X182619401Y-49174195D01*
+X182620940Y-49185964D01*
+X182676551Y-49312349D01*
+X182682329Y-49319222D01*
+X182682329Y-49319223D01*
+X182757837Y-49409051D01*
+X182765399Y-49418047D01*
+X182880341Y-49494559D01*
+X183012138Y-49535735D01*
+X183021110Y-49535899D01*
+X183021113Y-49535900D01*
+X183083657Y-49537046D01*
+X183150194Y-49538266D01*
+X183158858Y-49535904D01*
+X183274752Y-49504308D01*
+X183274754Y-49504307D01*
+X183283411Y-49501947D01*
+X183401080Y-49429698D01*
+X183452903Y-49372445D01*
+X183546318Y-49331000D01*
+X184247885Y-49331000D01*
+X184263124Y-49326525D01*
+X184264329Y-49325135D01*
+X184266000Y-49317452D01*
+X184266000Y-48081472D01*
+X184774000Y-48081472D01*
+X184774000Y-49312885D01*
+X184778475Y-49328124D01*
+X184779865Y-49329329D01*
+X184787548Y-49331000D01*
+X185509885Y-49331000D01*
+X185525124Y-49326525D01*
+X185526329Y-49325135D01*
+X185528000Y-49317452D01*
+X185528000Y-48987088D01*
+X185527699Y-48980940D01*
+X185514309Y-48844374D01*
+X185511926Y-48832339D01*
+X185458346Y-48654876D01*
+X185453671Y-48643534D01*
+X185366643Y-48479856D01*
+X185359856Y-48469640D01*
+X185242690Y-48325981D01*
+X185234046Y-48317277D01*
+X185091211Y-48199113D01*
+X185081040Y-48192253D01*
+X184917970Y-48104082D01*
+X184906669Y-48099332D01*
+X184791307Y-48063620D01*
+X184780866Y-48063468D01*
+X184774000Y-48081472D01*
+X184266000Y-48081472D01*
+X184266000Y-48076948D01*
+X184262960Y-48066595D01*
+X184244171Y-48065258D01*
+X184146443Y-48094021D01*
+X184135059Y-48098620D01*
+X183970778Y-48184504D01*
+X183960517Y-48191218D01*
+X183816041Y-48307380D01*
+X183807281Y-48315958D01*
+X183688123Y-48457965D01*
+X183681189Y-48468092D01*
+X183588916Y-48635938D01*
+X183587824Y-48635337D01*
+X183546769Y-48685030D01*
+X183479138Y-48706628D01*
+X183407644Y-48686395D01*
+X183301807Y-48617795D01*
+X183301803Y-48617793D01*
+X183294273Y-48612912D01*
+X183285676Y-48610341D01*
+X183285674Y-48610340D01*
+X183206580Y-48586686D01*
+X183161983Y-48573349D01*
+X183153007Y-48573294D01*
+X183153006Y-48573294D01*
+X183094791Y-48572939D01*
+X183023907Y-48572506D01*
+X183011955Y-48575922D01*
+X182899774Y-48607983D01*
+X182899772Y-48607984D01*
+X182891143Y-48610450D01*
+X182883553Y-48615239D01*
+X182789301Y-48674708D01*
+X182774366Y-48684131D01*
+X182768423Y-48690860D01*
+X182768422Y-48690861D01*
+X182708605Y-48758591D01*
+X182682962Y-48787626D01*
+X182679148Y-48795749D01*
+X182679147Y-48795751D01*
+X182637096Y-48885318D01*
+X182624280Y-48912615D01*
+X182622900Y-48921480D01*
+X182622899Y-48921482D01*
+X182607767Y-49018667D01*
+X182603036Y-49049050D01*
+X177796148Y-49049050D01*
+X177777425Y-48918315D01*
+X177767519Y-48896528D01*
+X177723990Y-48800791D01*
+X177723989Y-48800789D01*
+X177720274Y-48792619D01*
+X177670042Y-48734321D01*
+X177636005Y-48694819D01*
+X177636004Y-48694818D01*
+X177630142Y-48688015D01*
+X177622611Y-48683134D01*
+X177622608Y-48683131D01*
+X177521804Y-48617793D01*
+X177521802Y-48617792D01*
+X177514273Y-48612912D01*
+X177505676Y-48610341D01*
+X177505674Y-48610340D01*
+X177426580Y-48586686D01*
+X177381983Y-48573349D01*
+X177373007Y-48573294D01*
+X177373006Y-48573294D01*
+X177314791Y-48572939D01*
+X177243907Y-48572506D01*
+X177231955Y-48575922D01*
+X177119774Y-48607983D01*
+X177119772Y-48607984D01*
+X177111143Y-48610450D01*
+X176994366Y-48684131D01*
+X176993110Y-48682141D01*
+X176939952Y-48705855D01*
+X176869769Y-48695134D01*
+X176811866Y-48640138D01*
+X176726643Y-48479856D01*
+X176719856Y-48469640D01*
+X176602690Y-48325981D01*
+X176594046Y-48317277D01*
+X176451211Y-48199113D01*
+X176441040Y-48192253D01*
+X176277970Y-48104082D01*
+X176266669Y-48099332D01*
+X176151307Y-48063620D01*
+X176140866Y-48063468D01*
+X176134000Y-48081472D01*
+X175626000Y-48081472D01*
+X175626000Y-48076948D01*
+X175622960Y-48066595D01*
+X175604171Y-48065258D01*
+X175506443Y-48094021D01*
+X175495059Y-48098620D01*
+X175330778Y-48184504D01*
+X175320517Y-48191218D01*
+X175176041Y-48307380D01*
+X175167281Y-48315958D01*
+X175048123Y-48457965D01*
+X175041189Y-48468092D01*
+X174951887Y-48630533D01*
+X174947051Y-48641816D01*
+X174890998Y-48818517D01*
+X174888450Y-48830504D01*
+X174872393Y-48973662D01*
+X174872000Y-48980686D01*
+X140146478Y-48980686D01*
+X140235678Y-48925488D01*
+X140435002Y-48756747D01*
+X140492783Y-48690861D01*
+X140604114Y-48563913D01*
+X140604118Y-48563908D01*
+X140607196Y-48560398D01*
+X140748476Y-48340754D01*
+X140855738Y-48102639D01*
+X140926627Y-47851286D01*
+X140957215Y-47610846D01*
+X140959187Y-47595346D01*
+X140959187Y-47595342D01*
+X140959585Y-47592216D01*
+X140962000Y-47500000D01*
+X140951280Y-47355748D01*
+X140944442Y-47263713D01*
+X173338926Y-47263713D01*
+X173339591Y-47273107D01*
+X173339591Y-47273109D01*
+X173342163Y-47309435D01*
+X173342474Y-47319322D01*
+X173342114Y-47365167D01*
+X173344813Y-47374192D01*
+X173346052Y-47382897D01*
+X173347972Y-47391489D01*
+X173348638Y-47400891D01*
+X173352038Y-47409679D01*
+X173365179Y-47443646D01*
+X173368384Y-47453008D01*
+X173377528Y-47483583D01*
+X173381517Y-47496923D01*
+X173386750Y-47504754D01*
+X173390497Y-47512718D01*
+X173394861Y-47520368D01*
+X173398258Y-47529150D01*
+X173404088Y-47536545D01*
+X173404089Y-47536547D01*
+X173426636Y-47565147D01*
+X173432452Y-47573152D01*
+X173452688Y-47603438D01*
+X173452692Y-47603442D01*
+X173457921Y-47611268D01*
+X173465223Y-47617213D01*
+X173471153Y-47623729D01*
+X173477566Y-47629751D01*
+X173483397Y-47637148D01*
+X173491145Y-47642503D01*
+X173491146Y-47642504D01*
+X173521111Y-47663215D01*
+X173529019Y-47669153D01*
+X173557259Y-47692143D01*
+X173557261Y-47692144D01*
+X173564568Y-47698093D01*
+X173573300Y-47701630D01*
+X173580877Y-47706111D01*
+X173588777Y-47709981D01*
+X173596528Y-47715338D01*
+X173605511Y-47718179D01*
+X173640239Y-47729162D01*
+X173649547Y-47732513D01*
+X173692032Y-47749721D01*
+X173701419Y-47750534D01*
+X173710574Y-47752732D01*
+X173710531Y-47752913D01*
+X173713700Y-47753543D01*
+X173713754Y-47753267D01*
+X173720801Y-47754640D01*
+X173727649Y-47756806D01*
+X173736467Y-47757500D01*
+X173776406Y-47757500D01*
+X173787278Y-47757970D01*
+X173819661Y-47760775D01*
+X173819664Y-47760775D01*
+X173829041Y-47761587D01*
+X173838243Y-47759606D01*
+X173846572Y-47759082D01*
+X173861436Y-47757500D01*
+X192058309Y-47757500D01*
+X192147404Y-47794405D01*
+X192864654Y-48511656D01*
+X195005596Y-50652599D01*
+X195042501Y-50741694D01*
+X195042500Y-51688307D01*
+X195005595Y-51777402D01*
+X194972672Y-51810325D01*
+X194910360Y-51844351D01*
+X194839545Y-51839286D01*
+X194782709Y-51796739D01*
+X194757915Y-51712002D01*
+X194760761Y-51673244D01*
+X194761390Y-51664680D01*
+X194731212Y-51515013D01*
+X194678125Y-51410825D01*
+X194665794Y-51386623D01*
+X194665792Y-51386620D01*
+X194661896Y-51378974D01*
+X194558551Y-51266586D01*
+X194551254Y-51262062D01*
+X194551252Y-51262060D01*
+X194466639Y-51209599D01*
+X194428789Y-51186131D01*
+X194282172Y-51143534D01*
+X194275595Y-51143051D01*
+X194270399Y-51142669D01*
+X194270386Y-51142669D01*
+X194268091Y-51142500D01*
+X194058851Y-51142500D01*
+X193969756Y-51105596D01*
+X193676636Y-50812477D01*
+X193672982Y-50808667D01*
+X193640100Y-50772908D01*
+X193634287Y-50766586D01*
+X193626988Y-50762061D01*
+X193626984Y-50762057D01*
+X193596788Y-50743335D01*
+X193587005Y-50736612D01*
+X193558711Y-50715136D01*
+X193558710Y-50715135D01*
+X193551869Y-50709943D01*
+X193537584Y-50704287D01*
+X193517584Y-50694227D01*
+X193513551Y-50691727D01*
+X193511824Y-50690656D01*
+X193511822Y-50690655D01*
+X193504525Y-50686131D01*
+X193462145Y-50673818D01*
+X193450920Y-50669974D01*
+X193417901Y-50656901D01*
+X193417895Y-50656900D01*
+X193409910Y-50653738D01*
+X193394648Y-50652134D01*
+X193372663Y-50647821D01*
+X193357908Y-50643534D01*
+X193351331Y-50643051D01*
+X193346135Y-50642669D01*
+X193346122Y-50642669D01*
+X193343827Y-50642500D01*
+X193309599Y-50642500D01*
+X193296428Y-50641810D01*
+X193266612Y-50638676D01*
+X193258068Y-50637778D01*
+X193240574Y-50640737D01*
+X193219572Y-50642500D01*
+X187909408Y-50642500D01*
+X187904131Y-50642389D01*
+X187902074Y-50642303D01*
+X187847063Y-50639997D01*
+X187838700Y-50641959D01*
+X187838697Y-50641959D01*
+X187804113Y-50650071D01*
+X187792439Y-50652234D01*
+X187748733Y-50658221D01*
+X187734632Y-50664323D01*
+X187713370Y-50671355D01*
+X187706777Y-50672901D01*
+X187706775Y-50672902D01*
+X187698418Y-50674862D01*
+X187690895Y-50678998D01*
+X187690892Y-50678999D01*
+X187659755Y-50696117D01*
+X187649107Y-50701334D01*
+X187608610Y-50718858D01*
+X187596674Y-50728524D01*
+X187578083Y-50741015D01*
+X187564623Y-50748415D01*
+X187553935Y-50757641D01*
+X187529741Y-50781835D01*
+X187519940Y-50790661D01*
+X187496629Y-50809537D01*
+X187496627Y-50809539D01*
+X187489955Y-50814942D01*
+X187484981Y-50821941D01*
+X187484980Y-50821942D01*
+X187479678Y-50829403D01*
+X187466068Y-50845508D01*
+X187255918Y-51055659D01*
+X187075401Y-51236176D01*
+X187013088Y-51270201D01*
+X186948356Y-51267230D01*
+X186946678Y-51266700D01*
+X186942878Y-51265433D01*
+X186895548Y-51248812D01*
+X186895547Y-51248812D01*
+X186886663Y-51245692D01*
+X186879452Y-51245408D01*
+X186879196Y-51245358D01*
+X186872351Y-51243194D01*
+X186863533Y-51242500D01*
+X186807899Y-51242500D01*
+X186802952Y-51242403D01*
+X186749249Y-51240293D01*
+X186742455Y-51242094D01*
+X186735083Y-51242500D01*
+X185090600Y-51242500D01*
+X185022479Y-51222498D01*
+X184975986Y-51168842D01*
+X184965882Y-51098568D01*
+X184995376Y-51033988D01*
+X185032225Y-51004838D01*
+X185069222Y-50985496D01*
+X185079483Y-50978782D01*
+X185223959Y-50862620D01*
+X185232719Y-50854042D01*
+X185351881Y-50712030D01*
+X185358807Y-50701916D01*
+X185448118Y-50539458D01*
+X185452946Y-50528194D01*
+X185509002Y-50351484D01*
+X185511550Y-50339497D01*
+X185527607Y-50196339D01*
+X185528000Y-50189315D01*
+X185528000Y-49857115D01*
+X185523525Y-49841876D01*
+X185522135Y-49840671D01*
+X185514452Y-49839000D01*
+X183530116Y-49839000D01*
+X183514877Y-49843475D01*
+X183513672Y-49844865D01*
+X183512001Y-49852548D01*
+X183512001Y-50182912D01*
+X183512302Y-50189060D01*
+X183525692Y-50325626D01*
+X183528075Y-50337661D01*
+X183581655Y-50515124D01*
+X183586330Y-50526466D01*
+X183673358Y-50690144D01*
+X183680145Y-50700360D01*
+X183797311Y-50844019D01*
+X183805955Y-50852723D01*
+X183948789Y-50970886D01*
+X183958960Y-50977746D01*
+X184010593Y-51005664D01*
+X184061002Y-51055659D01*
+X184076379Y-51124970D01*
+X184051843Y-51191592D01*
+X183995183Y-51234373D01*
+X183950664Y-51242500D01*
+X183066118Y-51242500D01*
+X183054897Y-51241217D01*
+X183054852Y-51241792D01*
+X183045464Y-51241053D01*
+X183036287Y-51238926D01*
+X183026891Y-51239591D01*
+X183026888Y-51239591D01*
+X182990255Y-51242185D01*
+X182981357Y-51242500D01*
+X177766118Y-51242500D01*
+X177754897Y-51241217D01*
+X177754852Y-51241792D01*
+X177745464Y-51241053D01*
+X177736287Y-51238926D01*
+X177726891Y-51239591D01*
+X177726888Y-51239591D01*
+X177690255Y-51242185D01*
+X177681357Y-51242500D01*
+X177666743Y-51242500D01*
+X177654658Y-51244317D01*
+X177644841Y-51245400D01*
+X177599109Y-51248638D01*
+X177590322Y-51252037D01*
+X177581737Y-51253956D01*
+X177573320Y-51256546D01*
+X177564006Y-51257946D01*
+X177532847Y-51272908D01*
+X177522680Y-51277790D01*
+X177513626Y-51281709D01*
+X177470850Y-51298258D01*
+X177463456Y-51304087D01*
+X177455807Y-51308450D01*
+X177448525Y-51313399D01*
+X177440036Y-51317475D01*
+X177406369Y-51348597D01*
+X177398867Y-51355005D01*
+X177362852Y-51383397D01*
+X177357497Y-51391145D01*
+X177351472Y-51397561D01*
+X177345969Y-51404430D01*
+X177339051Y-51410825D01*
+X177334319Y-51418972D01*
+X177316028Y-51450462D01*
+X177310726Y-51458816D01*
+X177290017Y-51488779D01*
+X177290015Y-51488783D01*
+X177284662Y-51496528D01*
+X177281824Y-51505503D01*
+X177277953Y-51513404D01*
+X177274709Y-51521597D01*
+X177269978Y-51529742D01*
+X177267851Y-51538918D01*
+X177267850Y-51538921D01*
+X177259628Y-51574398D01*
+X177257022Y-51583927D01*
+X177243194Y-51627649D01*
+X177243120Y-51637071D01*
+X177241744Y-51645762D01*
+X177241053Y-51654536D01*
+X177238926Y-51663713D01*
+X177239591Y-51673107D01*
+X177239591Y-51673109D01*
+X177242163Y-51709435D01*
+X177242474Y-51719322D01*
+X177242114Y-51765167D01*
+X177244813Y-51774192D01*
+X177246052Y-51782897D01*
+X177247972Y-51791489D01*
+X177248638Y-51800891D01*
+X177252038Y-51809679D01*
+X177265179Y-51843646D01*
+X177268383Y-51853006D01*
+X177281517Y-51896923D01*
+X177286750Y-51904754D01*
+X177290497Y-51912718D01*
+X177294861Y-51920368D01*
+X177298258Y-51929150D01*
+X177304088Y-51936545D01*
+X177304089Y-51936547D01*
+X177326636Y-51965147D01*
+X177332452Y-51973152D01*
+X177352688Y-52003438D01*
+X177352692Y-52003442D01*
+X177357921Y-52011268D01*
+X177365223Y-52017213D01*
+X177371153Y-52023729D01*
+X177377566Y-52029751D01*
+X177383397Y-52037148D01*
+X177391145Y-52042503D01*
+X177391146Y-52042504D01*
+X177421111Y-52063215D01*
+X177429019Y-52069153D01*
+X177457259Y-52092143D01*
+X177457261Y-52092144D01*
+X177464568Y-52098093D01*
+X177473300Y-52101630D01*
+X177480877Y-52106111D01*
+X177488777Y-52109981D01*
+X177496528Y-52115338D01*
+X177505511Y-52118179D01*
+X177540239Y-52129162D01*
+X177549547Y-52132513D01*
+X177553743Y-52134212D01*
+X177592032Y-52149721D01*
+X177601419Y-52150534D01*
+X177610574Y-52152732D01*
+X177610531Y-52152913D01*
+X177613700Y-52153543D01*
+X177613754Y-52153267D01*
+X177620801Y-52154640D01*
+X177627649Y-52156806D01*
+X177636467Y-52157500D01*
+X177676406Y-52157500D01*
+X177687278Y-52157970D01*
+X177719661Y-52160775D01*
+X177719664Y-52160775D01*
+X177729041Y-52161587D01*
+X177738243Y-52159606D01*
+X177746572Y-52159082D01*
+X177761436Y-52157500D01*
+X182976406Y-52157500D01*
+X182987278Y-52157970D01*
+X183019661Y-52160775D01*
+X183019664Y-52160775D01*
+X183029041Y-52161587D01*
+X183038243Y-52159606D01*
+X183046572Y-52159082D01*
+X183061436Y-52157500D01*
+X186299728Y-52157500D01*
+X186388823Y-52194405D01*
+X186514535Y-52320117D01*
+X186547231Y-52376918D01*
+X186555871Y-52409505D01*
+X186571266Y-52467566D01*
+X186575538Y-52483680D01*
+X186580521Y-52491670D01*
+X186580522Y-52491672D01*
+X186623413Y-52560444D01*
+X186642501Y-52627121D01*
+X186642500Y-55673540D01*
+X186620153Y-55745177D01*
+X186584662Y-55796528D01*
+X186543194Y-55927649D01*
+X186542114Y-56065167D01*
+X186544813Y-56074191D01*
+X186544813Y-56074193D01*
+X186571001Y-56161760D01*
+X186581517Y-56196923D01*
+X186657921Y-56311268D01*
+X186665222Y-56317212D01*
+X186665223Y-56317213D01*
+X186757262Y-56392145D01*
+X186764568Y-56398093D01*
+X186892032Y-56449721D01*
+X186901417Y-56450534D01*
+X186901418Y-56450534D01*
+X187019661Y-56460775D01*
+X187019664Y-56460775D01*
+X187029041Y-56461587D01*
+X187038242Y-56459606D01*
+X187038244Y-56459606D01*
+X187154278Y-56434625D01*
+X187154281Y-56434624D01*
+X187163482Y-56432643D01*
+X187171694Y-56428044D01*
+X187171697Y-56428043D01*
+X187275254Y-56370048D01*
+X187283470Y-56365447D01*
+X187378394Y-56265940D01*
+X187439864Y-56142920D01*
+X187462443Y-56007265D01*
+X187462500Y-56000000D01*
+X187442054Y-55864006D01*
+X187382525Y-55740036D01*
+X187378722Y-55735922D01*
+X187357500Y-55666941D01*
+X187357500Y-53405870D01*
+X189188099Y-53405870D01*
+X189188615Y-53412014D01*
+X189200297Y-53551128D01*
+X189204626Y-53602685D01*
+X189216532Y-53644207D01*
+X189251225Y-53765196D01*
+X189259066Y-53792542D01*
+X189261885Y-53798027D01*
+X189346529Y-53962727D01*
+X189346532Y-53962732D01*
+X189349347Y-53968209D01*
+X189472028Y-54122994D01*
+X189476722Y-54126988D01*
+X189476722Y-54126989D01*
+X189545529Y-54185548D01*
+X189622438Y-54251003D01*
+X189627816Y-54254009D01*
+X189627818Y-54254010D01*
+X189657617Y-54270664D01*
+X189794847Y-54347359D01*
+X189982688Y-54408392D01*
+X190178806Y-54431778D01*
+X190184941Y-54431306D01*
+X190184943Y-54431306D01*
+X190369589Y-54417098D01*
+X190369592Y-54417097D01*
+X190375732Y-54416625D01*
+X190565964Y-54363512D01*
+X190742257Y-54274460D01*
+X190777392Y-54247010D01*
+X190893039Y-54156656D01*
+X190897895Y-54152862D01*
+X190923368Y-54123352D01*
+X191022924Y-54008015D01*
+X191026951Y-54003350D01*
+X191044170Y-53973040D01*
+X191121465Y-53836974D01*
+X191121466Y-53836973D01*
+X191124508Y-53831617D01*
+X191139024Y-53787982D01*
+X191166259Y-53706108D01*
+X191186851Y-53644207D01*
+X191211605Y-53448257D01*
+X191211875Y-53428946D01*
+X191211951Y-53423522D01*
+X191211951Y-53423518D01*
+X191212000Y-53420000D01*
+X191192727Y-53223435D01*
+X191135641Y-53034357D01*
+X191114768Y-52995101D01*
+X191045810Y-52865410D01*
+X191045808Y-52865407D01*
+X191042916Y-52859968D01*
+X190918086Y-52706910D01*
+X190765903Y-52581014D01*
+X190592166Y-52487074D01*
+X190403491Y-52428670D01*
+X190397366Y-52428026D01*
+X190397365Y-52428026D01*
+X190213193Y-52408669D01*
+X190213192Y-52408669D01*
+X190207065Y-52408025D01*
+X190094674Y-52418253D01*
+X190016511Y-52425366D01*
+X190016510Y-52425366D01*
+X190010370Y-52425925D01*
+X189994853Y-52430492D01*
+X189826809Y-52479950D01*
+X189826806Y-52479951D01*
+X189820898Y-52481690D01*
+X189645866Y-52573195D01*
+X189641068Y-52577053D01*
+X189641066Y-52577054D01*
+X189614694Y-52598258D01*
+X189491941Y-52696954D01*
+X189487982Y-52701672D01*
+X189487981Y-52701673D01*
+X189435367Y-52764376D01*
+X189364985Y-52848253D01*
+X189362022Y-52853642D01*
+X189362019Y-52853647D01*
+X189284255Y-52995101D01*
+X189269835Y-53021331D01*
+X189210115Y-53209593D01*
+X189209429Y-53215710D01*
+X189209428Y-53215714D01*
+X189188971Y-53398093D01*
+X189188099Y-53405870D01*
+X187357500Y-53405870D01*
+X187357500Y-52641693D01*
+X187394405Y-52552598D01*
+X187400011Y-52546992D01*
+X187411093Y-52537144D01*
+X187437148Y-52516603D01*
+X187471046Y-52467556D01*
+X187473341Y-52464344D01*
+X187503144Y-52423995D01*
+X187503145Y-52423994D01*
+X187508741Y-52416417D01*
+X187511168Y-52409505D01*
+X187515338Y-52403472D01*
+X187520114Y-52388371D01*
+X187551153Y-52337270D01*
+X188094020Y-51794404D01*
+X188183115Y-51757500D01*
+X192992622Y-51757500D01*
+X193081718Y-51794405D01*
+X193247916Y-51960604D01*
+X193374847Y-52087535D01*
+X193378500Y-52091344D01*
+X193417185Y-52133414D01*
+X193454700Y-52156674D01*
+X193464451Y-52163376D01*
+X193499602Y-52190057D01*
+X193507589Y-52193219D01*
+X193507592Y-52193221D01*
+X193513886Y-52195713D01*
+X193533889Y-52205773D01*
+X193546947Y-52213869D01*
+X193555198Y-52216266D01*
+X193555202Y-52216268D01*
+X193589318Y-52226180D01*
+X193600545Y-52230024D01*
+X193633570Y-52243099D01*
+X193633576Y-52243100D01*
+X193641561Y-52246262D01*
+X193650100Y-52247159D01*
+X193650102Y-52247160D01*
+X193656832Y-52247867D01*
+X193678811Y-52252180D01*
+X193693564Y-52256466D01*
+X193700146Y-52256949D01*
+X193700150Y-52256950D01*
+X193705337Y-52257331D01*
+X193705350Y-52257331D01*
+X193707645Y-52257500D01*
+X193741873Y-52257500D01*
+X193755043Y-52258190D01*
+X193793404Y-52262222D01*
+X193810898Y-52259263D01*
+X193831900Y-52257500D01*
+X194221307Y-52257500D01*
+X194289428Y-52277502D01*
+X194335921Y-52331158D01*
+X194346025Y-52401432D01*
+X194310402Y-52472595D01*
+X193305265Y-53477733D01*
+X193242953Y-53511758D01*
+X193165524Y-53504011D01*
+X193147669Y-53496173D01*
+X193147667Y-53496172D01*
+X193142527Y-53493916D01*
+X192982838Y-53455578D01*
+X192929814Y-53442848D01*
+X192929813Y-53442848D01*
+X192924357Y-53441538D01*
+X192824040Y-53435754D01*
+X192705967Y-53428946D01*
+X192705964Y-53428946D01*
+X192700360Y-53428623D01*
+X192477615Y-53455578D01*
+X192263165Y-53521551D01*
+X192258185Y-53524121D01*
+X192258181Y-53524123D01*
+X192117869Y-53596544D01*
+X192063787Y-53624458D01*
+X191885783Y-53761045D01*
+X191882010Y-53765191D01*
+X191882005Y-53765196D01*
+X191788596Y-53867852D01*
+X191734779Y-53926996D01*
+X191683956Y-54008015D01*
+X191622800Y-54105507D01*
+X191615550Y-54117064D01*
+X191531863Y-54325242D01*
+X191486364Y-54544949D01*
+X191486217Y-54550559D01*
+X191486217Y-54550560D01*
+X191486110Y-54554662D01*
+X191480490Y-54769242D01*
+X191490582Y-54835190D01*
+X191512463Y-54978179D01*
+X191514429Y-54991029D01*
+X191529551Y-55035196D01*
+X191562515Y-55131476D01*
+X191587106Y-55203302D01*
+X191696224Y-55399349D01*
+X191699776Y-55403689D01*
+X191699779Y-55403693D01*
+X191790913Y-55515037D01*
+X191838335Y-55572975D01*
+X191842603Y-55576620D01*
+X192004682Y-55715049D01*
+X192004686Y-55715052D01*
+X192008947Y-55718691D01*
+X192202667Y-55831892D01*
+X192207933Y-55833819D01*
+X192207937Y-55833821D01*
+X192408106Y-55907072D01*
+X192408109Y-55907073D01*
+X192413370Y-55908998D01*
+X192418886Y-55909961D01*
+X192418891Y-55909962D01*
+X192574214Y-55937070D01*
+X192634399Y-55947574D01*
+X192640005Y-55947545D01*
+X192640009Y-55947545D01*
+X192743025Y-55947005D01*
+X192858765Y-55946399D01*
+X192896243Y-55939453D01*
+X193008399Y-55918666D01*
+X193079377Y-55905511D01*
+X193084626Y-55903528D01*
+X193084628Y-55903527D01*
+X193211674Y-55855520D01*
+X193289262Y-55826202D01*
+X193338844Y-55796528D01*
+X193351789Y-55788780D01*
+X193481785Y-55710979D01*
+X193486005Y-55707297D01*
+X193486010Y-55707294D01*
+X193646631Y-55567175D01*
+X193650861Y-55563485D01*
+X193681278Y-55525518D01*
+X193787641Y-55392757D01*
+X193787644Y-55392752D01*
+X193791147Y-55388380D01*
+X193898206Y-55191201D01*
+X193968657Y-54978179D01*
+X194000270Y-54756048D01*
+X194001695Y-54701630D01*
+X194001905Y-54693631D01*
+X194001905Y-54693629D01*
+X194002000Y-54690000D01*
+X194001511Y-54684515D01*
+X193993310Y-54592625D01*
+X193982055Y-54466519D01*
+X193922850Y-54250102D01*
+X193924180Y-54249738D01*
+X193919650Y-54185548D01*
+X193953650Y-54123352D01*
+X194853568Y-53223435D01*
+X195800009Y-52276994D01*
+X195811099Y-52267139D01*
+X195829750Y-52252436D01*
+X195829753Y-52252432D01*
+X195837148Y-52246603D01*
+X195842501Y-52238858D01*
+X195842503Y-52238856D01*
+X195871043Y-52197562D01*
+X195873343Y-52194344D01*
+X195899387Y-52159082D01*
+X195908741Y-52146418D01*
+X195911169Y-52139504D01*
+X195915338Y-52133472D01*
+X195933314Y-52076633D01*
+X195934565Y-52072884D01*
+X195951188Y-52025549D01*
+X195954308Y-52016665D01*
+X195954592Y-52009450D01*
+X195954641Y-52009199D01*
+X195956806Y-52002351D01*
+X195957500Y-51993533D01*
+X195957500Y-51937884D01*
+X195957597Y-51932938D01*
+X195958415Y-51912113D01*
+X195959706Y-51879249D01*
+X195957906Y-51872458D01*
+X195957500Y-51865091D01*
+X195957500Y-50533216D01*
+X195958373Y-50518406D01*
+X195961164Y-50494824D01*
+X195962271Y-50485472D01*
+X195959550Y-50470573D01*
+X195957500Y-50447936D01*
+X195957500Y-47941691D01*
+X195994405Y-47852596D01*
+X196532626Y-47314376D01*
+X198209599Y-45637404D01*
+X198298694Y-45600499D01*
+X207871308Y-45600499D01*
+X207960403Y-45637404D01*
+X212245595Y-49922597D01*
+X212282500Y-50011692D01*
+X212282501Y-53434811D01*
+X212262499Y-53502932D01*
+X212214291Y-53546777D01*
+X212063787Y-53624458D01*
+X211885783Y-53761045D01*
+X211882010Y-53765191D01*
+X211882005Y-53765196D01*
+X211788596Y-53867852D01*
+X211734779Y-53926996D01*
+X211683956Y-54008015D01*
+X211622800Y-54105507D01*
+X211615550Y-54117064D01*
+X211531863Y-54325242D01*
+X211486364Y-54544949D01*
+X211486217Y-54550559D01*
+X211486217Y-54550560D01*
+X211486110Y-54554662D01*
+X211480490Y-54769242D01*
+X211490582Y-54835190D01*
+X211512463Y-54978179D01*
+X211514429Y-54991029D01*
+X211529551Y-55035196D01*
+X211562515Y-55131476D01*
+X211587106Y-55203302D01*
+X211696224Y-55399349D01*
+X211699776Y-55403689D01*
+X211699779Y-55403693D01*
+X211790913Y-55515037D01*
+X211838335Y-55572975D01*
+X211842603Y-55576620D01*
+X212004682Y-55715049D01*
+X212004686Y-55715052D01*
+X212008947Y-55718691D01*
+X212202667Y-55831892D01*
+X212207933Y-55833819D01*
+X212207937Y-55833821D01*
+X212408106Y-55907072D01*
+X212408109Y-55907073D01*
+X212413370Y-55908998D01*
+X212418886Y-55909961D01*
+X212418891Y-55909962D01*
+X212574214Y-55937070D01*
+X212634399Y-55947574D01*
+X212640005Y-55947545D01*
+X212640009Y-55947545D01*
+X212743025Y-55947005D01*
+X212858765Y-55946399D01*
+X212896243Y-55939453D01*
+X213008399Y-55918666D01*
+X213079377Y-55905511D01*
+X213084626Y-55903528D01*
+X213084628Y-55903527D01*
+X213211674Y-55855520D01*
+X213289262Y-55826202D01*
+X213338844Y-55796528D01*
+X213351789Y-55788780D01*
+X213481785Y-55710979D01*
+X213486005Y-55707297D01*
+X213486010Y-55707294D01*
+X213646631Y-55567175D01*
+X213650861Y-55563485D01*
+X213681278Y-55525518D01*
+X213787641Y-55392757D01*
+X213787644Y-55392752D01*
+X213791147Y-55388380D01*
+X213898206Y-55191201D01*
+X213968657Y-54978179D01*
+X214000270Y-54756048D01*
+X214001695Y-54701630D01*
+X214001905Y-54693631D01*
+X214001905Y-54693629D01*
+X214002000Y-54690000D01*
+X214001511Y-54684515D01*
+X213993310Y-54592625D01*
+X213982055Y-54466519D01*
+X213922850Y-54250102D01*
+X213826256Y-54047590D01*
+X213785696Y-53991144D01*
+X213698604Y-53869941D01*
+X213698599Y-53869936D01*
+X213695328Y-53865383D01*
+X213534202Y-53709242D01*
+X213347973Y-53584101D01*
+X213272851Y-53551125D01*
+X213218519Y-53505432D01*
+X213197500Y-53435754D01*
+X213197500Y-49803224D01*
+X213198373Y-49788414D01*
+X213201165Y-49764824D01*
+X213202272Y-49755472D01*
+X213191561Y-49696823D01*
+X213190911Y-49692920D01*
+X213183455Y-49643322D01*
+X213183454Y-49643319D01*
+X213182054Y-49634006D01*
+X213178882Y-49627401D01*
+X213177565Y-49620188D01*
+X213150087Y-49567290D01*
+X213148318Y-49563750D01*
+X213126603Y-49518527D01*
+X213126601Y-49518524D01*
+X213122525Y-49510036D01*
+X213117624Y-49504735D01*
+X213117478Y-49504518D01*
+X213114170Y-49498149D01*
+X213108426Y-49491423D01*
+X213069088Y-49452085D01*
+X213065659Y-49448519D01*
+X213035572Y-49415971D01*
+X213035571Y-49415970D01*
+X213029175Y-49409051D01*
+X213023096Y-49405520D01*
+X213017595Y-49400592D01*
+X211070879Y-47453876D01*
+X219438604Y-47453876D01*
+X219438828Y-47458542D01*
+X219438828Y-47458547D01*
+X219443949Y-47565147D01*
+X219451134Y-47714734D01*
+X219502083Y-47970874D01*
+X219590333Y-48216670D01*
+X219592549Y-48220794D01*
+X219657006Y-48340754D01*
+X219713944Y-48446722D01*
+X219716739Y-48450465D01*
+X219716741Y-48450468D01*
+X219867410Y-48652238D01*
+X219867415Y-48652244D01*
+X219870202Y-48655976D01*
+X219873511Y-48659256D01*
+X219873516Y-48659262D01*
+X220046260Y-48830504D01*
+X220055673Y-48839835D01*
+X220183828Y-48933803D01*
+X220248115Y-48980940D01*
+X220266282Y-48994261D01*
+X220270425Y-48996441D01*
+X220270427Y-48996442D01*
+X220493254Y-49113677D01*
+X220493259Y-49113679D01*
+X220497404Y-49115860D01*
+X220501827Y-49117405D01*
+X220501828Y-49117405D01*
+X220739182Y-49200292D01*
+X220743961Y-49201961D01*
+X221000536Y-49250674D01*
+X221124612Y-49255549D01*
+X221256825Y-49260744D01*
+X221256830Y-49260744D01*
+X221261493Y-49260927D01*
+X221368659Y-49249190D01*
+X221516446Y-49233005D01*
+X221516451Y-49233004D01*
+X221521099Y-49232495D01*
+X221592279Y-49213755D01*
+X221769128Y-49167195D01*
+X221769130Y-49167194D01*
+X221773651Y-49166004D01*
+X221807310Y-49151543D01*
+X222009307Y-49064758D01*
+X222009309Y-49064757D01*
+X222013601Y-49062913D01*
+X222235678Y-48925488D01*
+X222435002Y-48756747D01*
+X222492783Y-48690861D01*
+X222604114Y-48563913D01*
+X222604118Y-48563908D01*
+X222607196Y-48560398D01*
+X222748476Y-48340754D01*
+X222855738Y-48102639D01*
+X222926627Y-47851286D01*
+X222957215Y-47610846D01*
+X222959187Y-47595346D01*
+X222959187Y-47595342D01*
+X222959585Y-47592216D01*
+X222962000Y-47500000D01*
+X222951280Y-47355748D01*
+X222942992Y-47244212D01*
+X222942991Y-47244208D01*
+X222942646Y-47239560D01*
+X222885009Y-46984841D01*
+X222882181Y-46977568D01*
+X222792048Y-46745792D01*
+X222792047Y-46745790D01*
+X222790355Y-46741439D01*
+X222660764Y-46514702D01*
+X222499083Y-46309610D01*
+X222308863Y-46130669D01*
+X222094283Y-45981809D01*
+X222090093Y-45979743D01*
+X222090090Y-45979741D01*
+X221864245Y-45868367D01*
+X221864242Y-45868366D01*
+X221860057Y-45866302D01*
+X221854422Y-45864498D01*
+X221735694Y-45826493D01*
+X221611331Y-45786684D01*
+X221486401Y-45766338D01*
+X221358181Y-45745456D01*
+X221358177Y-45745456D01*
+X221353568Y-45744705D01*
+X221223000Y-45742995D01*
+X221097109Y-45741347D01*
+X221097106Y-45741347D01*
+X221092432Y-45741286D01*
+X220908357Y-45766338D01*
+X220838288Y-45775874D01*
+X220838286Y-45775874D01*
+X220833660Y-45776504D01*
+X220829178Y-45777810D01*
+X220829175Y-45777811D01*
+X220801307Y-45785934D01*
+X220582935Y-45849584D01*
+X220578688Y-45851542D01*
+X220578685Y-45851543D01*
+X220542191Y-45868367D01*
+X220345765Y-45958920D01*
+X220341856Y-45961483D01*
+X220131275Y-46099545D01*
+X220131270Y-46099549D01*
+X220127362Y-46102111D01*
+X220098355Y-46128001D01*
+X219938856Y-46270360D01*
+X219932523Y-46276012D01*
+X219765528Y-46476801D01*
+X219630047Y-46700069D01*
+X219628238Y-46704383D01*
+X219628237Y-46704385D01*
+X219535030Y-46926659D01*
+X219529054Y-46940909D01*
+X219527903Y-46945441D01*
+X219527902Y-46945444D01*
+X219501231Y-47050462D01*
+X219464769Y-47194032D01*
+X219438604Y-47453876D01*
+X211070879Y-47453876D01*
+X208459998Y-44842996D01*
+X208450143Y-44831907D01*
+X208438659Y-44817340D01*
+X208429602Y-44805851D01*
+X208421855Y-44800496D01*
+X208421853Y-44800495D01*
+X208386890Y-44776331D01*
+X208380555Y-44771953D01*
+X208377343Y-44769658D01*
+X208367979Y-44762741D01*
+X208329416Y-44734258D01*
+X208322504Y-44731831D01*
+X208316471Y-44727661D01*
+X208307493Y-44724822D01*
+X208307492Y-44724821D01*
+X208271803Y-44713534D01*
+X208259617Y-44709680D01*
+X208255877Y-44708432D01*
+X208208547Y-44691811D01*
+X208208546Y-44691811D01*
+X208199662Y-44688691D01*
+X208192451Y-44688407D01*
+X208192195Y-44688357D01*
+X208185350Y-44686193D01*
+X208176532Y-44685499D01*
+X208120898Y-44685499D01*
+X208115951Y-44685402D01*
+X208062248Y-44683292D01*
+X208055454Y-44685093D01*
+X208048082Y-44685499D01*
+X198090217Y-44685499D01*
+X198075407Y-44684626D01*
+X198051826Y-44681835D01*
+X198042473Y-44680728D01*
+X197983844Y-44691435D01*
+X197979980Y-44692078D01*
+X197921007Y-44700945D01*
+X197914399Y-44704118D01*
+X197907188Y-44705435D01*
+X197854267Y-44732925D01*
+X197850779Y-44734668D01*
+X197797037Y-44760474D01*
+X197791735Y-44765375D01*
+X197791523Y-44765518D01*
+X197785149Y-44768829D01*
+X197778423Y-44774574D01*
+X197739086Y-44813911D01*
+X197735520Y-44817340D01*
+X197707766Y-44842996D01*
+X197696052Y-44853824D01*
+X197692521Y-44859903D01*
+X197687593Y-44865404D01*
+X196443540Y-46109458D01*
+X195199993Y-47353005D01*
+X195188904Y-47362860D01*
+X195162853Y-47383397D01*
+X195157498Y-47391145D01*
+X195128953Y-47432445D01*
+X195126672Y-47435638D01*
+X195091259Y-47483583D01*
+X195088831Y-47490498D01*
+X195084663Y-47496528D01*
+X195072007Y-47536547D01*
+X195066699Y-47553330D01*
+X195065446Y-47557085D01*
+X195045692Y-47613337D01*
+X195045408Y-47620556D01*
+X195045360Y-47620802D01*
+X195043195Y-47627649D01*
+X195042501Y-47636467D01*
+X195042501Y-47692076D01*
+X195042404Y-47697023D01*
+X195040293Y-47750751D01*
+X195042095Y-47757547D01*
+X195042501Y-47764923D01*
+X195042500Y-49091308D01*
+X195022498Y-49159429D01*
+X194968842Y-49205922D01*
+X194898568Y-49216025D01*
+X194827405Y-49180403D01*
+X192646999Y-46999997D01*
+X192637144Y-46988908D01*
+X192622434Y-46970249D01*
+X192616603Y-46962852D01*
+X192608856Y-46957497D01*
+X192608854Y-46957496D01*
+X192567566Y-46928961D01*
+X192564344Y-46926659D01*
+X192560566Y-46923868D01*
+X192516417Y-46891259D01*
+X192509505Y-46888832D01*
+X192503472Y-46884662D01*
+X192494494Y-46881823D01*
+X192494493Y-46881822D01*
+X192463303Y-46871958D01*
+X192446618Y-46866681D01*
+X192442878Y-46865433D01*
+X192395548Y-46848812D01*
+X192395547Y-46848812D01*
+X192386663Y-46845692D01*
+X192379452Y-46845408D01*
+X192379196Y-46845358D01*
+X192372351Y-46843194D01*
+X192363533Y-46842500D01*
+X192307899Y-46842500D01*
+X192302952Y-46842403D01*
+X192249249Y-46840293D01*
+X192242455Y-46842094D01*
+X192235083Y-46842500D01*
+X185033215Y-46842500D01*
+X184965094Y-46822498D01*
+X184918601Y-46768842D01*
+X184908497Y-46698568D01*
+X184937991Y-46633988D01*
+X184974840Y-46604838D01*
+X185069222Y-46555496D01*
+X185079483Y-46548782D01*
+X185223959Y-46432620D01*
+X185232719Y-46424042D01*
+X185351881Y-46282030D01*
+X185358807Y-46271916D01*
+X185448118Y-46109458D01*
+X185452946Y-46098194D01*
+X185509002Y-45921483D01*
+X185511550Y-45909496D01*
+X185527607Y-45766338D01*
+X185528000Y-45759314D01*
+X185528000Y-45677115D01*
+X185523525Y-45661876D01*
+X185522135Y-45660671D01*
+X185514452Y-45659000D01*
+X183530115Y-45659000D01*
+X183514876Y-45663475D01*
+X183513671Y-45664865D01*
+X183512000Y-45672548D01*
+X183512000Y-45752912D01*
+X183512301Y-45759060D01*
+X183525691Y-45895628D01*
+X183528073Y-45907657D01*
+X183581656Y-46085129D01*
+X183586329Y-46096464D01*
+X183673358Y-46260144D01*
+X183680145Y-46270360D01*
+X183797311Y-46414019D01*
+X183805955Y-46422723D01*
+X183948789Y-46540886D01*
+X183958960Y-46547746D01*
+X184066077Y-46605664D01*
+X184116485Y-46655659D01*
+X184131863Y-46724970D01*
+X184107327Y-46791592D01*
+X184050667Y-46834373D01*
+X184006148Y-46842500D01*
+X176393215Y-46842500D01*
+X176325094Y-46822498D01*
+X176278601Y-46768842D01*
+X176268497Y-46698568D01*
+X176297991Y-46633988D01*
+X176334840Y-46604838D01*
+X176429222Y-46555496D01*
+X176439483Y-46548782D01*
+X176583959Y-46432620D01*
+X176592719Y-46424042D01*
+X176711881Y-46282030D01*
+X176718807Y-46271916D01*
+X176808118Y-46109458D01*
+X176812946Y-46098194D01*
+X176869002Y-45921483D01*
+X176871550Y-45909496D01*
+X176887607Y-45766338D01*
+X176888000Y-45759314D01*
+X176888000Y-45677115D01*
+X176883525Y-45661876D01*
+X176882135Y-45660671D01*
+X176874452Y-45659000D01*
+X174890115Y-45659000D01*
+X174874876Y-45663475D01*
+X174873671Y-45664865D01*
+X174872000Y-45672548D01*
+X174872000Y-45752912D01*
+X174872301Y-45759060D01*
+X174885691Y-45895628D01*
+X174888073Y-45907657D01*
+X174941656Y-46085129D01*
+X174946329Y-46096464D01*
+X175033358Y-46260144D01*
+X175040145Y-46270360D01*
+X175157311Y-46414019D01*
+X175165955Y-46422723D01*
+X175308789Y-46540886D01*
+X175318960Y-46547746D01*
+X175426077Y-46605664D01*
+X175476485Y-46655659D01*
+X175491863Y-46724970D01*
+X175467327Y-46791592D01*
+X175410667Y-46834373D01*
+X175366148Y-46842500D01*
+X173866118Y-46842500D01*
+X173854897Y-46841217D01*
+X173854852Y-46841792D01*
+X173845464Y-46841053D01*
+X173836287Y-46838926D01*
+X173826891Y-46839591D01*
+X173826888Y-46839591D01*
+X173790255Y-46842185D01*
+X173781357Y-46842500D01*
+X173766743Y-46842500D01*
+X173754658Y-46844317D01*
+X173744841Y-46845400D01*
+X173699109Y-46848638D01*
+X173690322Y-46852037D01*
+X173681737Y-46853956D01*
+X173673320Y-46856546D01*
+X173664006Y-46857946D01*
+X173622680Y-46877790D01*
+X173613626Y-46881709D01*
+X173570850Y-46898258D01*
+X173563456Y-46904087D01*
+X173555807Y-46908450D01*
+X173548525Y-46913399D01*
+X173540036Y-46917475D01*
+X173506369Y-46948597D01*
+X173498867Y-46955005D01*
+X173462852Y-46983397D01*
+X173457497Y-46991145D01*
+X173451472Y-46997561D01*
+X173445969Y-47004430D01*
+X173439051Y-47010825D01*
+X173434319Y-47018972D01*
+X173416028Y-47050462D01*
+X173410726Y-47058816D01*
+X173390017Y-47088779D01*
+X173390015Y-47088783D01*
+X173384662Y-47096528D01*
+X173381824Y-47105503D01*
+X173377953Y-47113404D01*
+X173374709Y-47121597D01*
+X173369978Y-47129742D01*
+X173367851Y-47138918D01*
+X173367850Y-47138921D01*
+X173359628Y-47174398D01*
+X173357022Y-47183927D01*
+X173343194Y-47227649D01*
+X173343120Y-47237071D01*
+X173341744Y-47245762D01*
+X173341053Y-47254536D01*
+X173338926Y-47263713D01*
+X140944442Y-47263713D01*
+X140942992Y-47244212D01*
+X140942991Y-47244208D01*
+X140942646Y-47239560D01*
+X140885009Y-46984841D01*
+X140882181Y-46977568D01*
+X140792048Y-46745792D01*
+X140792047Y-46745790D01*
+X140790355Y-46741439D01*
+X140660764Y-46514702D01*
+X140499083Y-46309610D01*
+X140308863Y-46130669D01*
+X140094283Y-45981809D01*
+X140090093Y-45979743D01*
+X140090090Y-45979741D01*
+X139864245Y-45868367D01*
+X139864242Y-45868366D01*
+X139860057Y-45866302D01*
+X139854422Y-45864498D01*
+X139735694Y-45826493D01*
+X139611331Y-45786684D01*
+X139486401Y-45766338D01*
+X139358181Y-45745456D01*
+X139358177Y-45745456D01*
+X139353568Y-45744705D01*
+X139223000Y-45742995D01*
+X139097109Y-45741347D01*
+X139097106Y-45741347D01*
+X139092432Y-45741286D01*
+X138908357Y-45766338D01*
+X138838288Y-45775874D01*
+X138838286Y-45775874D01*
+X138833660Y-45776504D01*
+X138829178Y-45777810D01*
+X138829175Y-45777811D01*
+X138801307Y-45785934D01*
+X138582935Y-45849584D01*
+X138578688Y-45851542D01*
+X138578685Y-45851543D01*
+X138542191Y-45868367D01*
+X138345765Y-45958920D01*
+X138341856Y-45961483D01*
+X138131275Y-46099545D01*
+X138131270Y-46099549D01*
+X138127362Y-46102111D01*
+X138098355Y-46128001D01*
+X137938856Y-46270360D01*
+X137932523Y-46276012D01*
+X137765528Y-46476801D01*
+X137630047Y-46700069D01*
+X137628238Y-46704383D01*
+X137628237Y-46704385D01*
+X137535030Y-46926659D01*
+X137529054Y-46940909D01*
+X137527903Y-46945441D01*
+X137527902Y-46945444D01*
+X137501231Y-47050462D01*
+X137464769Y-47194032D01*
+X137438604Y-47453876D01*
+X135476354Y-47453876D01*
+X135527562Y-47227573D01*
+X135530520Y-47216759D01*
+X135612284Y-46962852D01*
+X135659175Y-46817240D01*
+X135663082Y-46806737D01*
+X135690733Y-46741439D01*
+X135816904Y-46443478D01*
+X135826730Y-46420274D01*
+X135831556Y-46410155D01*
+X136028925Y-46039737D01*
+X136034633Y-46030086D01*
+X136264143Y-45678691D01*
+X136270682Y-45669590D01*
+X136275503Y-45663475D01*
+X136530523Y-45339985D01*
+X136537845Y-45331503D01*
+X136597199Y-45268628D01*
+X136802935Y-45050686D01*
+X174872000Y-45050686D01*
+X174872000Y-45132885D01*
+X174876475Y-45148124D01*
+X174877865Y-45149329D01*
+X174885548Y-45151000D01*
+X175607885Y-45151000D01*
+X175623124Y-45146525D01*
+X175624329Y-45145135D01*
+X175626000Y-45137452D01*
+X175626000Y-44151472D01*
+X176134000Y-44151472D01*
+X176134000Y-45132885D01*
+X176138475Y-45148124D01*
+X176139865Y-45149329D01*
+X176147548Y-45151000D01*
+X176869885Y-45151000D01*
+X176885124Y-45146525D01*
+X176886329Y-45145135D01*
+X176888000Y-45137452D01*
+X176888000Y-45057088D01*
+X176887699Y-45050940D01*
+X176887675Y-45050686D01*
+X183512000Y-45050686D01*
+X183512000Y-45132885D01*
+X183516475Y-45148124D01*
+X183517865Y-45149329D01*
+X183525548Y-45151000D01*
+X184247885Y-45151000D01*
+X184263124Y-45146525D01*
+X184264329Y-45145135D01*
+X184266000Y-45137452D01*
+X184266000Y-44151472D01*
+X184774000Y-44151472D01*
+X184774000Y-45132885D01*
+X184778475Y-45148124D01*
+X184779865Y-45149329D01*
+X184787548Y-45151000D01*
+X185509885Y-45151000D01*
+X185525124Y-45146525D01*
+X185526329Y-45145135D01*
+X185528000Y-45137452D01*
+X185528000Y-45057088D01*
+X185527699Y-45050940D01*
+X185514309Y-44914374D01*
+X185511926Y-44902339D01*
+X185458346Y-44724876D01*
+X185453671Y-44713534D01*
+X185366643Y-44549856D01*
+X185359856Y-44539640D01*
+X185242690Y-44395981D01*
+X185234046Y-44387277D01*
+X185091211Y-44269113D01*
+X185081040Y-44262253D01*
+X184917970Y-44174082D01*
+X184906669Y-44169332D01*
+X184791307Y-44133620D01*
+X184780866Y-44133468D01*
+X184774000Y-44151472D01*
+X184266000Y-44151472D01*
+X184266000Y-44146948D01*
+X184262960Y-44136595D01*
+X184244171Y-44135258D01*
+X184146443Y-44164021D01*
+X184135059Y-44168620D01*
+X183970778Y-44254504D01*
+X183960517Y-44261218D01*
+X183816041Y-44377380D01*
+X183807281Y-44385958D01*
+X183688123Y-44527965D01*
+X183681189Y-44538092D01*
+X183591887Y-44700533D01*
+X183587051Y-44711816D01*
+X183530998Y-44888517D01*
+X183528450Y-44900504D01*
+X183512393Y-45043662D01*
+X183512000Y-45050686D01*
+X176887675Y-45050686D01*
+X176874309Y-44914374D01*
+X176871926Y-44902339D01*
+X176818346Y-44724876D01*
+X176813671Y-44713534D01*
+X176726643Y-44549856D01*
+X176719856Y-44539640D01*
+X176602690Y-44395981D01*
+X176594046Y-44387277D01*
+X176451211Y-44269113D01*
+X176441040Y-44262253D01*
+X176277970Y-44174082D01*
+X176266669Y-44169332D01*
+X176151307Y-44133620D01*
+X176140866Y-44133468D01*
+X176134000Y-44151472D01*
+X175626000Y-44151472D01*
+X175626000Y-44146948D01*
+X175622960Y-44136595D01*
+X175604171Y-44135258D01*
+X175506443Y-44164021D01*
+X175495059Y-44168620D01*
+X175330778Y-44254504D01*
+X175320517Y-44261218D01*
+X175176041Y-44377380D01*
+X175167281Y-44385958D01*
+X175048123Y-44527965D01*
+X175041189Y-44538092D01*
+X174951887Y-44700533D01*
+X174947051Y-44711816D01*
+X174890998Y-44888517D01*
+X174888450Y-44900504D01*
+X174872393Y-45043662D01*
+X174872000Y-45050686D01*
+X136802935Y-45050686D01*
+X136825958Y-45026298D01*
+X136833998Y-45018507D01*
+X137148107Y-44740118D01*
+X137156820Y-44733063D01*
+X137494409Y-44483717D01*
+X137503715Y-44477463D01*
+X137862158Y-44259099D01*
+X137871983Y-44253698D01*
+X138248404Y-44068068D01*
+X138258670Y-44063562D01*
+X138528497Y-43959173D01*
+X138650099Y-43912129D01*
+X138660711Y-43908557D01*
+X139064077Y-43792512D01*
+X139074963Y-43789899D01*
+X139487034Y-43710173D01*
+X139498123Y-43708535D01*
+X139917854Y-43665531D01*
+X139927068Y-43664927D01*
+X140200435Y-43657052D01*
+X140204063Y-43657000D01*
+X220193715Y-43657000D01*
+X220199320Y-43657125D01*
+G37*
+G04 #@! TD.AperFunction*
M02*
diff --git a/pcb/gerber/OtterPill-F_Mask.gts b/pcb/gerber/OtterPill-F_Mask.gts
index de28572..cb4c6a9 100644
--- a/pcb/gerber/OtterPill-F_Mask.gts
+++ b/pcb/gerber/OtterPill-F_Mask.gts
@@ -1,6663 +1,205 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.4+dfsg1-1*
-G04 #@! TF.CreationDate,2019-11-16T02:43:21+01:00*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-4013-gfd874d834)*
+G04 #@! TF.CreationDate,2020-10-14T12:43:32+02:00*
G04 #@! TF.ProjectId,OtterPill,4f747465-7250-4696-9c6c-2e6b69636164,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Top*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.4+dfsg1-1) date 2019-11-16 02:43:21*
+G04 Created by KiCad (PCBNEW (5.99.0-4013-gfd874d834)) date 2020-10-14 12:43:32*
%MOMM*%
%LPD*%
+G01*
G04 APERTURE LIST*
+G04 Aperture macros list*
+%AMRoundRect*
+0 Rectangle with rounded corners*
+0 $1 Rounding radius*
+0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
+0 Add a 4 corners polygon primitive as box body*
+4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
+0 Add four circle primitives for the rounded corners*
+1,1,$1+$1,$2,$3,0*
+1,1,$1+$1,$4,$5,0*
+1,1,$1+$1,$6,$7,0*
+1,1,$1+$1,$8,$9,0*
+0 Add four rect primitives between the rounded corners*
+20,1,$1+$1,$2,$3,$4,$5,0*
+20,1,$1+$1,$4,$5,$6,$7,0*
+20,1,$1+$1,$6,$7,$8,$9,0*
+20,1,$1+$1,$8,$9,$2,$3,0*%
+G04 Aperture macros list end*
+G04 #@! TA.AperFunction,Profile*
%ADD10C,0.050000*%
-%ADD11C,0.100000*%
+G04 #@! TD*
+%ADD11RoundRect,0.237500X-0.287500X-0.237500X0.287500X-0.237500X0.287500X0.237500X-0.287500X0.237500X0*%
+%ADD12RoundRect,0.237500X0.287500X0.237500X-0.287500X0.237500X-0.287500X-0.237500X0.287500X-0.237500X0*%
+%ADD13O,2.400000X2.000000*%
+%ADD14C,2.400000*%
+%ADD15O,4.500000X3.500000*%
+%ADD16C,4.000000*%
+%ADD17C,1.700000*%
+%ADD18C,2.200000*%
+%ADD19C,3.200000*%
+%ADD20R,1.700000X1.700000*%
+%ADD21O,1.700000X1.700000*%
+%ADD22C,0.650000*%
+%ADD23O,1.000000X2.100000*%
+%ADD24O,1.000000X1.600000*%
G04 APERTURE END LIST*
D10*
-X63200000Y-37100000D02*
+X225200000Y-48500000D02*
+X225200000Y-88500000D01*
+X140200000Y-93500000D02*
G75*
-G02X62900000Y-37400000I-300000J0D01*
+G02*
+X135200000Y-88500000I0J5000000D01*
G01*
-X62900000Y-19800000D02*
+X220200000Y-43500000D02*
G75*
-G02X63200000Y-20100000I0J-300000D01*
+G02*
+X225200000Y-48500000I0J-5000000D01*
G01*
-X20000000Y-20100000D02*
+X225200000Y-88500000D02*
G75*
-G02X20300000Y-19800000I300000J0D01*
+G02*
+X220200000Y-93500000I-5000000J0D01*
G01*
-X20300000Y-37400000D02*
+X140200000Y-43500000D02*
+X220200000Y-43500000D01*
+X135200000Y-48500000D02*
G75*
-G02X20000000Y-37100000I0J300000D01*
+G02*
+X140200000Y-43500000I5000000J0D01*
G01*
-X20000000Y-37100000D02*
-X20000000Y-20100000D01*
-X62900000Y-37400000D02*
-X20300000Y-37400000D01*
-X63200000Y-20100000D02*
-X63200000Y-37100000D01*
-X20300000Y-19800000D02*
-X62900000Y-19800000D01*
+X135200000Y-88500000D02*
+X135200000Y-48500000D01*
+X220200000Y-93500000D02*
+X140200000Y-93500000D01*
D11*
-G36*
-X24560000Y-36960000D02*
-G01*
-X22840000Y-36960000D01*
-X22840000Y-35240000D01*
-X24560000Y-35240000D01*
-X24560000Y-36960000D01*
-X24560000Y-36960000D01*
-G37*
-G36*
-X44188589Y-35252444D02*
-G01*
-X44350700Y-35301619D01*
-X44500102Y-35381476D01*
-X44631054Y-35488946D01*
-X44738524Y-35619898D01*
-X44818381Y-35769300D01*
-X44867556Y-35931411D01*
-X44884161Y-36100000D01*
-X44867556Y-36268589D01*
-X44818381Y-36430700D01*
-X44738524Y-36580102D01*
-X44631054Y-36711054D01*
-X44500102Y-36818524D01*
-X44350700Y-36898381D01*
-X44188589Y-36947556D01*
-X44062246Y-36960000D01*
-X43977754Y-36960000D01*
-X43851411Y-36947556D01*
-X43689300Y-36898381D01*
-X43539898Y-36818524D01*
-X43408946Y-36711054D01*
-X43301476Y-36580102D01*
-X43221619Y-36430700D01*
-X43172444Y-36268589D01*
-X43155839Y-36100000D01*
-X43172444Y-35931411D01*
-X43221619Y-35769300D01*
-X43301476Y-35619898D01*
-X43408946Y-35488946D01*
-X43539898Y-35381476D01*
-X43689300Y-35301619D01*
-X43851411Y-35252444D01*
-X43977754Y-35240000D01*
-X44062246Y-35240000D01*
-X44188589Y-35252444D01*
-X44188589Y-35252444D01*
-G37*
-G36*
-X59428589Y-35252444D02*
-G01*
-X59590700Y-35301619D01*
-X59740102Y-35381476D01*
-X59871054Y-35488946D01*
-X59978524Y-35619898D01*
-X60058381Y-35769300D01*
-X60107556Y-35931411D01*
-X60124161Y-36100000D01*
-X60107556Y-36268589D01*
-X60058381Y-36430700D01*
-X59978524Y-36580102D01*
-X59871054Y-36711054D01*
-X59740102Y-36818524D01*
-X59590700Y-36898381D01*
-X59428589Y-36947556D01*
-X59302246Y-36960000D01*
-X59217754Y-36960000D01*
-X59091411Y-36947556D01*
-X58929300Y-36898381D01*
-X58779898Y-36818524D01*
-X58648946Y-36711054D01*
-X58541476Y-36580102D01*
-X58461619Y-36430700D01*
-X58412444Y-36268589D01*
-X58395839Y-36100000D01*
-X58412444Y-35931411D01*
-X58461619Y-35769300D01*
-X58541476Y-35619898D01*
-X58648946Y-35488946D01*
-X58779898Y-35381476D01*
-X58929300Y-35301619D01*
-X59091411Y-35252444D01*
-X59217754Y-35240000D01*
-X59302246Y-35240000D01*
-X59428589Y-35252444D01*
-X59428589Y-35252444D01*
-G37*
-G36*
-X54348589Y-35252444D02*
-G01*
-X54510700Y-35301619D01*
-X54660102Y-35381476D01*
-X54791054Y-35488946D01*
-X54898524Y-35619898D01*
-X54978381Y-35769300D01*
-X55027556Y-35931411D01*
-X55044161Y-36100000D01*
-X55027556Y-36268589D01*
-X54978381Y-36430700D01*
-X54898524Y-36580102D01*
-X54791054Y-36711054D01*
-X54660102Y-36818524D01*
-X54510700Y-36898381D01*
-X54348589Y-36947556D01*
-X54222246Y-36960000D01*
-X54137754Y-36960000D01*
-X54011411Y-36947556D01*
-X53849300Y-36898381D01*
-X53699898Y-36818524D01*
-X53568946Y-36711054D01*
-X53461476Y-36580102D01*
-X53381619Y-36430700D01*
-X53332444Y-36268589D01*
-X53315839Y-36100000D01*
-X53332444Y-35931411D01*
-X53381619Y-35769300D01*
-X53461476Y-35619898D01*
-X53568946Y-35488946D01*
-X53699898Y-35381476D01*
-X53849300Y-35301619D01*
-X54011411Y-35252444D01*
-X54137754Y-35240000D01*
-X54222246Y-35240000D01*
-X54348589Y-35252444D01*
-X54348589Y-35252444D01*
-G37*
-G36*
-X51808589Y-35252444D02*
-G01*
-X51970700Y-35301619D01*
-X52120102Y-35381476D01*
-X52251054Y-35488946D01*
-X52358524Y-35619898D01*
-X52438381Y-35769300D01*
-X52487556Y-35931411D01*
-X52504161Y-36100000D01*
-X52487556Y-36268589D01*
-X52438381Y-36430700D01*
-X52358524Y-36580102D01*
-X52251054Y-36711054D01*
-X52120102Y-36818524D01*
-X51970700Y-36898381D01*
-X51808589Y-36947556D01*
-X51682246Y-36960000D01*
-X51597754Y-36960000D01*
-X51471411Y-36947556D01*
-X51309300Y-36898381D01*
-X51159898Y-36818524D01*
-X51028946Y-36711054D01*
-X50921476Y-36580102D01*
-X50841619Y-36430700D01*
-X50792444Y-36268589D01*
-X50775839Y-36100000D01*
-X50792444Y-35931411D01*
-X50841619Y-35769300D01*
-X50921476Y-35619898D01*
-X51028946Y-35488946D01*
-X51159898Y-35381476D01*
-X51309300Y-35301619D01*
-X51471411Y-35252444D01*
-X51597754Y-35240000D01*
-X51682246Y-35240000D01*
-X51808589Y-35252444D01*
-X51808589Y-35252444D01*
-G37*
-G36*
-X49268589Y-35252444D02*
-G01*
-X49430700Y-35301619D01*
-X49580102Y-35381476D01*
-X49711054Y-35488946D01*
-X49818524Y-35619898D01*
-X49898381Y-35769300D01*
-X49947556Y-35931411D01*
-X49964161Y-36100000D01*
-X49947556Y-36268589D01*
-X49898381Y-36430700D01*
-X49818524Y-36580102D01*
-X49711054Y-36711054D01*
-X49580102Y-36818524D01*
-X49430700Y-36898381D01*
-X49268589Y-36947556D01*
-X49142246Y-36960000D01*
-X49057754Y-36960000D01*
-X48931411Y-36947556D01*
-X48769300Y-36898381D01*
-X48619898Y-36818524D01*
-X48488946Y-36711054D01*
-X48381476Y-36580102D01*
-X48301619Y-36430700D01*
-X48252444Y-36268589D01*
-X48235839Y-36100000D01*
-X48252444Y-35931411D01*
-X48301619Y-35769300D01*
-X48381476Y-35619898D01*
-X48488946Y-35488946D01*
-X48619898Y-35381476D01*
-X48769300Y-35301619D01*
-X48931411Y-35252444D01*
-X49057754Y-35240000D01*
-X49142246Y-35240000D01*
-X49268589Y-35252444D01*
-X49268589Y-35252444D01*
-G37*
-G36*
-X46728589Y-35252444D02*
-G01*
-X46890700Y-35301619D01*
-X47040102Y-35381476D01*
-X47171054Y-35488946D01*
-X47278524Y-35619898D01*
-X47358381Y-35769300D01*
-X47407556Y-35931411D01*
-X47424161Y-36100000D01*
-X47407556Y-36268589D01*
-X47358381Y-36430700D01*
-X47278524Y-36580102D01*
-X47171054Y-36711054D01*
-X47040102Y-36818524D01*
-X46890700Y-36898381D01*
-X46728589Y-36947556D01*
-X46602246Y-36960000D01*
-X46517754Y-36960000D01*
-X46391411Y-36947556D01*
-X46229300Y-36898381D01*
-X46079898Y-36818524D01*
-X45948946Y-36711054D01*
-X45841476Y-36580102D01*
-X45761619Y-36430700D01*
-X45712444Y-36268589D01*
-X45695839Y-36100000D01*
-X45712444Y-35931411D01*
-X45761619Y-35769300D01*
-X45841476Y-35619898D01*
-X45948946Y-35488946D01*
-X46079898Y-35381476D01*
-X46229300Y-35301619D01*
-X46391411Y-35252444D01*
-X46517754Y-35240000D01*
-X46602246Y-35240000D01*
-X46728589Y-35252444D01*
-X46728589Y-35252444D01*
-G37*
-G36*
-X56888589Y-35252444D02*
-G01*
-X57050700Y-35301619D01*
-X57200102Y-35381476D01*
-X57331054Y-35488946D01*
-X57438524Y-35619898D01*
-X57518381Y-35769300D01*
-X57567556Y-35931411D01*
-X57584161Y-36100000D01*
-X57567556Y-36268589D01*
-X57518381Y-36430700D01*
-X57438524Y-36580102D01*
-X57331054Y-36711054D01*
-X57200102Y-36818524D01*
-X57050700Y-36898381D01*
-X56888589Y-36947556D01*
-X56762246Y-36960000D01*
-X56677754Y-36960000D01*
-X56551411Y-36947556D01*
-X56389300Y-36898381D01*
-X56239898Y-36818524D01*
-X56108946Y-36711054D01*
-X56001476Y-36580102D01*
-X55921619Y-36430700D01*
-X55872444Y-36268589D01*
-X55855839Y-36100000D01*
-X55872444Y-35931411D01*
-X55921619Y-35769300D01*
-X56001476Y-35619898D01*
-X56108946Y-35488946D01*
-X56239898Y-35381476D01*
-X56389300Y-35301619D01*
-X56551411Y-35252444D01*
-X56677754Y-35240000D01*
-X56762246Y-35240000D01*
-X56888589Y-35252444D01*
-X56888589Y-35252444D01*
-G37*
-G36*
-X39108589Y-35252444D02*
-G01*
-X39270700Y-35301619D01*
-X39420102Y-35381476D01*
-X39551054Y-35488946D01*
-X39658524Y-35619898D01*
-X39738381Y-35769300D01*
-X39787556Y-35931411D01*
-X39804161Y-36100000D01*
-X39787556Y-36268589D01*
-X39738381Y-36430700D01*
-X39658524Y-36580102D01*
-X39551054Y-36711054D01*
-X39420102Y-36818524D01*
-X39270700Y-36898381D01*
-X39108589Y-36947556D01*
-X38982246Y-36960000D01*
-X38897754Y-36960000D01*
-X38771411Y-36947556D01*
-X38609300Y-36898381D01*
-X38459898Y-36818524D01*
-X38328946Y-36711054D01*
-X38221476Y-36580102D01*
-X38141619Y-36430700D01*
-X38092444Y-36268589D01*
-X38075839Y-36100000D01*
-X38092444Y-35931411D01*
-X38141619Y-35769300D01*
-X38221476Y-35619898D01*
-X38328946Y-35488946D01*
-X38459898Y-35381476D01*
-X38609300Y-35301619D01*
-X38771411Y-35252444D01*
-X38897754Y-35240000D01*
-X38982246Y-35240000D01*
-X39108589Y-35252444D01*
-X39108589Y-35252444D01*
-G37*
-G36*
-X41648589Y-35252444D02*
-G01*
-X41810700Y-35301619D01*
-X41960102Y-35381476D01*
-X42091054Y-35488946D01*
-X42198524Y-35619898D01*
-X42278381Y-35769300D01*
-X42327556Y-35931411D01*
-X42344161Y-36100000D01*
-X42327556Y-36268589D01*
-X42278381Y-36430700D01*
-X42198524Y-36580102D01*
-X42091054Y-36711054D01*
-X41960102Y-36818524D01*
-X41810700Y-36898381D01*
-X41648589Y-36947556D01*
-X41522246Y-36960000D01*
-X41437754Y-36960000D01*
-X41311411Y-36947556D01*
-X41149300Y-36898381D01*
-X40999898Y-36818524D01*
-X40868946Y-36711054D01*
-X40761476Y-36580102D01*
-X40681619Y-36430700D01*
-X40632444Y-36268589D01*
-X40615839Y-36100000D01*
-X40632444Y-35931411D01*
-X40681619Y-35769300D01*
-X40761476Y-35619898D01*
-X40868946Y-35488946D01*
-X40999898Y-35381476D01*
-X41149300Y-35301619D01*
-X41311411Y-35252444D01*
-X41437754Y-35240000D01*
-X41522246Y-35240000D01*
-X41648589Y-35252444D01*
-X41648589Y-35252444D01*
-G37*
-G36*
-X26408589Y-35252444D02*
-G01*
-X26570700Y-35301619D01*
-X26720102Y-35381476D01*
-X26851054Y-35488946D01*
-X26958524Y-35619898D01*
-X27038381Y-35769300D01*
-X27087556Y-35931411D01*
-X27104161Y-36100000D01*
-X27087556Y-36268589D01*
-X27038381Y-36430700D01*
-X26958524Y-36580102D01*
-X26851054Y-36711054D01*
-X26720102Y-36818524D01*
-X26570700Y-36898381D01*
-X26408589Y-36947556D01*
-X26282246Y-36960000D01*
-X26197754Y-36960000D01*
-X26071411Y-36947556D01*
-X25909300Y-36898381D01*
-X25759898Y-36818524D01*
-X25628946Y-36711054D01*
-X25521476Y-36580102D01*
-X25441619Y-36430700D01*
-X25392444Y-36268589D01*
-X25375839Y-36100000D01*
-X25392444Y-35931411D01*
-X25441619Y-35769300D01*
-X25521476Y-35619898D01*
-X25628946Y-35488946D01*
-X25759898Y-35381476D01*
-X25909300Y-35301619D01*
-X26071411Y-35252444D01*
-X26197754Y-35240000D01*
-X26282246Y-35240000D01*
-X26408589Y-35252444D01*
-X26408589Y-35252444D01*
-G37*
-G36*
-X28948589Y-35252444D02*
-G01*
-X29110700Y-35301619D01*
-X29260102Y-35381476D01*
-X29391054Y-35488946D01*
-X29498524Y-35619898D01*
-X29578381Y-35769300D01*
-X29627556Y-35931411D01*
-X29644161Y-36100000D01*
-X29627556Y-36268589D01*
-X29578381Y-36430700D01*
-X29498524Y-36580102D01*
-X29391054Y-36711054D01*
-X29260102Y-36818524D01*
-X29110700Y-36898381D01*
-X28948589Y-36947556D01*
-X28822246Y-36960000D01*
-X28737754Y-36960000D01*
-X28611411Y-36947556D01*
-X28449300Y-36898381D01*
-X28299898Y-36818524D01*
-X28168946Y-36711054D01*
-X28061476Y-36580102D01*
-X27981619Y-36430700D01*
-X27932444Y-36268589D01*
-X27915839Y-36100000D01*
-X27932444Y-35931411D01*
-X27981619Y-35769300D01*
-X28061476Y-35619898D01*
-X28168946Y-35488946D01*
-X28299898Y-35381476D01*
-X28449300Y-35301619D01*
-X28611411Y-35252444D01*
-X28737754Y-35240000D01*
-X28822246Y-35240000D01*
-X28948589Y-35252444D01*
-X28948589Y-35252444D01*
-G37*
-G36*
-X34028589Y-35252444D02*
-G01*
-X34190700Y-35301619D01*
-X34340102Y-35381476D01*
-X34471054Y-35488946D01*
-X34578524Y-35619898D01*
-X34658381Y-35769300D01*
-X34707556Y-35931411D01*
-X34724161Y-36100000D01*
-X34707556Y-36268589D01*
-X34658381Y-36430700D01*
-X34578524Y-36580102D01*
-X34471054Y-36711054D01*
-X34340102Y-36818524D01*
-X34190700Y-36898381D01*
-X34028589Y-36947556D01*
-X33902246Y-36960000D01*
-X33817754Y-36960000D01*
-X33691411Y-36947556D01*
-X33529300Y-36898381D01*
-X33379898Y-36818524D01*
-X33248946Y-36711054D01*
-X33141476Y-36580102D01*
-X33061619Y-36430700D01*
-X33012444Y-36268589D01*
-X32995839Y-36100000D01*
-X33012444Y-35931411D01*
-X33061619Y-35769300D01*
-X33141476Y-35619898D01*
-X33248946Y-35488946D01*
-X33379898Y-35381476D01*
-X33529300Y-35301619D01*
-X33691411Y-35252444D01*
-X33817754Y-35240000D01*
-X33902246Y-35240000D01*
-X34028589Y-35252444D01*
-X34028589Y-35252444D01*
-G37*
-G36*
-X31488589Y-35252444D02*
-G01*
-X31650700Y-35301619D01*
-X31800102Y-35381476D01*
-X31931054Y-35488946D01*
-X32038524Y-35619898D01*
-X32118381Y-35769300D01*
-X32167556Y-35931411D01*
-X32184161Y-36100000D01*
-X32167556Y-36268589D01*
-X32118381Y-36430700D01*
-X32038524Y-36580102D01*
-X31931054Y-36711054D01*
-X31800102Y-36818524D01*
-X31650700Y-36898381D01*
-X31488589Y-36947556D01*
-X31362246Y-36960000D01*
-X31277754Y-36960000D01*
-X31151411Y-36947556D01*
-X30989300Y-36898381D01*
-X30839898Y-36818524D01*
-X30708946Y-36711054D01*
-X30601476Y-36580102D01*
-X30521619Y-36430700D01*
-X30472444Y-36268589D01*
-X30455839Y-36100000D01*
-X30472444Y-35931411D01*
-X30521619Y-35769300D01*
-X30601476Y-35619898D01*
-X30708946Y-35488946D01*
-X30839898Y-35381476D01*
-X30989300Y-35301619D01*
-X31151411Y-35252444D01*
-X31277754Y-35240000D01*
-X31362246Y-35240000D01*
-X31488589Y-35252444D01*
-X31488589Y-35252444D01*
-G37*
-G36*
-X36568589Y-35252444D02*
-G01*
-X36730700Y-35301619D01*
-X36880102Y-35381476D01*
-X37011054Y-35488946D01*
-X37118524Y-35619898D01*
-X37198381Y-35769300D01*
-X37247556Y-35931411D01*
-X37264161Y-36100000D01*
-X37247556Y-36268589D01*
-X37198381Y-36430700D01*
-X37118524Y-36580102D01*
-X37011054Y-36711054D01*
-X36880102Y-36818524D01*
-X36730700Y-36898381D01*
-X36568589Y-36947556D01*
-X36442246Y-36960000D01*
-X36357754Y-36960000D01*
-X36231411Y-36947556D01*
-X36069300Y-36898381D01*
-X35919898Y-36818524D01*
-X35788946Y-36711054D01*
-X35681476Y-36580102D01*
-X35601619Y-36430700D01*
-X35552444Y-36268589D01*
-X35535839Y-36100000D01*
-X35552444Y-35931411D01*
-X35601619Y-35769300D01*
-X35681476Y-35619898D01*
-X35788946Y-35488946D01*
-X35919898Y-35381476D01*
-X36069300Y-35301619D01*
-X36231411Y-35252444D01*
-X36357754Y-35240000D01*
-X36442246Y-35240000D01*
-X36568589Y-35252444D01*
-X36568589Y-35252444D01*
-G37*
-G36*
-X22280000Y-36200000D02*
-G01*
-X20560000Y-36200000D01*
-X20560000Y-34480000D01*
-X22280000Y-34480000D01*
-X22280000Y-36200000D01*
-X22280000Y-36200000D01*
-G37*
-G36*
-X42131751Y-32869740D02*
-G01*
-X42146284Y-32874149D01*
-X42159684Y-32881311D01*
-X42171607Y-32891095D01*
-X42287942Y-33007430D01*
-X42297726Y-33019353D01*
-X42304888Y-33032753D01*
-X42309297Y-33047286D01*
-X42310786Y-33062400D01*
-X42309297Y-33077514D01*
-X42304888Y-33092047D01*
-X42297726Y-33105447D01*
-X42287942Y-33117370D01*
-X41340757Y-34064555D01*
-X41328834Y-34074339D01*
-X41315434Y-34081501D01*
-X41300901Y-34085910D01*
-X41285787Y-34087399D01*
-X41270673Y-34085910D01*
-X41256140Y-34081501D01*
-X41242740Y-34074339D01*
-X41230817Y-34064555D01*
-X41114482Y-33948220D01*
-X41104698Y-33936297D01*
-X41097536Y-33922897D01*
-X41093127Y-33908364D01*
-X41091638Y-33893250D01*
-X41093127Y-33878136D01*
-X41097536Y-33863603D01*
-X41104698Y-33850203D01*
-X41114482Y-33838280D01*
-X42061667Y-32891095D01*
-X42073590Y-32881311D01*
-X42086990Y-32874149D01*
-X42101523Y-32869740D01*
-X42116637Y-32868251D01*
-X42131751Y-32869740D01*
-X42131751Y-32869740D01*
-G37*
-G36*
-X43298477Y-32869740D02*
-G01*
-X43313010Y-32874149D01*
-X43326410Y-32881311D01*
-X43338333Y-32891095D01*
-X44285518Y-33838280D01*
-X44295302Y-33850203D01*
-X44302464Y-33863603D01*
-X44306873Y-33878136D01*
-X44308362Y-33893250D01*
-X44306873Y-33908364D01*
-X44302464Y-33922897D01*
-X44295302Y-33936297D01*
-X44285518Y-33948220D01*
-X44169183Y-34064555D01*
-X44157260Y-34074339D01*
-X44143860Y-34081501D01*
-X44129327Y-34085910D01*
-X44114213Y-34087399D01*
-X44099099Y-34085910D01*
-X44084566Y-34081501D01*
-X44071166Y-34074339D01*
-X44059243Y-34064555D01*
-X43112058Y-33117370D01*
-X43102274Y-33105447D01*
-X43095112Y-33092047D01*
-X43090703Y-33077514D01*
-X43089214Y-33062400D01*
-X43090703Y-33047286D01*
-X43095112Y-33032753D01*
-X43102274Y-33019353D01*
-X43112058Y-33007430D01*
-X43228393Y-32891095D01*
-X43240316Y-32881311D01*
-X43253716Y-32874149D01*
-X43268249Y-32869740D01*
-X43283363Y-32868251D01*
-X43298477Y-32869740D01*
-X43298477Y-32869740D01*
-G37*
-G36*
-X29556908Y-33119275D02*
-G01*
-X29598408Y-33131864D01*
-X29636660Y-33152310D01*
-X29670180Y-33179820D01*
-X29697690Y-33213340D01*
-X29718136Y-33251592D01*
-X29730725Y-33293092D01*
-X29735000Y-33336496D01*
-X29735000Y-33863504D01*
-X29730725Y-33906908D01*
-X29718136Y-33948408D01*
-X29697690Y-33986660D01*
-X29670180Y-34020180D01*
-X29636660Y-34047690D01*
-X29598408Y-34068136D01*
-X29556908Y-34080725D01*
-X29513504Y-34085000D01*
-X29061496Y-34085000D01*
-X29018092Y-34080725D01*
-X28976592Y-34068136D01*
-X28938340Y-34047690D01*
-X28904820Y-34020180D01*
-X28877310Y-33986660D01*
-X28856864Y-33948408D01*
-X28844275Y-33906908D01*
-X28840000Y-33863504D01*
-X28840000Y-33336496D01*
-X28844275Y-33293092D01*
-X28856864Y-33251592D01*
-X28877310Y-33213340D01*
-X28904820Y-33179820D01*
-X28938340Y-33152310D01*
-X28976592Y-33131864D01*
-X29018092Y-33119275D01*
-X29061496Y-33115000D01*
-X29513504Y-33115000D01*
-X29556908Y-33119275D01*
-X29556908Y-33119275D01*
-G37*
-G36*
-X27981908Y-33119275D02*
-G01*
-X28023408Y-33131864D01*
-X28061660Y-33152310D01*
-X28095180Y-33179820D01*
-X28122690Y-33213340D01*
-X28143136Y-33251592D01*
-X28155725Y-33293092D01*
-X28160000Y-33336496D01*
-X28160000Y-33863504D01*
-X28155725Y-33906908D01*
-X28143136Y-33948408D01*
-X28122690Y-33986660D01*
-X28095180Y-34020180D01*
-X28061660Y-34047690D01*
-X28023408Y-34068136D01*
-X27981908Y-34080725D01*
-X27938504Y-34085000D01*
-X27486496Y-34085000D01*
-X27443092Y-34080725D01*
-X27401592Y-34068136D01*
-X27363340Y-34047690D01*
-X27329820Y-34020180D01*
-X27302310Y-33986660D01*
-X27281864Y-33948408D01*
-X27269275Y-33906908D01*
-X27265000Y-33863504D01*
-X27265000Y-33336496D01*
-X27269275Y-33293092D01*
-X27281864Y-33251592D01*
-X27302310Y-33213340D01*
-X27329820Y-33179820D01*
-X27363340Y-33152310D01*
-X27401592Y-33131864D01*
-X27443092Y-33119275D01*
-X27486496Y-33115000D01*
-X27938504Y-33115000D01*
-X27981908Y-33119275D01*
-X27981908Y-33119275D01*
-G37*
-G36*
-X53160000Y-33922000D02*
-G01*
-X52090000Y-33922000D01*
-X52090000Y-33252000D01*
-X53160000Y-33252000D01*
-X53160000Y-33922000D01*
-X53160000Y-33922000D01*
-G37*
-G36*
-X57310000Y-33922000D02*
-G01*
-X56240000Y-33922000D01*
-X56240000Y-33252000D01*
-X57310000Y-33252000D01*
-X57310000Y-33922000D01*
-X57310000Y-33922000D01*
-G37*
-G36*
-X49009258Y-33277906D02*
-G01*
-X49037395Y-33286441D01*
-X49063324Y-33300301D01*
-X49086049Y-33318951D01*
-X49104699Y-33341676D01*
-X49118559Y-33367605D01*
-X49127094Y-33395742D01*
-X49130000Y-33425246D01*
-X49130000Y-33734754D01*
-X49127094Y-33764258D01*
-X49118559Y-33792395D01*
-X49104699Y-33818324D01*
-X49086049Y-33841049D01*
-X49063324Y-33859699D01*
-X49037395Y-33873559D01*
-X49009258Y-33882094D01*
-X48979754Y-33885000D01*
-X48620246Y-33885000D01*
-X48590742Y-33882094D01*
-X48562605Y-33873559D01*
-X48536676Y-33859699D01*
-X48513951Y-33841049D01*
-X48495301Y-33818324D01*
-X48481441Y-33792395D01*
-X48472906Y-33764258D01*
-X48470000Y-33734754D01*
-X48470000Y-33425246D01*
-X48472906Y-33395742D01*
-X48481441Y-33367605D01*
-X48495301Y-33341676D01*
-X48513951Y-33318951D01*
-X48536676Y-33300301D01*
-X48562605Y-33286441D01*
-X48590742Y-33277906D01*
-X48620246Y-33275000D01*
-X48979754Y-33275000D01*
-X49009258Y-33277906D01*
-X49009258Y-33277906D01*
-G37*
-G36*
-X50039258Y-33272906D02*
-G01*
-X50067395Y-33281441D01*
-X50093324Y-33295301D01*
-X50116049Y-33313951D01*
-X50134699Y-33336676D01*
-X50148559Y-33362605D01*
-X50157094Y-33390742D01*
-X50160000Y-33420246D01*
-X50160000Y-33729754D01*
-X50157094Y-33759258D01*
-X50148559Y-33787395D01*
-X50134699Y-33813324D01*
-X50116049Y-33836049D01*
-X50093324Y-33854699D01*
-X50067395Y-33868559D01*
-X50039258Y-33877094D01*
-X50009754Y-33880000D01*
-X49650246Y-33880000D01*
-X49620742Y-33877094D01*
-X49592605Y-33868559D01*
-X49566676Y-33854699D01*
-X49543951Y-33836049D01*
-X49525301Y-33813324D01*
-X49511441Y-33787395D01*
-X49502906Y-33759258D01*
-X49500000Y-33729754D01*
-X49500000Y-33420246D01*
-X49502906Y-33390742D01*
-X49511441Y-33362605D01*
-X49525301Y-33336676D01*
-X49543951Y-33313951D01*
-X49566676Y-33295301D01*
-X49592605Y-33281441D01*
-X49620742Y-33272906D01*
-X49650246Y-33270000D01*
-X50009754Y-33270000D01*
-X50039258Y-33272906D01*
-X50039258Y-33272906D01*
-G37*
-G36*
-X43652031Y-32516186D02*
-G01*
-X43666564Y-32520595D01*
-X43679964Y-32527757D01*
-X43691887Y-32537541D01*
-X44639072Y-33484726D01*
-X44648856Y-33496649D01*
-X44656018Y-33510049D01*
-X44660427Y-33524582D01*
-X44661916Y-33539696D01*
-X44660427Y-33554810D01*
-X44656018Y-33569343D01*
-X44648856Y-33582743D01*
-X44639072Y-33594666D01*
-X44522737Y-33711001D01*
-X44510814Y-33720785D01*
-X44497414Y-33727947D01*
-X44482881Y-33732356D01*
-X44467767Y-33733845D01*
-X44452653Y-33732356D01*
-X44438120Y-33727947D01*
-X44424720Y-33720785D01*
-X44412797Y-33711001D01*
-X43465612Y-32763816D01*
-X43455828Y-32751893D01*
-X43448666Y-32738493D01*
-X43444257Y-32723960D01*
-X43442768Y-32708846D01*
-X43444257Y-32693732D01*
-X43448666Y-32679199D01*
-X43455828Y-32665799D01*
-X43465612Y-32653876D01*
-X43581947Y-32537541D01*
-X43593870Y-32527757D01*
-X43607270Y-32520595D01*
-X43621803Y-32516186D01*
-X43636917Y-32514697D01*
-X43652031Y-32516186D01*
-X43652031Y-32516186D01*
-G37*
-G36*
-X41778197Y-32516186D02*
-G01*
-X41792730Y-32520595D01*
-X41806130Y-32527757D01*
-X41818053Y-32537541D01*
-X41934388Y-32653876D01*
-X41944172Y-32665799D01*
-X41951334Y-32679199D01*
-X41955743Y-32693732D01*
-X41957232Y-32708846D01*
-X41955743Y-32723960D01*
-X41951334Y-32738493D01*
-X41944172Y-32751893D01*
-X41934388Y-32763816D01*
-X40987203Y-33711001D01*
-X40975280Y-33720785D01*
-X40961880Y-33727947D01*
-X40947347Y-33732356D01*
-X40932233Y-33733845D01*
-X40917119Y-33732356D01*
-X40902586Y-33727947D01*
-X40889186Y-33720785D01*
-X40877263Y-33711001D01*
-X40760928Y-33594666D01*
-X40751144Y-33582743D01*
-X40743982Y-33569343D01*
-X40739573Y-33554810D01*
-X40738084Y-33539696D01*
-X40739573Y-33524582D01*
-X40743982Y-33510049D01*
-X40751144Y-33496649D01*
-X40760928Y-33484726D01*
-X41708113Y-32537541D01*
-X41720036Y-32527757D01*
-X41733436Y-32520595D01*
-X41747969Y-32516186D01*
-X41763083Y-32514697D01*
-X41778197Y-32516186D01*
-X41778197Y-32516186D01*
-G37*
-G36*
-X26104976Y-32387380D02*
-G01*
-X26201112Y-32416542D01*
-X26289710Y-32463899D01*
-X26367368Y-32527631D01*
-X26431100Y-32605289D01*
-X26478457Y-32693887D01*
-X26507619Y-32790023D01*
-X26517466Y-32890000D01*
-X26507619Y-32989977D01*
-X26478457Y-33086113D01*
-X26431100Y-33174711D01*
-X26431098Y-33174714D01*
-X26431097Y-33174715D01*
-X26367368Y-33252369D01*
-X26308963Y-33300301D01*
-X26289710Y-33316101D01*
-X26201112Y-33363458D01*
-X26104976Y-33392620D01*
-X26030047Y-33400000D01*
-X24879951Y-33400000D01*
-X24805022Y-33392620D01*
-X24708886Y-33363458D01*
-X24620288Y-33316101D01*
-X24601036Y-33300301D01*
-X24542630Y-33252369D01*
-X24478901Y-33174715D01*
-X24478900Y-33174714D01*
-X24478898Y-33174711D01*
-X24431541Y-33086113D01*
-X24402379Y-32989977D01*
-X24392532Y-32890000D01*
-X24402379Y-32790023D01*
-X24431541Y-32693887D01*
-X24478898Y-32605289D01*
-X24542630Y-32527631D01*
-X24620288Y-32463899D01*
-X24708886Y-32416542D01*
-X24805022Y-32387380D01*
-X24879951Y-32380000D01*
-X26030047Y-32380000D01*
-X26104976Y-32387380D01*
-X26104976Y-32387380D01*
-G37*
-G36*
-X21674976Y-32387380D02*
-G01*
-X21771112Y-32416542D01*
-X21859710Y-32463899D01*
-X21937368Y-32527631D01*
-X22001100Y-32605289D01*
-X22048457Y-32693887D01*
-X22077619Y-32790023D01*
-X22087466Y-32890000D01*
-X22077619Y-32989977D01*
-X22048457Y-33086113D01*
-X22001100Y-33174711D01*
-X22001098Y-33174714D01*
-X22001097Y-33174715D01*
-X21937368Y-33252369D01*
-X21878963Y-33300301D01*
-X21859710Y-33316101D01*
-X21771112Y-33363458D01*
-X21674976Y-33392620D01*
-X21600047Y-33400000D01*
-X20949951Y-33400000D01*
-X20875022Y-33392620D01*
-X20778886Y-33363458D01*
-X20690288Y-33316101D01*
-X20671036Y-33300301D01*
-X20612630Y-33252369D01*
-X20548901Y-33174715D01*
-X20548900Y-33174714D01*
-X20548898Y-33174711D01*
-X20501541Y-33086113D01*
-X20472379Y-32989977D01*
-X20462532Y-32890000D01*
-X20472379Y-32790023D01*
-X20501541Y-32693887D01*
-X20548898Y-32605289D01*
-X20612630Y-32527631D01*
-X20690288Y-32463899D01*
-X20778886Y-32416542D01*
-X20875022Y-32387380D01*
-X20949951Y-32380000D01*
-X21600047Y-32380000D01*
-X21674976Y-32387380D01*
-X21674976Y-32387380D01*
-G37*
-G36*
-X44005584Y-32162633D02*
-G01*
-X44020117Y-32167042D01*
-X44033517Y-32174204D01*
-X44045440Y-32183988D01*
-X44992625Y-33131173D01*
-X45002409Y-33143096D01*
-X45009571Y-33156496D01*
-X45013980Y-33171029D01*
-X45015469Y-33186143D01*
-X45013980Y-33201257D01*
-X45009571Y-33215790D01*
-X45002409Y-33229190D01*
-X44992625Y-33241113D01*
-X44876290Y-33357448D01*
-X44864367Y-33367232D01*
-X44850967Y-33374394D01*
-X44836434Y-33378803D01*
-X44821320Y-33380292D01*
-X44806206Y-33378803D01*
-X44791673Y-33374394D01*
-X44778273Y-33367232D01*
-X44766350Y-33357448D01*
-X43819165Y-32410263D01*
-X43809381Y-32398340D01*
-X43802219Y-32384940D01*
-X43797810Y-32370407D01*
-X43796321Y-32355293D01*
-X43797810Y-32340179D01*
-X43802219Y-32325646D01*
-X43809381Y-32312246D01*
-X43819165Y-32300323D01*
-X43935500Y-32183988D01*
-X43947423Y-32174204D01*
-X43960823Y-32167042D01*
-X43975356Y-32162633D01*
-X43990470Y-32161144D01*
-X44005584Y-32162633D01*
-X44005584Y-32162633D01*
-G37*
-G36*
-X41424644Y-32162633D02*
-G01*
-X41439177Y-32167042D01*
-X41452577Y-32174204D01*
-X41464500Y-32183988D01*
-X41580835Y-32300323D01*
-X41590619Y-32312246D01*
-X41597781Y-32325646D01*
-X41602190Y-32340179D01*
-X41603679Y-32355293D01*
-X41602190Y-32370407D01*
-X41597781Y-32384940D01*
-X41590619Y-32398340D01*
-X41580835Y-32410263D01*
-X40633650Y-33357448D01*
-X40621727Y-33367232D01*
-X40608327Y-33374394D01*
-X40593794Y-33378803D01*
-X40578680Y-33380292D01*
-X40563566Y-33378803D01*
-X40549033Y-33374394D01*
-X40535633Y-33367232D01*
-X40523710Y-33357448D01*
-X40407375Y-33241113D01*
-X40397591Y-33229190D01*
-X40390429Y-33215790D01*
-X40386020Y-33201257D01*
-X40384531Y-33186143D01*
-X40386020Y-33171029D01*
-X40390429Y-33156496D01*
-X40397591Y-33143096D01*
-X40407375Y-33131173D01*
-X41354560Y-32183988D01*
-X41366483Y-32174204D01*
-X41379883Y-32167042D01*
-X41394416Y-32162633D01*
-X41409530Y-32161144D01*
-X41424644Y-32162633D01*
-X41424644Y-32162633D01*
-G37*
-G36*
-X59368589Y-31622444D02*
-G01*
-X59530700Y-31671619D01*
-X59680102Y-31751476D01*
-X59680105Y-31751478D01*
-X59680106Y-31751479D01*
-X59811054Y-31858946D01*
-X59915434Y-31986132D01*
-X59918524Y-31989898D01*
-X59998381Y-32139300D01*
-X60047556Y-32301411D01*
-X60064161Y-32470000D01*
-X60047556Y-32638589D01*
-X59998381Y-32800700D01*
-X59918524Y-32950102D01*
-X59918522Y-32950105D01*
-X59918521Y-32950106D01*
-X59811054Y-33081054D01*
-X59683004Y-33186143D01*
-X59680102Y-33188524D01*
-X59530700Y-33268381D01*
-X59368589Y-33317556D01*
-X59242246Y-33330000D01*
-X59157754Y-33330000D01*
-X59031411Y-33317556D01*
-X58869300Y-33268381D01*
-X58719898Y-33188524D01*
-X58716997Y-33186143D01*
-X58588946Y-33081054D01*
-X58481479Y-32950106D01*
-X58481478Y-32950105D01*
-X58481476Y-32950102D01*
-X58401619Y-32800700D01*
-X58352444Y-32638589D01*
-X58335839Y-32470000D01*
-X58352444Y-32301411D01*
-X58401619Y-32139300D01*
-X58481476Y-31989898D01*
-X58484567Y-31986132D01*
-X58588946Y-31858946D01*
-X58719894Y-31751479D01*
-X58719895Y-31751478D01*
-X58719898Y-31751476D01*
-X58869300Y-31671619D01*
-X59031411Y-31622444D01*
-X59157754Y-31610000D01*
-X59242246Y-31610000D01*
-X59368589Y-31622444D01*
-X59368589Y-31622444D01*
-G37*
-G36*
-X41071090Y-31809079D02*
-G01*
-X41085623Y-31813488D01*
-X41099023Y-31820650D01*
-X41110946Y-31830434D01*
-X41227281Y-31946769D01*
-X41237065Y-31958692D01*
-X41244227Y-31972092D01*
-X41248636Y-31986625D01*
-X41250125Y-32001739D01*
-X41248636Y-32016853D01*
-X41244227Y-32031386D01*
-X41237065Y-32044786D01*
-X41227281Y-32056709D01*
-X40280096Y-33003894D01*
-X40268173Y-33013678D01*
-X40254773Y-33020840D01*
-X40240240Y-33025249D01*
-X40225126Y-33026738D01*
-X40210012Y-33025249D01*
-X40195479Y-33020840D01*
-X40182079Y-33013678D01*
-X40170156Y-33003894D01*
-X40053821Y-32887559D01*
-X40044037Y-32875636D01*
-X40036875Y-32862236D01*
-X40032466Y-32847703D01*
-X40030977Y-32832589D01*
-X40032466Y-32817475D01*
-X40036875Y-32802942D01*
-X40044037Y-32789542D01*
-X40053821Y-32777619D01*
-X41001006Y-31830434D01*
-X41012929Y-31820650D01*
-X41026329Y-31813488D01*
-X41040862Y-31809079D01*
-X41055976Y-31807590D01*
-X41071090Y-31809079D01*
-X41071090Y-31809079D01*
-G37*
-G36*
-X44359138Y-31809079D02*
-G01*
-X44373671Y-31813488D01*
-X44387071Y-31820650D01*
-X44398994Y-31830434D01*
-X45346179Y-32777619D01*
-X45355963Y-32789542D01*
-X45363125Y-32802942D01*
-X45367534Y-32817475D01*
-X45369023Y-32832589D01*
-X45367534Y-32847703D01*
-X45363125Y-32862236D01*
-X45355963Y-32875636D01*
-X45346179Y-32887559D01*
-X45229844Y-33003894D01*
-X45217921Y-33013678D01*
-X45204521Y-33020840D01*
-X45189988Y-33025249D01*
-X45174874Y-33026738D01*
-X45159760Y-33025249D01*
-X45145227Y-33020840D01*
-X45131827Y-33013678D01*
-X45119904Y-33003894D01*
-X44172719Y-32056709D01*
-X44162935Y-32044786D01*
-X44155773Y-32031386D01*
-X44151364Y-32016853D01*
-X44149875Y-32001739D01*
-X44151364Y-31986625D01*
-X44155773Y-31972092D01*
-X44162935Y-31958692D01*
-X44172719Y-31946769D01*
-X44289054Y-31830434D01*
-X44300977Y-31820650D01*
-X44314377Y-31813488D01*
-X44328910Y-31809079D01*
-X44344024Y-31807590D01*
-X44359138Y-31809079D01*
-X44359138Y-31809079D01*
-G37*
-G36*
-X49009258Y-32307906D02*
-G01*
-X49037395Y-32316441D01*
-X49063324Y-32330301D01*
-X49086049Y-32348951D01*
-X49104699Y-32371676D01*
-X49118559Y-32397605D01*
-X49127094Y-32425742D01*
-X49130000Y-32455246D01*
-X49130000Y-32764754D01*
-X49127094Y-32794258D01*
-X49118559Y-32822395D01*
-X49104699Y-32848324D01*
-X49086049Y-32871049D01*
-X49063324Y-32889699D01*
-X49037395Y-32903559D01*
-X49009258Y-32912094D01*
-X48979754Y-32915000D01*
-X48620246Y-32915000D01*
-X48590742Y-32912094D01*
-X48562605Y-32903559D01*
-X48536676Y-32889699D01*
-X48513951Y-32871049D01*
-X48495301Y-32848324D01*
-X48481441Y-32822395D01*
-X48472906Y-32794258D01*
-X48470000Y-32764754D01*
-X48470000Y-32455246D01*
-X48472906Y-32425742D01*
-X48481441Y-32397605D01*
-X48495301Y-32371676D01*
-X48513951Y-32348951D01*
-X48536676Y-32330301D01*
-X48562605Y-32316441D01*
-X48590742Y-32307906D01*
-X48620246Y-32305000D01*
-X48979754Y-32305000D01*
-X49009258Y-32307906D01*
-X49009258Y-32307906D01*
-G37*
-G36*
-X50039258Y-32302906D02*
-G01*
-X50067395Y-32311441D01*
-X50093324Y-32325301D01*
-X50116049Y-32343951D01*
-X50134699Y-32366676D01*
-X50148559Y-32392605D01*
-X50157094Y-32420742D01*
-X50160000Y-32450246D01*
-X50160000Y-32759754D01*
-X50157094Y-32789258D01*
-X50148559Y-32817395D01*
-X50134699Y-32843324D01*
-X50116049Y-32866049D01*
-X50093324Y-32884699D01*
-X50067395Y-32898559D01*
-X50039258Y-32907094D01*
-X50009754Y-32910000D01*
-X49650246Y-32910000D01*
-X49620742Y-32907094D01*
-X49592605Y-32898559D01*
-X49566676Y-32884699D01*
-X49543951Y-32866049D01*
-X49525301Y-32843324D01*
-X49511441Y-32817395D01*
-X49502906Y-32789258D01*
-X49500000Y-32759754D01*
-X49500000Y-32450246D01*
-X49502906Y-32420742D01*
-X49511441Y-32392605D01*
-X49525301Y-32366676D01*
-X49543951Y-32343951D01*
-X49566676Y-32325301D01*
-X49592605Y-32311441D01*
-X49620742Y-32302906D01*
-X49650246Y-32300000D01*
-X50009754Y-32300000D01*
-X50039258Y-32302906D01*
-X50039258Y-32302906D01*
-G37*
-G36*
-X44712691Y-31455526D02*
-G01*
-X44727224Y-31459935D01*
-X44740624Y-31467097D01*
-X44752547Y-31476881D01*
-X45699732Y-32424066D01*
-X45709516Y-32435989D01*
-X45716678Y-32449389D01*
-X45721087Y-32463922D01*
-X45722576Y-32479036D01*
-X45721087Y-32494150D01*
-X45716678Y-32508683D01*
-X45709516Y-32522083D01*
-X45699732Y-32534006D01*
-X45583397Y-32650341D01*
-X45571474Y-32660125D01*
-X45558074Y-32667287D01*
-X45543541Y-32671696D01*
-X45528427Y-32673185D01*
-X45513313Y-32671696D01*
-X45498780Y-32667287D01*
-X45485380Y-32660125D01*
-X45473457Y-32650341D01*
-X44526272Y-31703156D01*
-X44516488Y-31691233D01*
-X44509326Y-31677833D01*
-X44504917Y-31663300D01*
-X44503428Y-31648186D01*
-X44504917Y-31633072D01*
-X44509326Y-31618539D01*
-X44516488Y-31605139D01*
-X44526272Y-31593216D01*
-X44642607Y-31476881D01*
-X44654530Y-31467097D01*
-X44667930Y-31459935D01*
-X44682463Y-31455526D01*
-X44697577Y-31454037D01*
-X44712691Y-31455526D01*
-X44712691Y-31455526D01*
-G37*
-G36*
-X40717537Y-31455526D02*
-G01*
-X40732070Y-31459935D01*
-X40745470Y-31467097D01*
-X40757393Y-31476881D01*
-X40873728Y-31593216D01*
-X40883512Y-31605139D01*
-X40890674Y-31618539D01*
-X40895083Y-31633072D01*
-X40896572Y-31648186D01*
-X40895083Y-31663300D01*
-X40890674Y-31677833D01*
-X40883512Y-31691233D01*
-X40873728Y-31703156D01*
-X39926543Y-32650341D01*
-X39914620Y-32660125D01*
-X39901220Y-32667287D01*
-X39886687Y-32671696D01*
-X39871573Y-32673185D01*
-X39856459Y-32671696D01*
-X39841926Y-32667287D01*
-X39828526Y-32660125D01*
-X39816603Y-32650341D01*
-X39700268Y-32534006D01*
-X39690484Y-32522083D01*
-X39683322Y-32508683D01*
-X39678913Y-32494150D01*
-X39677424Y-32479036D01*
-X39678913Y-32463922D01*
-X39683322Y-32449389D01*
-X39690484Y-32435989D01*
-X39700268Y-32424066D01*
-X40647453Y-31476881D01*
-X40659376Y-31467097D01*
-X40672776Y-31459935D01*
-X40687309Y-31455526D01*
-X40702423Y-31454037D01*
-X40717537Y-31455526D01*
-X40717537Y-31455526D01*
-G37*
-G36*
-X29669258Y-31872906D02*
-G01*
-X29697395Y-31881441D01*
-X29723324Y-31895301D01*
-X29746049Y-31913951D01*
-X29764699Y-31936676D01*
-X29778559Y-31962605D01*
-X29787094Y-31990742D01*
-X29790000Y-32020246D01*
-X29790000Y-32379754D01*
-X29787094Y-32409258D01*
-X29778559Y-32437395D01*
-X29764699Y-32463324D01*
-X29746049Y-32486049D01*
-X29723324Y-32504699D01*
-X29697395Y-32518559D01*
-X29669258Y-32527094D01*
-X29639754Y-32530000D01*
-X29330246Y-32530000D01*
-X29300742Y-32527094D01*
-X29272605Y-32518559D01*
-X29246676Y-32504699D01*
-X29223951Y-32486049D01*
-X29205301Y-32463324D01*
-X29191441Y-32437395D01*
-X29182906Y-32409258D01*
-X29180000Y-32379754D01*
-X29180000Y-32020246D01*
-X29182906Y-31990742D01*
-X29191441Y-31962605D01*
-X29205301Y-31936676D01*
-X29223951Y-31913951D01*
-X29246676Y-31895301D01*
-X29272605Y-31881441D01*
-X29300742Y-31872906D01*
-X29330246Y-31870000D01*
-X29639754Y-31870000D01*
-X29669258Y-31872906D01*
-X29669258Y-31872906D01*
-G37*
-G36*
-X28699258Y-31872906D02*
-G01*
-X28727395Y-31881441D01*
-X28753324Y-31895301D01*
-X28776049Y-31913951D01*
-X28794699Y-31936676D01*
-X28808559Y-31962605D01*
-X28817094Y-31990742D01*
-X28820000Y-32020246D01*
-X28820000Y-32379754D01*
-X28817094Y-32409258D01*
-X28808559Y-32437395D01*
-X28794699Y-32463324D01*
-X28776049Y-32486049D01*
-X28753324Y-32504699D01*
-X28727395Y-32518559D01*
-X28699258Y-32527094D01*
-X28669754Y-32530000D01*
-X28360246Y-32530000D01*
-X28330742Y-32527094D01*
-X28302605Y-32518559D01*
-X28276676Y-32504699D01*
-X28253951Y-32486049D01*
-X28235301Y-32463324D01*
-X28221441Y-32437395D01*
-X28212906Y-32409258D01*
-X28210000Y-32379754D01*
-X28210000Y-32020246D01*
-X28212906Y-31990742D01*
-X28221441Y-31962605D01*
-X28235301Y-31936676D01*
-X28253951Y-31913951D01*
-X28276676Y-31895301D01*
-X28302605Y-31881441D01*
-X28330742Y-31872906D01*
-X28360246Y-31870000D01*
-X28669754Y-31870000D01*
-X28699258Y-31872906D01*
-X28699258Y-31872906D01*
-G37*
-G36*
-X40363984Y-31101973D02*
-G01*
-X40378517Y-31106382D01*
-X40391917Y-31113544D01*
-X40403840Y-31123328D01*
-X40520175Y-31239663D01*
-X40529959Y-31251586D01*
-X40537121Y-31264986D01*
-X40541530Y-31279519D01*
-X40543019Y-31294633D01*
-X40541530Y-31309747D01*
-X40537121Y-31324280D01*
-X40529959Y-31337680D01*
-X40520175Y-31349603D01*
-X39572990Y-32296788D01*
-X39561067Y-32306572D01*
-X39547667Y-32313734D01*
-X39533134Y-32318143D01*
-X39518020Y-32319632D01*
-X39502906Y-32318143D01*
-X39488373Y-32313734D01*
-X39474973Y-32306572D01*
-X39463050Y-32296788D01*
-X39346715Y-32180453D01*
-X39336931Y-32168530D01*
-X39329769Y-32155130D01*
-X39325360Y-32140597D01*
-X39323871Y-32125483D01*
-X39325360Y-32110369D01*
-X39329769Y-32095836D01*
-X39336931Y-32082436D01*
-X39346715Y-32070513D01*
-X40293900Y-31123328D01*
-X40305823Y-31113544D01*
-X40319223Y-31106382D01*
-X40333756Y-31101973D01*
-X40348870Y-31100484D01*
-X40363984Y-31101973D01*
-X40363984Y-31101973D01*
-G37*
-G36*
-X45066244Y-31101973D02*
-G01*
-X45080777Y-31106382D01*
-X45094177Y-31113544D01*
-X45106100Y-31123328D01*
-X46053285Y-32070513D01*
-X46063069Y-32082436D01*
-X46070231Y-32095836D01*
-X46074640Y-32110369D01*
-X46076129Y-32125483D01*
-X46074640Y-32140597D01*
-X46070231Y-32155130D01*
-X46063069Y-32168530D01*
-X46053285Y-32180453D01*
-X45936950Y-32296788D01*
-X45925027Y-32306572D01*
-X45911627Y-32313734D01*
-X45897094Y-32318143D01*
-X45881980Y-32319632D01*
-X45866866Y-32318143D01*
-X45852333Y-32313734D01*
-X45838933Y-32306572D01*
-X45827010Y-32296788D01*
-X44879825Y-31349603D01*
-X44870041Y-31337680D01*
-X44862879Y-31324280D01*
-X44858470Y-31309747D01*
-X44856981Y-31294633D01*
-X44858470Y-31279519D01*
-X44862879Y-31264986D01*
-X44870041Y-31251586D01*
-X44879825Y-31239663D01*
-X44996160Y-31123328D01*
-X45008083Y-31113544D01*
-X45021483Y-31106382D01*
-X45036016Y-31101973D01*
-X45051130Y-31100484D01*
-X45066244Y-31101973D01*
-X45066244Y-31101973D01*
-G37*
-G36*
-X34809258Y-31582906D02*
-G01*
-X34837395Y-31591441D01*
-X34863324Y-31605301D01*
-X34886049Y-31623951D01*
-X34904699Y-31646676D01*
-X34918559Y-31672605D01*
-X34927094Y-31700742D01*
-X34930000Y-31730246D01*
-X34930000Y-32039754D01*
-X34927094Y-32069258D01*
-X34918559Y-32097395D01*
-X34904699Y-32123324D01*
-X34886049Y-32146049D01*
-X34863324Y-32164699D01*
-X34837395Y-32178559D01*
-X34809258Y-32187094D01*
-X34779754Y-32190000D01*
-X34420246Y-32190000D01*
-X34390742Y-32187094D01*
-X34362605Y-32178559D01*
-X34336676Y-32164699D01*
-X34313951Y-32146049D01*
-X34295301Y-32123324D01*
-X34281441Y-32097395D01*
-X34272906Y-32069258D01*
-X34270000Y-32039754D01*
-X34270000Y-31730246D01*
-X34272906Y-31700742D01*
-X34281441Y-31672605D01*
-X34295301Y-31646676D01*
-X34313951Y-31623951D01*
-X34336676Y-31605301D01*
-X34362605Y-31591441D01*
-X34390742Y-31582906D01*
-X34420246Y-31580000D01*
-X34779754Y-31580000D01*
-X34809258Y-31582906D01*
-X34809258Y-31582906D01*
-G37*
-G36*
-X33809258Y-31582906D02*
-G01*
-X33837395Y-31591441D01*
-X33863324Y-31605301D01*
-X33886049Y-31623951D01*
-X33904699Y-31646676D01*
-X33918559Y-31672605D01*
-X33927094Y-31700742D01*
-X33930000Y-31730246D01*
-X33930000Y-32039754D01*
-X33927094Y-32069258D01*
-X33918559Y-32097395D01*
-X33904699Y-32123324D01*
-X33886049Y-32146049D01*
-X33863324Y-32164699D01*
-X33837395Y-32178559D01*
-X33809258Y-32187094D01*
-X33779754Y-32190000D01*
-X33420246Y-32190000D01*
-X33390742Y-32187094D01*
-X33362605Y-32178559D01*
-X33336676Y-32164699D01*
-X33313951Y-32146049D01*
-X33295301Y-32123324D01*
-X33281441Y-32097395D01*
-X33272906Y-32069258D01*
-X33270000Y-32039754D01*
-X33270000Y-31730246D01*
-X33272906Y-31700742D01*
-X33281441Y-31672605D01*
-X33295301Y-31646676D01*
-X33313951Y-31623951D01*
-X33336676Y-31605301D01*
-X33362605Y-31591441D01*
-X33390742Y-31582906D01*
-X33420246Y-31580000D01*
-X33779754Y-31580000D01*
-X33809258Y-31582906D01*
-X33809258Y-31582906D01*
-G37*
-G36*
-X27104999Y-32080000D02*
-G01*
-X25634999Y-32080000D01*
-X25634999Y-31460000D01*
-X27104999Y-31460000D01*
-X27104999Y-32080000D01*
-X27104999Y-32080000D01*
-G37*
-G36*
-X45419798Y-30748419D02*
-G01*
-X45434331Y-30752828D01*
-X45447731Y-30759990D01*
-X45459654Y-30769774D01*
-X46406839Y-31716959D01*
-X46416623Y-31728882D01*
-X46423785Y-31742282D01*
-X46428194Y-31756815D01*
-X46429683Y-31771929D01*
-X46428194Y-31787043D01*
-X46423785Y-31801576D01*
-X46416623Y-31814976D01*
-X46406839Y-31826899D01*
-X46290504Y-31943234D01*
-X46278581Y-31953018D01*
-X46265181Y-31960180D01*
-X46250648Y-31964589D01*
-X46235534Y-31966078D01*
-X46220420Y-31964589D01*
-X46205887Y-31960180D01*
-X46192487Y-31953018D01*
-X46180564Y-31943234D01*
-X45233379Y-30996049D01*
-X45223595Y-30984126D01*
-X45216433Y-30970726D01*
-X45212024Y-30956193D01*
-X45210535Y-30941079D01*
-X45212024Y-30925965D01*
-X45216433Y-30911432D01*
-X45223595Y-30898032D01*
-X45233379Y-30886109D01*
-X45349714Y-30769774D01*
-X45361637Y-30759990D01*
-X45375037Y-30752828D01*
-X45389570Y-30748419D01*
-X45404684Y-30746930D01*
-X45419798Y-30748419D01*
-X45419798Y-30748419D01*
-G37*
-G36*
-X40010430Y-30748419D02*
-G01*
-X40024963Y-30752828D01*
-X40038363Y-30759990D01*
-X40050286Y-30769774D01*
-X40166621Y-30886109D01*
-X40176405Y-30898032D01*
-X40183567Y-30911432D01*
-X40187976Y-30925965D01*
-X40189465Y-30941079D01*
-X40187976Y-30956193D01*
-X40183567Y-30970726D01*
-X40176405Y-30984126D01*
-X40166621Y-30996049D01*
-X39219436Y-31943234D01*
-X39207513Y-31953018D01*
-X39194113Y-31960180D01*
-X39179580Y-31964589D01*
-X39164466Y-31966078D01*
-X39149352Y-31964589D01*
-X39134819Y-31960180D01*
-X39121419Y-31953018D01*
-X39109496Y-31943234D01*
-X38993161Y-31826899D01*
-X38983377Y-31814976D01*
-X38976215Y-31801576D01*
-X38971806Y-31787043D01*
-X38970317Y-31771929D01*
-X38971806Y-31756815D01*
-X38976215Y-31742282D01*
-X38983377Y-31728882D01*
-X38993161Y-31716959D01*
-X39940346Y-30769774D01*
-X39952269Y-30759990D01*
-X39965669Y-30752828D01*
-X39980202Y-30748419D01*
-X39995316Y-30746930D01*
-X40010430Y-30748419D01*
-X40010430Y-30748419D01*
-G37*
-G36*
-X25022717Y-31137874D02*
-G01*
-X25083678Y-31163125D01*
-X25083681Y-31163127D01*
-X25138549Y-31199788D01*
-X25185211Y-31246450D01*
-X25217405Y-31294633D01*
-X25221874Y-31301321D01*
-X25247125Y-31362282D01*
-X25259999Y-31427005D01*
-X25259999Y-31492995D01*
-X25247125Y-31557718D01*
-X25221874Y-31618679D01*
-X25221872Y-31618682D01*
-X25185211Y-31673550D01*
-X25138549Y-31720212D01*
-X25091758Y-31751476D01*
-X25083678Y-31756875D01*
-X25022717Y-31782126D01*
-X24957994Y-31795000D01*
-X24892004Y-31795000D01*
-X24827281Y-31782126D01*
-X24766320Y-31756875D01*
-X24758240Y-31751476D01*
-X24711449Y-31720212D01*
-X24664787Y-31673550D01*
-X24628126Y-31618682D01*
-X24628124Y-31618679D01*
-X24602873Y-31557718D01*
-X24589999Y-31492995D01*
-X24589999Y-31427005D01*
-X24602873Y-31362282D01*
-X24628124Y-31301321D01*
-X24632593Y-31294633D01*
-X24664787Y-31246450D01*
-X24711449Y-31199788D01*
-X24766317Y-31163127D01*
-X24766320Y-31163125D01*
-X24827281Y-31137874D01*
-X24892004Y-31125000D01*
-X24957994Y-31125000D01*
-X25022717Y-31137874D01*
-X25022717Y-31137874D01*
-G37*
-G36*
-X50139258Y-31182906D02*
-G01*
-X50167395Y-31191441D01*
-X50193324Y-31205301D01*
-X50216049Y-31223951D01*
-X50234699Y-31246676D01*
-X50248559Y-31272605D01*
-X50257094Y-31300742D01*
-X50260000Y-31330246D01*
-X50260000Y-31639754D01*
-X50257094Y-31669258D01*
-X50248559Y-31697395D01*
-X50234699Y-31723324D01*
-X50216049Y-31746049D01*
-X50193324Y-31764699D01*
-X50167395Y-31778559D01*
-X50139258Y-31787094D01*
-X50109754Y-31790000D01*
-X49750246Y-31790000D01*
-X49720742Y-31787094D01*
-X49692605Y-31778559D01*
-X49666676Y-31764699D01*
-X49643951Y-31746049D01*
-X49625301Y-31723324D01*
-X49611441Y-31697395D01*
-X49602906Y-31669258D01*
-X49600000Y-31639754D01*
-X49600000Y-31330246D01*
-X49602906Y-31300742D01*
-X49611441Y-31272605D01*
-X49625301Y-31246676D01*
-X49643951Y-31223951D01*
-X49666676Y-31205301D01*
-X49692605Y-31191441D01*
-X49720742Y-31182906D01*
-X49750246Y-31180000D01*
-X50109754Y-31180000D01*
-X50139258Y-31182906D01*
-X50139258Y-31182906D01*
-G37*
-G36*
-X53160000Y-31772000D02*
-G01*
-X52090000Y-31772000D01*
-X52090000Y-31102000D01*
-X53160000Y-31102000D01*
-X53160000Y-31772000D01*
-X53160000Y-31772000D01*
-G37*
-G36*
-X57310000Y-31772000D02*
-G01*
-X56240000Y-31772000D01*
-X56240000Y-31102000D01*
-X57310000Y-31102000D01*
-X57310000Y-31772000D01*
-X57310000Y-31772000D01*
-G37*
-G36*
-X39656877Y-30394866D02*
-G01*
-X39671410Y-30399275D01*
-X39684810Y-30406437D01*
-X39696733Y-30416221D01*
-X39813068Y-30532556D01*
-X39822852Y-30544479D01*
-X39830014Y-30557879D01*
-X39834423Y-30572412D01*
-X39835912Y-30587526D01*
-X39834423Y-30602640D01*
-X39830014Y-30617173D01*
-X39822852Y-30630573D01*
-X39813068Y-30642496D01*
-X38865883Y-31589681D01*
-X38853960Y-31599465D01*
-X38840560Y-31606627D01*
-X38826027Y-31611036D01*
-X38810913Y-31612525D01*
-X38795799Y-31611036D01*
-X38781266Y-31606627D01*
-X38767866Y-31599465D01*
-X38755943Y-31589681D01*
-X38639608Y-31473346D01*
-X38629824Y-31461423D01*
-X38622662Y-31448023D01*
-X38618253Y-31433490D01*
-X38616764Y-31418376D01*
-X38618253Y-31403262D01*
-X38622662Y-31388729D01*
-X38629824Y-31375329D01*
-X38639608Y-31363406D01*
-X39586793Y-30416221D01*
-X39598716Y-30406437D01*
-X39612116Y-30399275D01*
-X39626649Y-30394866D01*
-X39641763Y-30393377D01*
-X39656877Y-30394866D01*
-X39656877Y-30394866D01*
-G37*
-G36*
-X45773351Y-30394866D02*
-G01*
-X45787884Y-30399275D01*
-X45801284Y-30406437D01*
-X45813207Y-30416221D01*
-X46760392Y-31363406D01*
-X46770176Y-31375329D01*
-X46777338Y-31388729D01*
-X46781747Y-31403262D01*
-X46783236Y-31418376D01*
-X46781747Y-31433490D01*
-X46777338Y-31448023D01*
-X46770176Y-31461423D01*
-X46760392Y-31473346D01*
-X46644057Y-31589681D01*
-X46632134Y-31599465D01*
-X46618734Y-31606627D01*
-X46604201Y-31611036D01*
-X46589087Y-31612525D01*
-X46573973Y-31611036D01*
-X46559440Y-31606627D01*
-X46546040Y-31599465D01*
-X46534117Y-31589681D01*
-X45586932Y-30642496D01*
-X45577148Y-30630573D01*
-X45569986Y-30617173D01*
-X45565577Y-30602640D01*
-X45564088Y-30587526D01*
-X45565577Y-30572412D01*
-X45569986Y-30557879D01*
-X45577148Y-30544479D01*
-X45586932Y-30532556D01*
-X45703267Y-30416221D01*
-X45715190Y-30406437D01*
-X45728590Y-30399275D01*
-X45743123Y-30394866D01*
-X45758237Y-30393377D01*
-X45773351Y-30394866D01*
-X45773351Y-30394866D01*
-G37*
-G36*
-X32269258Y-30672906D02*
-G01*
-X32297395Y-30681441D01*
-X32323324Y-30695301D01*
-X32346049Y-30713951D01*
-X32364699Y-30736676D01*
-X32378559Y-30762605D01*
-X32387094Y-30790742D01*
-X32390000Y-30820246D01*
-X32390000Y-31179754D01*
-X32387094Y-31209258D01*
-X32378559Y-31237395D01*
-X32364699Y-31263324D01*
-X32346049Y-31286049D01*
-X32323324Y-31304699D01*
-X32297395Y-31318559D01*
-X32269258Y-31327094D01*
-X32239754Y-31330000D01*
-X31930246Y-31330000D01*
-X31900742Y-31327094D01*
-X31872605Y-31318559D01*
-X31846676Y-31304699D01*
-X31823951Y-31286049D01*
-X31805301Y-31263324D01*
-X31791441Y-31237395D01*
-X31782906Y-31209258D01*
-X31780000Y-31179754D01*
-X31780000Y-30820246D01*
-X31782906Y-30790742D01*
-X31791441Y-30762605D01*
-X31805301Y-30736676D01*
-X31823951Y-30713951D01*
-X31846676Y-30695301D01*
-X31872605Y-30681441D01*
-X31900742Y-30672906D01*
-X31930246Y-30670000D01*
-X32239754Y-30670000D01*
-X32269258Y-30672906D01*
-X32269258Y-30672906D01*
-G37*
-G36*
-X29669258Y-30672906D02*
-G01*
-X29697395Y-30681441D01*
-X29723324Y-30695301D01*
-X29746049Y-30713951D01*
-X29764699Y-30736676D01*
-X29778559Y-30762605D01*
-X29787094Y-30790742D01*
-X29790000Y-30820246D01*
-X29790000Y-31179754D01*
-X29787094Y-31209258D01*
-X29778559Y-31237395D01*
-X29764699Y-31263324D01*
-X29746049Y-31286049D01*
-X29723324Y-31304699D01*
-X29697395Y-31318559D01*
-X29669258Y-31327094D01*
-X29639754Y-31330000D01*
-X29330246Y-31330000D01*
-X29300742Y-31327094D01*
-X29272605Y-31318559D01*
-X29246676Y-31304699D01*
-X29223951Y-31286049D01*
-X29205301Y-31263324D01*
-X29191441Y-31237395D01*
-X29182906Y-31209258D01*
-X29180000Y-31179754D01*
-X29180000Y-30820246D01*
-X29182906Y-30790742D01*
-X29191441Y-30762605D01*
-X29205301Y-30736676D01*
-X29223951Y-30713951D01*
-X29246676Y-30695301D01*
-X29272605Y-30681441D01*
-X29300742Y-30672906D01*
-X29330246Y-30670000D01*
-X29639754Y-30670000D01*
-X29669258Y-30672906D01*
-X29669258Y-30672906D01*
-G37*
-G36*
-X31299258Y-30672906D02*
-G01*
-X31327395Y-30681441D01*
-X31353324Y-30695301D01*
-X31376049Y-30713951D01*
-X31394699Y-30736676D01*
-X31408559Y-30762605D01*
-X31417094Y-30790742D01*
-X31420000Y-30820246D01*
-X31420000Y-31179754D01*
-X31417094Y-31209258D01*
-X31408559Y-31237395D01*
-X31394699Y-31263324D01*
-X31376049Y-31286049D01*
-X31353324Y-31304699D01*
-X31327395Y-31318559D01*
-X31299258Y-31327094D01*
-X31269754Y-31330000D01*
-X30960246Y-31330000D01*
-X30930742Y-31327094D01*
-X30902605Y-31318559D01*
-X30876676Y-31304699D01*
-X30853951Y-31286049D01*
-X30835301Y-31263324D01*
-X30821441Y-31237395D01*
-X30812906Y-31209258D01*
-X30810000Y-31179754D01*
-X30810000Y-30820246D01*
-X30812906Y-30790742D01*
-X30821441Y-30762605D01*
-X30835301Y-30736676D01*
-X30853951Y-30713951D01*
-X30876676Y-30695301D01*
-X30902605Y-30681441D01*
-X30930742Y-30672906D01*
-X30960246Y-30670000D01*
-X31269754Y-30670000D01*
-X31299258Y-30672906D01*
-X31299258Y-30672906D01*
-G37*
-G36*
-X28699258Y-30672906D02*
-G01*
-X28727395Y-30681441D01*
-X28753324Y-30695301D01*
-X28776049Y-30713951D01*
-X28794699Y-30736676D01*
-X28808559Y-30762605D01*
-X28817094Y-30790742D01*
-X28820000Y-30820246D01*
-X28820000Y-31179754D01*
-X28817094Y-31209258D01*
-X28808559Y-31237395D01*
-X28794699Y-31263324D01*
-X28776049Y-31286049D01*
-X28753324Y-31304699D01*
-X28727395Y-31318559D01*
-X28699258Y-31327094D01*
-X28669754Y-31330000D01*
-X28360246Y-31330000D01*
-X28330742Y-31327094D01*
-X28302605Y-31318559D01*
-X28276676Y-31304699D01*
-X28253951Y-31286049D01*
-X28235301Y-31263324D01*
-X28221441Y-31237395D01*
-X28212906Y-31209258D01*
-X28210000Y-31179754D01*
-X28210000Y-30820246D01*
-X28212906Y-30790742D01*
-X28221441Y-30762605D01*
-X28235301Y-30736676D01*
-X28253951Y-30713951D01*
-X28276676Y-30695301D01*
-X28302605Y-30681441D01*
-X28330742Y-30672906D01*
-X28360246Y-30670000D01*
-X28669754Y-30670000D01*
-X28699258Y-30672906D01*
-X28699258Y-30672906D01*
-G37*
-G36*
-X27104999Y-31280000D02*
-G01*
-X25634999Y-31280000D01*
-X25634999Y-30660000D01*
-X27104999Y-30660000D01*
-X27104999Y-31280000D01*
-X27104999Y-31280000D01*
-G37*
-G36*
-X46126904Y-30041313D02*
-G01*
-X46141437Y-30045722D01*
-X46154837Y-30052884D01*
-X46166760Y-30062668D01*
-X47113945Y-31009853D01*
-X47123729Y-31021776D01*
-X47130891Y-31035176D01*
-X47135300Y-31049709D01*
-X47136789Y-31064823D01*
-X47135300Y-31079937D01*
-X47130891Y-31094470D01*
-X47123729Y-31107870D01*
-X47113945Y-31119793D01*
-X46997610Y-31236128D01*
-X46985687Y-31245912D01*
-X46972287Y-31253074D01*
-X46957754Y-31257483D01*
-X46942640Y-31258972D01*
-X46927526Y-31257483D01*
-X46912993Y-31253074D01*
-X46899593Y-31245912D01*
-X46887670Y-31236128D01*
-X45940485Y-30288943D01*
-X45930701Y-30277020D01*
-X45923539Y-30263620D01*
-X45919130Y-30249087D01*
-X45917641Y-30233973D01*
-X45919130Y-30218859D01*
-X45923539Y-30204326D01*
-X45930701Y-30190926D01*
-X45940485Y-30179003D01*
-X46056820Y-30062668D01*
-X46068743Y-30052884D01*
-X46082143Y-30045722D01*
-X46096676Y-30041313D01*
-X46111790Y-30039824D01*
-X46126904Y-30041313D01*
-X46126904Y-30041313D01*
-G37*
-G36*
-X39303324Y-30041313D02*
-G01*
-X39317857Y-30045722D01*
-X39331257Y-30052884D01*
-X39343180Y-30062668D01*
-X39459515Y-30179003D01*
-X39469299Y-30190926D01*
-X39476461Y-30204326D01*
-X39480870Y-30218859D01*
-X39482359Y-30233973D01*
-X39480870Y-30249087D01*
-X39476461Y-30263620D01*
-X39469299Y-30277020D01*
-X39459515Y-30288943D01*
-X38512330Y-31236128D01*
-X38500407Y-31245912D01*
-X38487007Y-31253074D01*
-X38472474Y-31257483D01*
-X38457360Y-31258972D01*
-X38442246Y-31257483D01*
-X38427713Y-31253074D01*
-X38414313Y-31245912D01*
-X38402390Y-31236128D01*
-X38286055Y-31119793D01*
-X38276271Y-31107870D01*
-X38269109Y-31094470D01*
-X38264700Y-31079937D01*
-X38263211Y-31064823D01*
-X38264700Y-31049709D01*
-X38269109Y-31035176D01*
-X38276271Y-31021776D01*
-X38286055Y-31009853D01*
-X39233240Y-30062668D01*
-X39245163Y-30052884D01*
-X39258563Y-30045722D01*
-X39273096Y-30041313D01*
-X39288210Y-30039824D01*
-X39303324Y-30041313D01*
-X39303324Y-30041313D01*
-G37*
-G36*
-X34809258Y-30612906D02*
-G01*
-X34837395Y-30621441D01*
-X34863324Y-30635301D01*
-X34886049Y-30653951D01*
-X34904699Y-30676676D01*
-X34918559Y-30702605D01*
-X34927094Y-30730742D01*
-X34930000Y-30760246D01*
-X34930000Y-31069754D01*
-X34927094Y-31099258D01*
-X34918559Y-31127395D01*
-X34904699Y-31153324D01*
-X34886049Y-31176049D01*
-X34863324Y-31194699D01*
-X34837395Y-31208559D01*
-X34809258Y-31217094D01*
-X34779754Y-31220000D01*
-X34420246Y-31220000D01*
-X34390742Y-31217094D01*
-X34362605Y-31208559D01*
-X34336676Y-31194699D01*
-X34313951Y-31176049D01*
-X34295301Y-31153324D01*
-X34281441Y-31127395D01*
-X34272906Y-31099258D01*
-X34270000Y-31069754D01*
-X34270000Y-30760246D01*
-X34272906Y-30730742D01*
-X34281441Y-30702605D01*
-X34295301Y-30676676D01*
-X34313951Y-30653951D01*
-X34336676Y-30635301D01*
-X34362605Y-30621441D01*
-X34390742Y-30612906D01*
-X34420246Y-30610000D01*
-X34779754Y-30610000D01*
-X34809258Y-30612906D01*
-X34809258Y-30612906D01*
-G37*
-G36*
-X33809258Y-30612906D02*
-G01*
-X33837395Y-30621441D01*
-X33863324Y-30635301D01*
-X33886049Y-30653951D01*
-X33904699Y-30676676D01*
-X33918559Y-30702605D01*
-X33927094Y-30730742D01*
-X33930000Y-30760246D01*
-X33930000Y-31069754D01*
-X33927094Y-31099258D01*
-X33918559Y-31127395D01*
-X33904699Y-31153324D01*
-X33886049Y-31176049D01*
-X33863324Y-31194699D01*
-X33837395Y-31208559D01*
-X33809258Y-31217094D01*
-X33779754Y-31220000D01*
-X33420246Y-31220000D01*
-X33390742Y-31217094D01*
-X33362605Y-31208559D01*
-X33336676Y-31194699D01*
-X33313951Y-31176049D01*
-X33295301Y-31153324D01*
-X33281441Y-31127395D01*
-X33272906Y-31099258D01*
-X33270000Y-31069754D01*
-X33270000Y-30760246D01*
-X33272906Y-30730742D01*
-X33281441Y-30702605D01*
-X33295301Y-30676676D01*
-X33313951Y-30653951D01*
-X33336676Y-30635301D01*
-X33362605Y-30621441D01*
-X33390742Y-30612906D01*
-X33420246Y-30610000D01*
-X33779754Y-30610000D01*
-X33809258Y-30612906D01*
-X33809258Y-30612906D01*
-G37*
-G36*
-X49129258Y-30437906D02*
-G01*
-X49157395Y-30446441D01*
-X49183324Y-30460301D01*
-X49206049Y-30478951D01*
-X49224699Y-30501676D01*
-X49238559Y-30527605D01*
-X49247094Y-30555742D01*
-X49250000Y-30585246D01*
-X49250000Y-30894754D01*
-X49247094Y-30924258D01*
-X49238559Y-30952395D01*
-X49224699Y-30978324D01*
-X49206049Y-31001049D01*
-X49183324Y-31019699D01*
-X49157395Y-31033559D01*
-X49129258Y-31042094D01*
-X49099754Y-31045000D01*
-X48740246Y-31045000D01*
-X48710742Y-31042094D01*
-X48682605Y-31033559D01*
-X48656676Y-31019699D01*
-X48633951Y-31001049D01*
-X48615301Y-30978324D01*
-X48601441Y-30952395D01*
-X48592906Y-30924258D01*
-X48590000Y-30894754D01*
-X48590000Y-30585246D01*
-X48592906Y-30555742D01*
-X48601441Y-30527605D01*
-X48615301Y-30501676D01*
-X48633951Y-30478951D01*
-X48656676Y-30460301D01*
-X48682605Y-30446441D01*
-X48710742Y-30437906D01*
-X48740246Y-30435000D01*
-X49099754Y-30435000D01*
-X49129258Y-30437906D01*
-X49129258Y-30437906D01*
-G37*
-G36*
-X46480458Y-29687759D02*
-G01*
-X46494991Y-29692168D01*
-X46508391Y-29699330D01*
-X46520314Y-29709114D01*
-X47467499Y-30656299D01*
-X47477283Y-30668222D01*
-X47484445Y-30681622D01*
-X47488854Y-30696155D01*
-X47490343Y-30711269D01*
-X47488854Y-30726383D01*
-X47484445Y-30740916D01*
-X47477283Y-30754316D01*
-X47467499Y-30766239D01*
-X47351164Y-30882574D01*
-X47339241Y-30892358D01*
-X47325841Y-30899520D01*
-X47311308Y-30903929D01*
-X47296194Y-30905418D01*
-X47281080Y-30903929D01*
-X47266547Y-30899520D01*
-X47253147Y-30892358D01*
-X47241224Y-30882574D01*
-X46294039Y-29935389D01*
-X46284255Y-29923466D01*
-X46277093Y-29910066D01*
-X46272684Y-29895533D01*
-X46271195Y-29880419D01*
-X46272684Y-29865305D01*
-X46277093Y-29850772D01*
-X46284255Y-29837372D01*
-X46294039Y-29825449D01*
-X46410374Y-29709114D01*
-X46422297Y-29699330D01*
-X46435697Y-29692168D01*
-X46450230Y-29687759D01*
-X46465344Y-29686270D01*
-X46480458Y-29687759D01*
-X46480458Y-29687759D01*
-G37*
-G36*
-X38949770Y-29687759D02*
-G01*
-X38964303Y-29692168D01*
-X38977703Y-29699330D01*
-X38989626Y-29709114D01*
-X39105961Y-29825449D01*
-X39115745Y-29837372D01*
-X39122907Y-29850772D01*
-X39127316Y-29865305D01*
-X39128805Y-29880419D01*
-X39127316Y-29895533D01*
-X39122907Y-29910066D01*
-X39115745Y-29923466D01*
-X39105961Y-29935389D01*
-X38158776Y-30882574D01*
-X38146853Y-30892358D01*
-X38133453Y-30899520D01*
-X38118920Y-30903929D01*
-X38103806Y-30905418D01*
-X38088692Y-30903929D01*
-X38074159Y-30899520D01*
-X38060759Y-30892358D01*
-X38048836Y-30882574D01*
-X37932501Y-30766239D01*
-X37922717Y-30754316D01*
-X37915555Y-30740916D01*
-X37911146Y-30726383D01*
-X37909657Y-30711269D01*
-X37911146Y-30696155D01*
-X37915555Y-30681622D01*
-X37922717Y-30668222D01*
-X37932501Y-30656299D01*
-X38879686Y-29709114D01*
-X38891609Y-29699330D01*
-X38905009Y-29692168D01*
-X38919542Y-29687759D01*
-X38934656Y-29686270D01*
-X38949770Y-29687759D01*
-X38949770Y-29687759D01*
-G37*
-G36*
-X50139258Y-30212906D02*
-G01*
-X50167395Y-30221441D01*
-X50193324Y-30235301D01*
-X50216049Y-30253951D01*
-X50234699Y-30276676D01*
-X50248559Y-30302605D01*
-X50257094Y-30330742D01*
-X50260000Y-30360246D01*
-X50260000Y-30669754D01*
-X50257094Y-30699258D01*
-X50248559Y-30727395D01*
-X50234699Y-30753324D01*
-X50216049Y-30776049D01*
-X50193324Y-30794699D01*
-X50167395Y-30808559D01*
-X50139258Y-30817094D01*
-X50109754Y-30820000D01*
-X49750246Y-30820000D01*
-X49720742Y-30817094D01*
-X49692605Y-30808559D01*
-X49666676Y-30794699D01*
-X49643951Y-30776049D01*
-X49625301Y-30753324D01*
-X49611441Y-30727395D01*
-X49602906Y-30699258D01*
-X49600000Y-30669754D01*
-X49600000Y-30360246D01*
-X49602906Y-30330742D01*
-X49611441Y-30302605D01*
-X49625301Y-30276676D01*
-X49643951Y-30253951D01*
-X49666676Y-30235301D01*
-X49692605Y-30221441D01*
-X49720742Y-30212906D01*
-X49750246Y-30210000D01*
-X50109754Y-30210000D01*
-X50139258Y-30212906D01*
-X50139258Y-30212906D01*
-G37*
-G36*
-X59368589Y-29082444D02*
-G01*
-X59530700Y-29131619D01*
-X59680102Y-29211476D01*
-X59680105Y-29211478D01*
-X59680106Y-29211479D01*
-X59811054Y-29318946D01*
-X59914504Y-29444999D01*
-X59918524Y-29449898D01*
-X59998381Y-29599300D01*
-X60047556Y-29761411D01*
-X60064161Y-29930000D01*
-X60047556Y-30098589D01*
-X59998381Y-30260700D01*
-X59918524Y-30410102D01*
-X59918522Y-30410105D01*
-X59918521Y-30410106D01*
-X59811054Y-30541054D01*
-X59696215Y-30635301D01*
-X59680102Y-30648524D01*
-X59530700Y-30728381D01*
-X59368589Y-30777556D01*
-X59242246Y-30790000D01*
-X59157754Y-30790000D01*
-X59031411Y-30777556D01*
-X58869300Y-30728381D01*
-X58719898Y-30648524D01*
-X58703786Y-30635301D01*
-X58588946Y-30541054D01*
-X58481479Y-30410106D01*
-X58481478Y-30410105D01*
-X58481476Y-30410102D01*
-X58401619Y-30260700D01*
-X58352444Y-30098589D01*
-X58335839Y-29930000D01*
-X58352444Y-29761411D01*
-X58401619Y-29599300D01*
-X58481476Y-29449898D01*
-X58485497Y-29444999D01*
-X58588946Y-29318946D01*
-X58719894Y-29211479D01*
-X58719895Y-29211478D01*
-X58719898Y-29211476D01*
-X58869300Y-29131619D01*
-X59031411Y-29082444D01*
-X59157754Y-29070000D01*
-X59242246Y-29070000D01*
-X59368589Y-29082444D01*
-X59368589Y-29082444D01*
-G37*
-G36*
-X51486908Y-29684275D02*
-G01*
-X51528408Y-29696864D01*
-X51566660Y-29717310D01*
-X51600180Y-29744820D01*
-X51627690Y-29778340D01*
-X51648136Y-29816592D01*
-X51660725Y-29858092D01*
-X51665000Y-29901496D01*
-X51665000Y-30353504D01*
-X51660725Y-30396908D01*
-X51648136Y-30438408D01*
-X51627690Y-30476660D01*
-X51600180Y-30510180D01*
-X51566660Y-30537690D01*
-X51528408Y-30558136D01*
-X51486908Y-30570725D01*
-X51443504Y-30575000D01*
-X50916496Y-30575000D01*
-X50873092Y-30570725D01*
-X50831592Y-30558136D01*
-X50793340Y-30537690D01*
-X50759820Y-30510180D01*
-X50732310Y-30476660D01*
-X50711864Y-30438408D01*
-X50699275Y-30396908D01*
-X50695000Y-30353504D01*
-X50695000Y-29901496D01*
-X50699275Y-29858092D01*
-X50711864Y-29816592D01*
-X50732310Y-29778340D01*
-X50759820Y-29744820D01*
-X50793340Y-29717310D01*
-X50831592Y-29696864D01*
-X50873092Y-29684275D01*
-X50916496Y-29680000D01*
-X51443504Y-29680000D01*
-X51486908Y-29684275D01*
-X51486908Y-29684275D01*
-G37*
-G36*
-X38596217Y-29334206D02*
-G01*
-X38610750Y-29338615D01*
-X38624150Y-29345777D01*
-X38636073Y-29355561D01*
-X38752408Y-29471896D01*
-X38762192Y-29483819D01*
-X38769354Y-29497219D01*
-X38773763Y-29511752D01*
-X38775252Y-29526866D01*
-X38773763Y-29541980D01*
-X38769354Y-29556513D01*
-X38762192Y-29569913D01*
-X38752408Y-29581836D01*
-X37805223Y-30529021D01*
-X37793300Y-30538805D01*
-X37779900Y-30545967D01*
-X37765367Y-30550376D01*
-X37750253Y-30551865D01*
-X37735139Y-30550376D01*
-X37720606Y-30545967D01*
-X37707206Y-30538805D01*
-X37695283Y-30529021D01*
-X37578948Y-30412686D01*
-X37569164Y-30400763D01*
-X37562002Y-30387363D01*
-X37557593Y-30372830D01*
-X37556104Y-30357716D01*
-X37557593Y-30342602D01*
-X37562002Y-30328069D01*
-X37569164Y-30314669D01*
-X37578948Y-30302746D01*
-X38526133Y-29355561D01*
-X38538056Y-29345777D01*
-X38551456Y-29338615D01*
-X38565989Y-29334206D01*
-X38581103Y-29332717D01*
-X38596217Y-29334206D01*
-X38596217Y-29334206D01*
-G37*
-G36*
-X46834011Y-29334206D02*
-G01*
-X46848544Y-29338615D01*
-X46861944Y-29345777D01*
-X46873867Y-29355561D01*
-X47821052Y-30302746D01*
-X47830836Y-30314669D01*
-X47837998Y-30328069D01*
-X47842407Y-30342602D01*
-X47843896Y-30357716D01*
-X47842407Y-30372830D01*
-X47837998Y-30387363D01*
-X47830836Y-30400763D01*
-X47821052Y-30412686D01*
-X47704717Y-30529021D01*
-X47692794Y-30538805D01*
-X47679394Y-30545967D01*
-X47664861Y-30550376D01*
-X47649747Y-30551865D01*
-X47634633Y-30550376D01*
-X47620100Y-30545967D01*
-X47606700Y-30538805D01*
-X47594777Y-30529021D01*
-X46647592Y-29581836D01*
-X46637808Y-29569913D01*
-X46630646Y-29556513D01*
-X46626237Y-29541980D01*
-X46624748Y-29526866D01*
-X46626237Y-29511752D01*
-X46630646Y-29497219D01*
-X46637808Y-29483819D01*
-X46647592Y-29471896D01*
-X46763927Y-29355561D01*
-X46775850Y-29345777D01*
-X46789250Y-29338615D01*
-X46803783Y-29334206D01*
-X46818897Y-29332717D01*
-X46834011Y-29334206D01*
-X46834011Y-29334206D01*
-G37*
-G36*
-X27104999Y-30480000D02*
-G01*
-X25634999Y-30480000D01*
-X25634999Y-30160000D01*
-X27104999Y-30160000D01*
-X27104999Y-30480000D01*
-X27104999Y-30480000D01*
-G37*
-G36*
-X57011785Y-29404756D02*
-G01*
-X57057975Y-29418767D01*
-X57100549Y-29441523D01*
-X57137858Y-29472142D01*
-X57168477Y-29509451D01*
-X57191233Y-29552025D01*
-X57205244Y-29598215D01*
-X57210000Y-29646496D01*
-X57210000Y-30148504D01*
-X57205244Y-30196785D01*
-X57191233Y-30242975D01*
-X57168477Y-30285549D01*
-X57137858Y-30322858D01*
-X57100549Y-30353477D01*
-X57057975Y-30376233D01*
-X57011785Y-30390244D01*
-X56963504Y-30395000D01*
-X56036496Y-30395000D01*
-X55988215Y-30390244D01*
-X55942025Y-30376233D01*
-X55899451Y-30353477D01*
-X55862142Y-30322858D01*
-X55831523Y-30285549D01*
-X55808767Y-30242975D01*
-X55794756Y-30196785D01*
-X55790000Y-30148504D01*
-X55790000Y-29646496D01*
-X55794756Y-29598215D01*
-X55808767Y-29552025D01*
-X55831523Y-29509451D01*
-X55862142Y-29472142D01*
-X55899451Y-29441523D01*
-X55942025Y-29418767D01*
-X55988215Y-29404756D01*
-X56036496Y-29400000D01*
-X56963504Y-29400000D01*
-X57011785Y-29404756D01*
-X57011785Y-29404756D01*
-G37*
-G36*
-X55201785Y-29394756D02*
-G01*
-X55247975Y-29408767D01*
-X55290549Y-29431523D01*
-X55327858Y-29462142D01*
-X55358477Y-29499451D01*
-X55381233Y-29542025D01*
-X55395244Y-29588215D01*
-X55400000Y-29636496D01*
-X55400000Y-30138504D01*
-X55395244Y-30186785D01*
-X55381233Y-30232975D01*
-X55358477Y-30275549D01*
-X55327858Y-30312858D01*
-X55290549Y-30343477D01*
-X55247975Y-30366233D01*
-X55201785Y-30380244D01*
-X55153504Y-30385000D01*
-X54226496Y-30385000D01*
-X54178215Y-30380244D01*
-X54132025Y-30366233D01*
-X54089451Y-30343477D01*
-X54052142Y-30312858D01*
-X54021523Y-30275549D01*
-X53998767Y-30232975D01*
-X53984756Y-30186785D01*
-X53980000Y-30138504D01*
-X53980000Y-29636496D01*
-X53984756Y-29588215D01*
-X53998767Y-29542025D01*
-X54021523Y-29499451D01*
-X54052142Y-29462142D01*
-X54089451Y-29431523D01*
-X54132025Y-29408767D01*
-X54178215Y-29394756D01*
-X54226496Y-29390000D01*
-X55153504Y-29390000D01*
-X55201785Y-29394756D01*
-X55201785Y-29394756D01*
-G37*
-G36*
-X38242663Y-28980652D02*
-G01*
-X38257196Y-28985061D01*
-X38270596Y-28992223D01*
-X38282519Y-29002007D01*
-X38398854Y-29118342D01*
-X38408638Y-29130265D01*
-X38415800Y-29143665D01*
-X38420209Y-29158198D01*
-X38421698Y-29173312D01*
-X38420209Y-29188426D01*
-X38415800Y-29202959D01*
-X38408638Y-29216359D01*
-X38398854Y-29228282D01*
-X37451669Y-30175467D01*
-X37439746Y-30185251D01*
-X37426346Y-30192413D01*
-X37411813Y-30196822D01*
-X37396699Y-30198311D01*
-X37381585Y-30196822D01*
-X37367052Y-30192413D01*
-X37353652Y-30185251D01*
-X37341729Y-30175467D01*
-X37225394Y-30059132D01*
-X37215610Y-30047209D01*
-X37208448Y-30033809D01*
-X37204039Y-30019276D01*
-X37202550Y-30004162D01*
-X37204039Y-29989048D01*
-X37208448Y-29974515D01*
-X37215610Y-29961115D01*
-X37225394Y-29949192D01*
-X38172579Y-29002007D01*
-X38184502Y-28992223D01*
-X38197902Y-28985061D01*
-X38212435Y-28980652D01*
-X38227549Y-28979163D01*
-X38242663Y-28980652D01*
-X38242663Y-28980652D01*
-G37*
-G36*
-X47187565Y-28980652D02*
-G01*
-X47202098Y-28985061D01*
-X47215498Y-28992223D01*
-X47227421Y-29002007D01*
-X48174606Y-29949192D01*
-X48184390Y-29961115D01*
-X48191552Y-29974515D01*
-X48195961Y-29989048D01*
-X48197450Y-30004162D01*
-X48195961Y-30019276D01*
-X48191552Y-30033809D01*
-X48184390Y-30047209D01*
-X48174606Y-30059132D01*
-X48058271Y-30175467D01*
-X48046348Y-30185251D01*
-X48032948Y-30192413D01*
-X48018415Y-30196822D01*
-X48003301Y-30198311D01*
-X47988187Y-30196822D01*
-X47973654Y-30192413D01*
-X47960254Y-30185251D01*
-X47948331Y-30175467D01*
-X47001146Y-29228282D01*
-X46991362Y-29216359D01*
-X46984200Y-29202959D01*
-X46979791Y-29188426D01*
-X46978302Y-29173312D01*
-X46979791Y-29158198D01*
-X46984200Y-29143665D01*
-X46991362Y-29130265D01*
-X47001146Y-29118342D01*
-X47117481Y-29002007D01*
-X47129404Y-28992223D01*
-X47142804Y-28985061D01*
-X47157337Y-28980652D01*
-X47172451Y-28979163D01*
-X47187565Y-28980652D01*
-X47187565Y-28980652D01*
-G37*
-G36*
-X34082675Y-29416273D02*
-G01*
-X34094863Y-29419970D01*
-X34106102Y-29425977D01*
-X34115945Y-29434055D01*
-X34124023Y-29443898D01*
-X34130030Y-29455137D01*
-X34133727Y-29467325D01*
-X34135000Y-29480246D01*
-X34135000Y-30044754D01*
-X34133727Y-30057675D01*
-X34130030Y-30069863D01*
-X34124023Y-30081102D01*
-X34115945Y-30090945D01*
-X34106102Y-30099023D01*
-X34094863Y-30105030D01*
-X34082675Y-30108727D01*
-X34069754Y-30110000D01*
-X33930246Y-30110000D01*
-X33917325Y-30108727D01*
-X33905137Y-30105030D01*
-X33893898Y-30099023D01*
-X33884055Y-30090945D01*
-X33875977Y-30081102D01*
-X33869970Y-30069863D01*
-X33866273Y-30057675D01*
-X33865000Y-30044754D01*
-X33865000Y-29480246D01*
-X33866273Y-29467325D01*
-X33869970Y-29455137D01*
-X33875977Y-29443898D01*
-X33884055Y-29434055D01*
-X33893898Y-29425977D01*
-X33905137Y-29419970D01*
-X33917325Y-29416273D01*
-X33930246Y-29415000D01*
-X34069754Y-29415000D01*
-X34082675Y-29416273D01*
-X34082675Y-29416273D01*
-G37*
-G36*
-X33582675Y-29416273D02*
-G01*
-X33594863Y-29419970D01*
-X33606102Y-29425977D01*
-X33615945Y-29434055D01*
-X33624023Y-29443898D01*
-X33630030Y-29455137D01*
-X33633727Y-29467325D01*
-X33635000Y-29480246D01*
-X33635000Y-30044754D01*
-X33633727Y-30057675D01*
-X33630030Y-30069863D01*
-X33624023Y-30081102D01*
-X33615945Y-30090945D01*
-X33606102Y-30099023D01*
-X33594863Y-30105030D01*
-X33582675Y-30108727D01*
-X33569754Y-30110000D01*
-X33430246Y-30110000D01*
-X33417325Y-30108727D01*
-X33405137Y-30105030D01*
-X33393898Y-30099023D01*
-X33384055Y-30090945D01*
-X33375977Y-30081102D01*
-X33369970Y-30069863D01*
-X33366273Y-30057675D01*
-X33365000Y-30044754D01*
-X33365000Y-29480246D01*
-X33366273Y-29467325D01*
-X33369970Y-29455137D01*
-X33375977Y-29443898D01*
-X33384055Y-29434055D01*
-X33393898Y-29425977D01*
-X33405137Y-29419970D01*
-X33417325Y-29416273D01*
-X33430246Y-29415000D01*
-X33569754Y-29415000D01*
-X33582675Y-29416273D01*
-X33582675Y-29416273D01*
-G37*
-G36*
-X34582675Y-29416273D02*
-G01*
-X34594863Y-29419970D01*
-X34606102Y-29425977D01*
-X34615945Y-29434055D01*
-X34624023Y-29443898D01*
-X34630030Y-29455137D01*
-X34633727Y-29467325D01*
-X34635000Y-29480246D01*
-X34635000Y-30044754D01*
-X34633727Y-30057675D01*
-X34630030Y-30069863D01*
-X34624023Y-30081102D01*
-X34615945Y-30090945D01*
-X34606102Y-30099023D01*
-X34594863Y-30105030D01*
-X34582675Y-30108727D01*
-X34569754Y-30110000D01*
-X34430246Y-30110000D01*
-X34417325Y-30108727D01*
-X34405137Y-30105030D01*
-X34393898Y-30099023D01*
-X34384055Y-30090945D01*
-X34375977Y-30081102D01*
-X34369970Y-30069863D01*
-X34366273Y-30057675D01*
-X34365000Y-30044754D01*
-X34365000Y-29480246D01*
-X34366273Y-29467325D01*
-X34369970Y-29455137D01*
-X34375977Y-29443898D01*
-X34384055Y-29434055D01*
-X34393898Y-29425977D01*
-X34405137Y-29419970D01*
-X34417325Y-29416273D01*
-X34430246Y-29415000D01*
-X34569754Y-29415000D01*
-X34582675Y-29416273D01*
-X34582675Y-29416273D01*
-G37*
-G36*
-X49129258Y-29467906D02*
-G01*
-X49157395Y-29476441D01*
-X49183324Y-29490301D01*
-X49206049Y-29508951D01*
-X49224699Y-29531676D01*
-X49238559Y-29557605D01*
-X49247094Y-29585742D01*
-X49250000Y-29615246D01*
-X49250000Y-29924754D01*
-X49247094Y-29954258D01*
-X49238559Y-29982395D01*
-X49224699Y-30008324D01*
-X49206049Y-30031049D01*
-X49183324Y-30049699D01*
-X49157395Y-30063559D01*
-X49129258Y-30072094D01*
-X49099754Y-30075000D01*
-X48740246Y-30075000D01*
-X48710742Y-30072094D01*
-X48682605Y-30063559D01*
-X48656676Y-30049699D01*
-X48633951Y-30031049D01*
-X48615301Y-30008324D01*
-X48601441Y-29982395D01*
-X48592906Y-29954258D01*
-X48590000Y-29924754D01*
-X48590000Y-29615246D01*
-X48592906Y-29585742D01*
-X48601441Y-29557605D01*
-X48615301Y-29531676D01*
-X48633951Y-29508951D01*
-X48656676Y-29490301D01*
-X48682605Y-29476441D01*
-X48710742Y-29467906D01*
-X48740246Y-29465000D01*
-X49099754Y-29465000D01*
-X49129258Y-29467906D01*
-X49129258Y-29467906D01*
-G37*
-G36*
-X27104999Y-29980000D02*
-G01*
-X25634999Y-29980000D01*
-X25634999Y-29660000D01*
-X27104999Y-29660000D01*
-X27104999Y-29980000D01*
-X27104999Y-29980000D01*
-G37*
-G36*
-X31340000Y-29785000D02*
-G01*
-X30260000Y-29785000D01*
-X30260000Y-29115000D01*
-X31340000Y-29115000D01*
-X31340000Y-29785000D01*
-X31340000Y-29785000D01*
-G37*
-G36*
-X29140000Y-29785000D02*
-G01*
-X28060000Y-29785000D01*
-X28060000Y-29115000D01*
-X29140000Y-29115000D01*
-X29140000Y-29785000D01*
-X29140000Y-29785000D01*
-G37*
-G36*
-X50139258Y-29172906D02*
-G01*
-X50167395Y-29181441D01*
-X50193324Y-29195301D01*
-X50216049Y-29213951D01*
-X50234699Y-29236676D01*
-X50248559Y-29262605D01*
-X50257094Y-29290742D01*
-X50260000Y-29320246D01*
-X50260000Y-29629754D01*
-X50257094Y-29659258D01*
-X50248559Y-29687395D01*
-X50234699Y-29713324D01*
-X50216049Y-29736049D01*
-X50193324Y-29754699D01*
-X50167395Y-29768559D01*
-X50139258Y-29777094D01*
-X50109754Y-29780000D01*
-X49750246Y-29780000D01*
-X49720742Y-29777094D01*
-X49692605Y-29768559D01*
-X49666676Y-29754699D01*
-X49643951Y-29736049D01*
-X49625301Y-29713324D01*
-X49611441Y-29687395D01*
-X49602906Y-29659258D01*
-X49600000Y-29629754D01*
-X49600000Y-29320246D01*
-X49602906Y-29290742D01*
-X49611441Y-29262605D01*
-X49625301Y-29236676D01*
-X49643951Y-29213951D01*
-X49666676Y-29195301D01*
-X49692605Y-29181441D01*
-X49720742Y-29172906D01*
-X49750246Y-29170000D01*
-X50109754Y-29170000D01*
-X50139258Y-29172906D01*
-X50139258Y-29172906D01*
-G37*
-G36*
-X27104999Y-29480000D02*
-G01*
-X25634999Y-29480000D01*
-X25634999Y-29160000D01*
-X27104999Y-29160000D01*
-X27104999Y-29480000D01*
-X27104999Y-29480000D01*
-G37*
-G36*
-X33032675Y-29116273D02*
-G01*
-X33044863Y-29119970D01*
-X33056102Y-29125977D01*
-X33065945Y-29134055D01*
-X33074023Y-29143898D01*
-X33080030Y-29155137D01*
-X33083727Y-29167325D01*
-X33085000Y-29180246D01*
-X33085000Y-29319754D01*
-X33083727Y-29332675D01*
-X33080030Y-29344863D01*
-X33074023Y-29356102D01*
-X33065945Y-29365945D01*
-X33056102Y-29374023D01*
-X33044863Y-29380030D01*
-X33032675Y-29383727D01*
-X33019754Y-29385000D01*
-X32455246Y-29385000D01*
-X32442325Y-29383727D01*
-X32430137Y-29380030D01*
-X32418898Y-29374023D01*
-X32409055Y-29365945D01*
-X32400977Y-29356102D01*
-X32394970Y-29344863D01*
-X32391273Y-29332675D01*
-X32390000Y-29319754D01*
-X32390000Y-29180246D01*
-X32391273Y-29167325D01*
-X32394970Y-29155137D01*
-X32400977Y-29143898D01*
-X32409055Y-29134055D01*
-X32418898Y-29125977D01*
-X32430137Y-29119970D01*
-X32442325Y-29116273D01*
-X32455246Y-29115000D01*
-X33019754Y-29115000D01*
-X33032675Y-29116273D01*
-X33032675Y-29116273D01*
-G37*
-G36*
-X35557675Y-29116273D02*
-G01*
-X35569863Y-29119970D01*
-X35581102Y-29125977D01*
-X35590945Y-29134055D01*
-X35599023Y-29143898D01*
-X35605030Y-29155137D01*
-X35608727Y-29167325D01*
-X35610000Y-29180246D01*
-X35610000Y-29319754D01*
-X35608727Y-29332675D01*
-X35605030Y-29344863D01*
-X35599023Y-29356102D01*
-X35590945Y-29365945D01*
-X35581102Y-29374023D01*
-X35569863Y-29380030D01*
-X35557675Y-29383727D01*
-X35544754Y-29385000D01*
-X34980246Y-29385000D01*
-X34967325Y-29383727D01*
-X34955137Y-29380030D01*
-X34943898Y-29374023D01*
-X34934055Y-29365945D01*
-X34925977Y-29356102D01*
-X34919970Y-29344863D01*
-X34916273Y-29332675D01*
-X34915000Y-29319754D01*
-X34915000Y-29180246D01*
-X34916273Y-29167325D01*
-X34919970Y-29155137D01*
-X34925977Y-29143898D01*
-X34934055Y-29134055D01*
-X34943898Y-29125977D01*
-X34955137Y-29119970D01*
-X34967325Y-29116273D01*
-X34980246Y-29115000D01*
-X35544754Y-29115000D01*
-X35557675Y-29116273D01*
-X35557675Y-29116273D01*
-G37*
-G36*
-X34533628Y-27769831D02*
-G01*
-X34580556Y-27784066D01*
-X34623804Y-27807183D01*
-X34661709Y-27838291D01*
-X34692817Y-27876196D01*
-X34715934Y-27919444D01*
-X34730169Y-27966372D01*
-X34735000Y-28015419D01*
-X34735000Y-28984581D01*
-X34730169Y-29033628D01*
-X34715934Y-29080556D01*
-X34692817Y-29123804D01*
-X34661709Y-29161709D01*
-X34623804Y-29192817D01*
-X34580556Y-29215934D01*
-X34533628Y-29230169D01*
-X34484581Y-29235000D01*
-X33515419Y-29235000D01*
-X33466372Y-29230169D01*
-X33419444Y-29215934D01*
-X33376196Y-29192817D01*
-X33338291Y-29161709D01*
-X33307183Y-29123804D01*
-X33284066Y-29080556D01*
-X33269831Y-29033628D01*
-X33265000Y-28984581D01*
-X33265000Y-28015419D01*
-X33269831Y-27966372D01*
-X33284066Y-27919444D01*
-X33307183Y-27876196D01*
-X33338291Y-27838291D01*
-X33376196Y-27807183D01*
-X33419444Y-27784066D01*
-X33466372Y-27769831D01*
-X33515419Y-27765000D01*
-X34484581Y-27765000D01*
-X34533628Y-27769831D01*
-X34533628Y-27769831D01*
-G37*
-G36*
-X53469258Y-28422906D02*
-G01*
-X53497395Y-28431441D01*
-X53523324Y-28445301D01*
-X53546049Y-28463951D01*
-X53564699Y-28486676D01*
-X53578559Y-28512605D01*
-X53587094Y-28540742D01*
-X53590000Y-28570246D01*
-X53590000Y-28929754D01*
-X53587094Y-28959258D01*
-X53578559Y-28987395D01*
-X53564699Y-29013324D01*
-X53546049Y-29036049D01*
-X53523324Y-29054699D01*
-X53497395Y-29068559D01*
-X53469258Y-29077094D01*
-X53439754Y-29080000D01*
-X53130246Y-29080000D01*
-X53100742Y-29077094D01*
-X53072605Y-29068559D01*
-X53046676Y-29054699D01*
-X53023951Y-29036049D01*
-X53005301Y-29013324D01*
-X52991441Y-28987395D01*
-X52982906Y-28959258D01*
-X52980000Y-28929754D01*
-X52980000Y-28570246D01*
-X52982906Y-28540742D01*
-X52991441Y-28512605D01*
-X53005301Y-28486676D01*
-X53023951Y-28463951D01*
-X53046676Y-28445301D01*
-X53072605Y-28431441D01*
-X53100742Y-28422906D01*
-X53130246Y-28420000D01*
-X53439754Y-28420000D01*
-X53469258Y-28422906D01*
-X53469258Y-28422906D01*
-G37*
-G36*
-X52499258Y-28422906D02*
-G01*
-X52527395Y-28431441D01*
-X52553324Y-28445301D01*
-X52576049Y-28463951D01*
-X52594699Y-28486676D01*
-X52608559Y-28512605D01*
-X52617094Y-28540742D01*
-X52620000Y-28570246D01*
-X52620000Y-28929754D01*
-X52617094Y-28959258D01*
-X52608559Y-28987395D01*
-X52594699Y-29013324D01*
-X52576049Y-29036049D01*
-X52553324Y-29054699D01*
-X52527395Y-29068559D01*
-X52499258Y-29077094D01*
-X52469754Y-29080000D01*
-X52160246Y-29080000D01*
-X52130742Y-29077094D01*
-X52102605Y-29068559D01*
-X52076676Y-29054699D01*
-X52053951Y-29036049D01*
-X52035301Y-29013324D01*
-X52021441Y-28987395D01*
-X52012906Y-28959258D01*
-X52010000Y-28929754D01*
-X52010000Y-28570246D01*
-X52012906Y-28540742D01*
-X52021441Y-28512605D01*
-X52035301Y-28486676D01*
-X52053951Y-28463951D01*
-X52076676Y-28445301D01*
-X52102605Y-28431441D01*
-X52130742Y-28422906D01*
-X52160246Y-28420000D01*
-X52469754Y-28420000D01*
-X52499258Y-28422906D01*
-X52499258Y-28422906D01*
-G37*
-G36*
-X51486908Y-28109275D02*
-G01*
-X51528408Y-28121864D01*
-X51566660Y-28142310D01*
-X51600180Y-28169820D01*
-X51627690Y-28203340D01*
-X51648136Y-28241592D01*
-X51660725Y-28283092D01*
-X51665000Y-28326496D01*
-X51665000Y-28778504D01*
-X51660725Y-28821908D01*
-X51648136Y-28863408D01*
-X51627690Y-28901660D01*
-X51600180Y-28935180D01*
-X51566660Y-28962690D01*
-X51528408Y-28983136D01*
-X51486908Y-28995725D01*
-X51443504Y-29000000D01*
-X50916496Y-29000000D01*
-X50873092Y-28995725D01*
-X50831592Y-28983136D01*
-X50793340Y-28962690D01*
-X50759820Y-28935180D01*
-X50732310Y-28901660D01*
-X50711864Y-28863408D01*
-X50699275Y-28821908D01*
-X50695000Y-28778504D01*
-X50695000Y-28326496D01*
-X50699275Y-28283092D01*
-X50711864Y-28241592D01*
-X50732310Y-28203340D01*
-X50759820Y-28169820D01*
-X50793340Y-28142310D01*
-X50831592Y-28121864D01*
-X50873092Y-28109275D01*
-X50916496Y-28105000D01*
-X51443504Y-28105000D01*
-X51486908Y-28109275D01*
-X51486908Y-28109275D01*
-G37*
-G36*
-X27104999Y-28980000D02*
-G01*
-X25634999Y-28980000D01*
-X25634999Y-28660000D01*
-X27104999Y-28660000D01*
-X27104999Y-28980000D01*
-X27104999Y-28980000D01*
-G37*
-G36*
-X35557675Y-28616273D02*
-G01*
-X35569863Y-28619970D01*
-X35581102Y-28625977D01*
-X35590945Y-28634055D01*
-X35599023Y-28643898D01*
-X35605030Y-28655137D01*
-X35608727Y-28667325D01*
-X35610000Y-28680246D01*
-X35610000Y-28819754D01*
-X35608727Y-28832675D01*
-X35605030Y-28844863D01*
-X35599023Y-28856102D01*
-X35590945Y-28865945D01*
-X35581102Y-28874023D01*
-X35569863Y-28880030D01*
-X35557675Y-28883727D01*
-X35544754Y-28885000D01*
-X34980246Y-28885000D01*
-X34967325Y-28883727D01*
-X34955137Y-28880030D01*
-X34943898Y-28874023D01*
-X34934055Y-28865945D01*
-X34925977Y-28856102D01*
-X34919970Y-28844863D01*
-X34916273Y-28832675D01*
-X34915000Y-28819754D01*
-X34915000Y-28680246D01*
-X34916273Y-28667325D01*
-X34919970Y-28655137D01*
-X34925977Y-28643898D01*
-X34934055Y-28634055D01*
-X34943898Y-28625977D01*
-X34955137Y-28619970D01*
-X34967325Y-28616273D01*
-X34980246Y-28615000D01*
-X35544754Y-28615000D01*
-X35557675Y-28616273D01*
-X35557675Y-28616273D01*
-G37*
-G36*
-X33032675Y-28616273D02*
-G01*
-X33044863Y-28619970D01*
-X33056102Y-28625977D01*
-X33065945Y-28634055D01*
-X33074023Y-28643898D01*
-X33080030Y-28655137D01*
-X33083727Y-28667325D01*
-X33085000Y-28680246D01*
-X33085000Y-28819754D01*
-X33083727Y-28832675D01*
-X33080030Y-28844863D01*
-X33074023Y-28856102D01*
-X33065945Y-28865945D01*
-X33056102Y-28874023D01*
-X33044863Y-28880030D01*
-X33032675Y-28883727D01*
-X33019754Y-28885000D01*
-X32455246Y-28885000D01*
-X32442325Y-28883727D01*
-X32430137Y-28880030D01*
-X32418898Y-28874023D01*
-X32409055Y-28865945D01*
-X32400977Y-28856102D01*
-X32394970Y-28844863D01*
-X32391273Y-28832675D01*
-X32390000Y-28819754D01*
-X32390000Y-28680246D01*
-X32391273Y-28667325D01*
-X32394970Y-28655137D01*
-X32400977Y-28643898D01*
-X32409055Y-28634055D01*
-X32418898Y-28625977D01*
-X32430137Y-28619970D01*
-X32442325Y-28616273D01*
-X32455246Y-28615000D01*
-X33019754Y-28615000D01*
-X33032675Y-28616273D01*
-X33032675Y-28616273D01*
-G37*
-G36*
-X31340000Y-28835000D02*
-G01*
-X30260000Y-28835000D01*
-X30260000Y-28165000D01*
-X31340000Y-28165000D01*
-X31340000Y-28835000D01*
-X31340000Y-28835000D01*
-G37*
-G36*
-X29140000Y-28835000D02*
-G01*
-X28060000Y-28835000D01*
-X28060000Y-28165000D01*
-X29140000Y-28165000D01*
-X29140000Y-28835000D01*
-X29140000Y-28835000D01*
-G37*
-G36*
-X50139258Y-28202906D02*
-G01*
-X50167395Y-28211441D01*
-X50193324Y-28225301D01*
-X50216049Y-28243951D01*
-X50234699Y-28266676D01*
-X50248559Y-28292605D01*
-X50257094Y-28320742D01*
-X50260000Y-28350246D01*
-X50260000Y-28659754D01*
-X50257094Y-28689258D01*
-X50248559Y-28717395D01*
-X50234699Y-28743324D01*
-X50216049Y-28766049D01*
-X50193324Y-28784699D01*
-X50167395Y-28798559D01*
-X50139258Y-28807094D01*
-X50109754Y-28810000D01*
-X49750246Y-28810000D01*
-X49720742Y-28807094D01*
-X49692605Y-28798559D01*
-X49666676Y-28784699D01*
-X49643951Y-28766049D01*
-X49625301Y-28743324D01*
-X49611441Y-28717395D01*
-X49602906Y-28689258D01*
-X49600000Y-28659754D01*
-X49600000Y-28350246D01*
-X49602906Y-28320742D01*
-X49611441Y-28292605D01*
-X49625301Y-28266676D01*
-X49643951Y-28243951D01*
-X49666676Y-28225301D01*
-X49692605Y-28211441D01*
-X49720742Y-28202906D01*
-X49750246Y-28200000D01*
-X50109754Y-28200000D01*
-X50139258Y-28202906D01*
-X50139258Y-28202906D01*
-G37*
-G36*
-X57011785Y-27529756D02*
-G01*
-X57057975Y-27543767D01*
-X57100549Y-27566523D01*
-X57137858Y-27597142D01*
-X57168477Y-27634451D01*
-X57191233Y-27677025D01*
-X57205244Y-27723215D01*
-X57210000Y-27771496D01*
-X57210000Y-28273504D01*
-X57205244Y-28321785D01*
-X57191233Y-28367975D01*
-X57168477Y-28410549D01*
-X57137858Y-28447858D01*
-X57100549Y-28478477D01*
-X57057975Y-28501233D01*
-X57011785Y-28515244D01*
-X56963504Y-28520000D01*
-X56036496Y-28520000D01*
-X55988215Y-28515244D01*
-X55942025Y-28501233D01*
-X55899451Y-28478477D01*
-X55862142Y-28447858D01*
-X55831523Y-28410549D01*
-X55808767Y-28367975D01*
-X55794756Y-28321785D01*
-X55790000Y-28273504D01*
-X55790000Y-27771496D01*
-X55794756Y-27723215D01*
-X55808767Y-27677025D01*
-X55831523Y-27634451D01*
-X55862142Y-27597142D01*
-X55899451Y-27566523D01*
-X55942025Y-27543767D01*
-X55988215Y-27529756D01*
-X56036496Y-27525000D01*
-X56963504Y-27525000D01*
-X57011785Y-27529756D01*
-X57011785Y-27529756D01*
-G37*
-G36*
-X55201785Y-27519756D02*
-G01*
-X55247975Y-27533767D01*
-X55290549Y-27556523D01*
-X55327858Y-27587142D01*
-X55358477Y-27624451D01*
-X55381233Y-27667025D01*
-X55395244Y-27713215D01*
-X55400000Y-27761496D01*
-X55400000Y-28263504D01*
-X55395244Y-28311785D01*
-X55381233Y-28357975D01*
-X55358477Y-28400549D01*
-X55327858Y-28437858D01*
-X55290549Y-28468477D01*
-X55247975Y-28491233D01*
-X55201785Y-28505244D01*
-X55153504Y-28510000D01*
-X54226496Y-28510000D01*
-X54178215Y-28505244D01*
-X54132025Y-28491233D01*
-X54089451Y-28468477D01*
-X54052142Y-28437858D01*
-X54021523Y-28400549D01*
-X53998767Y-28357975D01*
-X53984756Y-28311785D01*
-X53980000Y-28263504D01*
-X53980000Y-27761496D01*
-X53984756Y-27713215D01*
-X53998767Y-27667025D01*
-X54021523Y-27624451D01*
-X54052142Y-27587142D01*
-X54089451Y-27556523D01*
-X54132025Y-27533767D01*
-X54178215Y-27519756D01*
-X54226496Y-27515000D01*
-X55153504Y-27515000D01*
-X55201785Y-27519756D01*
-X55201785Y-27519756D01*
-G37*
-G36*
-X36679258Y-27882906D02*
-G01*
-X36707395Y-27891441D01*
-X36733324Y-27905301D01*
-X36756049Y-27923951D01*
-X36774699Y-27946676D01*
-X36788559Y-27972605D01*
-X36797094Y-28000742D01*
-X36800000Y-28030246D01*
-X36800000Y-28339754D01*
-X36797094Y-28369258D01*
-X36788559Y-28397395D01*
-X36774699Y-28423324D01*
-X36756049Y-28446049D01*
-X36733324Y-28464699D01*
-X36707395Y-28478559D01*
-X36679258Y-28487094D01*
-X36649754Y-28490000D01*
-X36290246Y-28490000D01*
-X36260742Y-28487094D01*
-X36232605Y-28478559D01*
-X36206676Y-28464699D01*
-X36183951Y-28446049D01*
-X36165301Y-28423324D01*
-X36151441Y-28397395D01*
-X36142906Y-28369258D01*
-X36140000Y-28339754D01*
-X36140000Y-28030246D01*
-X36142906Y-28000742D01*
-X36151441Y-27972605D01*
-X36165301Y-27946676D01*
-X36183951Y-27923951D01*
-X36206676Y-27905301D01*
-X36232605Y-27891441D01*
-X36260742Y-27882906D01*
-X36290246Y-27880000D01*
-X36649754Y-27880000D01*
-X36679258Y-27882906D01*
-X36679258Y-27882906D01*
-G37*
-G36*
-X27104999Y-28480000D02*
-G01*
-X25634999Y-28480000D01*
-X25634999Y-28160000D01*
-X27104999Y-28160000D01*
-X27104999Y-28480000D01*
-X27104999Y-28480000D01*
-G37*
-G36*
-X35557675Y-28116273D02*
-G01*
-X35569863Y-28119970D01*
-X35581102Y-28125977D01*
-X35590945Y-28134055D01*
-X35599023Y-28143898D01*
-X35605030Y-28155137D01*
-X35608727Y-28167325D01*
-X35610000Y-28180246D01*
-X35610000Y-28319754D01*
-X35608727Y-28332675D01*
-X35605030Y-28344863D01*
-X35599023Y-28356102D01*
-X35590945Y-28365945D01*
-X35581102Y-28374023D01*
-X35569863Y-28380030D01*
-X35557675Y-28383727D01*
-X35544754Y-28385000D01*
-X34980246Y-28385000D01*
-X34967325Y-28383727D01*
-X34955137Y-28380030D01*
-X34943898Y-28374023D01*
-X34934055Y-28365945D01*
-X34925977Y-28356102D01*
-X34919970Y-28344863D01*
-X34916273Y-28332675D01*
-X34915000Y-28319754D01*
-X34915000Y-28180246D01*
-X34916273Y-28167325D01*
-X34919970Y-28155137D01*
-X34925977Y-28143898D01*
-X34934055Y-28134055D01*
-X34943898Y-28125977D01*
-X34955137Y-28119970D01*
-X34967325Y-28116273D01*
-X34980246Y-28115000D01*
-X35544754Y-28115000D01*
-X35557675Y-28116273D01*
-X35557675Y-28116273D01*
-G37*
-G36*
-X33032675Y-28116273D02*
-G01*
-X33044863Y-28119970D01*
-X33056102Y-28125977D01*
-X33065945Y-28134055D01*
-X33074023Y-28143898D01*
-X33080030Y-28155137D01*
-X33083727Y-28167325D01*
-X33085000Y-28180246D01*
-X33085000Y-28319754D01*
-X33083727Y-28332675D01*
-X33080030Y-28344863D01*
-X33074023Y-28356102D01*
-X33065945Y-28365945D01*
-X33056102Y-28374023D01*
-X33044863Y-28380030D01*
-X33032675Y-28383727D01*
-X33019754Y-28385000D01*
-X32455246Y-28385000D01*
-X32442325Y-28383727D01*
-X32430137Y-28380030D01*
-X32418898Y-28374023D01*
-X32409055Y-28365945D01*
-X32400977Y-28356102D01*
-X32394970Y-28344863D01*
-X32391273Y-28332675D01*
-X32390000Y-28319754D01*
-X32390000Y-28180246D01*
-X32391273Y-28167325D01*
-X32394970Y-28155137D01*
-X32400977Y-28143898D01*
-X32409055Y-28134055D01*
-X32418898Y-28125977D01*
-X32430137Y-28119970D01*
-X32442325Y-28116273D01*
-X32455246Y-28115000D01*
-X33019754Y-28115000D01*
-X33032675Y-28116273D01*
-X33032675Y-28116273D01*
-G37*
-G36*
-X59368589Y-26542444D02*
-G01*
-X59530700Y-26591619D01*
-X59680102Y-26671476D01*
-X59680105Y-26671478D01*
-X59680106Y-26671479D01*
-X59811054Y-26778946D01*
-X59914505Y-26905000D01*
-X59918524Y-26909898D01*
-X59998381Y-27059300D01*
-X60047556Y-27221411D01*
-X60064161Y-27390000D01*
-X60047556Y-27558589D01*
-X59998381Y-27720700D01*
-X59918524Y-27870102D01*
-X59918522Y-27870105D01*
-X59918521Y-27870106D01*
-X59811054Y-28001054D01*
-X59737333Y-28061556D01*
-X59680102Y-28108524D01*
-X59530700Y-28188381D01*
-X59368589Y-28237556D01*
-X59242246Y-28250000D01*
-X59157754Y-28250000D01*
-X59031411Y-28237556D01*
-X58869300Y-28188381D01*
-X58719898Y-28108524D01*
-X58662668Y-28061556D01*
-X58588946Y-28001054D01*
-X58481479Y-27870106D01*
-X58481478Y-27870105D01*
-X58481476Y-27870102D01*
-X58401619Y-27720700D01*
-X58352444Y-27558589D01*
-X58335839Y-27390000D01*
-X58352444Y-27221411D01*
-X58401619Y-27059300D01*
-X58481476Y-26909898D01*
-X58485496Y-26905000D01*
-X58588946Y-26778946D01*
-X58719894Y-26671479D01*
-X58719895Y-26671478D01*
-X58719898Y-26671476D01*
-X58869300Y-26591619D01*
-X59031411Y-26542444D01*
-X59157754Y-26530000D01*
-X59242246Y-26530000D01*
-X59368589Y-26542444D01*
-X59368589Y-26542444D01*
-G37*
-G36*
-X48018415Y-26983076D02*
-G01*
-X48032948Y-26987485D01*
-X48046348Y-26994647D01*
-X48058271Y-27004431D01*
-X48174606Y-27120766D01*
-X48184390Y-27132689D01*
-X48191552Y-27146089D01*
-X48195961Y-27160622D01*
-X48197450Y-27175736D01*
-X48195961Y-27190850D01*
-X48191552Y-27205383D01*
-X48184390Y-27218783D01*
-X48174606Y-27230706D01*
-X47227421Y-28177891D01*
-X47215498Y-28187675D01*
-X47202098Y-28194837D01*
-X47187565Y-28199246D01*
-X47172451Y-28200735D01*
-X47157337Y-28199246D01*
-X47142804Y-28194837D01*
-X47129404Y-28187675D01*
-X47117481Y-28177891D01*
-X47001146Y-28061556D01*
-X46991362Y-28049633D01*
-X46984200Y-28036233D01*
-X46979791Y-28021700D01*
-X46978302Y-28006586D01*
-X46979791Y-27991472D01*
-X46984200Y-27976939D01*
-X46991362Y-27963539D01*
-X47001146Y-27951616D01*
-X47948331Y-27004431D01*
-X47960254Y-26994647D01*
-X47973654Y-26987485D01*
-X47988187Y-26983076D01*
-X48003301Y-26981587D01*
-X48018415Y-26983076D01*
-X48018415Y-26983076D01*
-G37*
-G36*
-X37411813Y-26983076D02*
-G01*
-X37426346Y-26987485D01*
-X37439746Y-26994647D01*
-X37451669Y-27004431D01*
-X38398854Y-27951616D01*
-X38408638Y-27963539D01*
-X38415800Y-27976939D01*
-X38420209Y-27991472D01*
-X38421698Y-28006586D01*
-X38420209Y-28021700D01*
-X38415800Y-28036233D01*
-X38408638Y-28049633D01*
-X38398854Y-28061556D01*
-X38282519Y-28177891D01*
-X38270596Y-28187675D01*
-X38257196Y-28194837D01*
-X38242663Y-28199246D01*
-X38227549Y-28200735D01*
-X38212435Y-28199246D01*
-X38197902Y-28194837D01*
-X38184502Y-28187675D01*
-X38172579Y-28177891D01*
-X37225394Y-27230706D01*
-X37215610Y-27218783D01*
-X37208448Y-27205383D01*
-X37204039Y-27190850D01*
-X37202550Y-27175736D01*
-X37204039Y-27160622D01*
-X37208448Y-27146089D01*
-X37215610Y-27132689D01*
-X37225394Y-27120766D01*
-X37341729Y-27004431D01*
-X37353652Y-26994647D01*
-X37367052Y-26987485D01*
-X37381585Y-26983076D01*
-X37396699Y-26981587D01*
-X37411813Y-26983076D01*
-X37411813Y-26983076D01*
-G37*
-G36*
-X27104999Y-27980000D02*
-G01*
-X25634999Y-27980000D01*
-X25634999Y-27660000D01*
-X27104999Y-27660000D01*
-X27104999Y-27980000D01*
-X27104999Y-27980000D01*
-G37*
-G36*
-X33032675Y-27616273D02*
-G01*
-X33044863Y-27619970D01*
-X33056102Y-27625977D01*
-X33065945Y-27634055D01*
-X33074023Y-27643898D01*
-X33080030Y-27655137D01*
-X33083727Y-27667325D01*
-X33085000Y-27680246D01*
-X33085000Y-27819754D01*
-X33083727Y-27832675D01*
-X33080030Y-27844863D01*
-X33074023Y-27856102D01*
-X33065945Y-27865945D01*
-X33056102Y-27874023D01*
-X33044863Y-27880030D01*
-X33032675Y-27883727D01*
-X33019754Y-27885000D01*
-X32455246Y-27885000D01*
-X32442325Y-27883727D01*
-X32430137Y-27880030D01*
-X32418898Y-27874023D01*
-X32409055Y-27865945D01*
-X32400977Y-27856102D01*
-X32394970Y-27844863D01*
-X32391273Y-27832675D01*
-X32390000Y-27819754D01*
-X32390000Y-27680246D01*
-X32391273Y-27667325D01*
-X32394970Y-27655137D01*
-X32400977Y-27643898D01*
-X32409055Y-27634055D01*
-X32418898Y-27625977D01*
-X32430137Y-27619970D01*
-X32442325Y-27616273D01*
-X32455246Y-27615000D01*
-X33019754Y-27615000D01*
-X33032675Y-27616273D01*
-X33032675Y-27616273D01*
-G37*
-G36*
-X35557675Y-27616273D02*
-G01*
-X35569863Y-27619970D01*
-X35581102Y-27625977D01*
-X35590945Y-27634055D01*
-X35599023Y-27643898D01*
-X35605030Y-27655137D01*
-X35608727Y-27667325D01*
-X35610000Y-27680246D01*
-X35610000Y-27819754D01*
-X35608727Y-27832675D01*
-X35605030Y-27844863D01*
-X35599023Y-27856102D01*
-X35590945Y-27865945D01*
-X35581102Y-27874023D01*
-X35569863Y-27880030D01*
-X35557675Y-27883727D01*
-X35544754Y-27885000D01*
-X34980246Y-27885000D01*
-X34967325Y-27883727D01*
-X34955137Y-27880030D01*
-X34943898Y-27874023D01*
-X34934055Y-27865945D01*
-X34925977Y-27856102D01*
-X34919970Y-27844863D01*
-X34916273Y-27832675D01*
-X34915000Y-27819754D01*
-X34915000Y-27680246D01*
-X34916273Y-27667325D01*
-X34919970Y-27655137D01*
-X34925977Y-27643898D01*
-X34934055Y-27634055D01*
-X34943898Y-27625977D01*
-X34955137Y-27619970D01*
-X34967325Y-27616273D01*
-X34980246Y-27615000D01*
-X35544754Y-27615000D01*
-X35557675Y-27616273D01*
-X35557675Y-27616273D01*
-G37*
-G36*
-X31340000Y-27885000D02*
-G01*
-X30260000Y-27885000D01*
-X30260000Y-27215000D01*
-X31340000Y-27215000D01*
-X31340000Y-27885000D01*
-X31340000Y-27885000D01*
-G37*
-G36*
-X29140000Y-27885000D02*
-G01*
-X28060000Y-27885000D01*
-X28060000Y-27215000D01*
-X29140000Y-27215000D01*
-X29140000Y-27885000D01*
-X29140000Y-27885000D01*
-G37*
-G36*
-X49809258Y-27267906D02*
-G01*
-X49837395Y-27276441D01*
-X49863324Y-27290301D01*
-X49886049Y-27308951D01*
-X49904699Y-27331676D01*
-X49918559Y-27357605D01*
-X49927094Y-27385742D01*
-X49930000Y-27415246D01*
-X49930000Y-27724754D01*
-X49927094Y-27754258D01*
-X49918559Y-27782395D01*
-X49904699Y-27808324D01*
-X49886049Y-27831049D01*
-X49863324Y-27849699D01*
-X49837395Y-27863559D01*
-X49809258Y-27872094D01*
-X49779754Y-27875000D01*
-X49420246Y-27875000D01*
-X49390742Y-27872094D01*
-X49362605Y-27863559D01*
-X49336676Y-27849699D01*
-X49313951Y-27831049D01*
-X49295301Y-27808324D01*
-X49281441Y-27782395D01*
-X49272906Y-27754258D01*
-X49270000Y-27724754D01*
-X49270000Y-27415246D01*
-X49272906Y-27385742D01*
-X49281441Y-27357605D01*
-X49295301Y-27331676D01*
-X49313951Y-27308951D01*
-X49336676Y-27290301D01*
-X49362605Y-27276441D01*
-X49390742Y-27267906D01*
-X49420246Y-27265000D01*
-X49779754Y-27265000D01*
-X49809258Y-27267906D01*
-X49809258Y-27267906D01*
-G37*
-G36*
-X47664861Y-26629522D02*
-G01*
-X47679394Y-26633931D01*
-X47692794Y-26641093D01*
-X47704717Y-26650877D01*
-X47821052Y-26767212D01*
-X47830836Y-26779135D01*
-X47837998Y-26792535D01*
-X47842407Y-26807068D01*
-X47843896Y-26822182D01*
-X47842407Y-26837296D01*
-X47837998Y-26851829D01*
-X47830836Y-26865229D01*
-X47821052Y-26877152D01*
-X46873867Y-27824337D01*
-X46861944Y-27834121D01*
-X46848544Y-27841283D01*
-X46834011Y-27845692D01*
-X46818897Y-27847181D01*
-X46803783Y-27845692D01*
-X46789250Y-27841283D01*
-X46775850Y-27834121D01*
-X46763927Y-27824337D01*
-X46647592Y-27708002D01*
-X46637808Y-27696079D01*
-X46630646Y-27682679D01*
-X46626237Y-27668146D01*
-X46624748Y-27653032D01*
-X46626237Y-27637918D01*
-X46630646Y-27623385D01*
-X46637808Y-27609985D01*
-X46647592Y-27598062D01*
-X47594777Y-26650877D01*
-X47606700Y-26641093D01*
-X47620100Y-26633931D01*
-X47634633Y-26629522D01*
-X47649747Y-26628033D01*
-X47664861Y-26629522D01*
-X47664861Y-26629522D01*
-G37*
-G36*
-X37765367Y-26629522D02*
-G01*
-X37779900Y-26633931D01*
-X37793300Y-26641093D01*
-X37805223Y-26650877D01*
-X38752408Y-27598062D01*
-X38762192Y-27609985D01*
-X38769354Y-27623385D01*
-X38773763Y-27637918D01*
-X38775252Y-27653032D01*
-X38773763Y-27668146D01*
-X38769354Y-27682679D01*
-X38762192Y-27696079D01*
-X38752408Y-27708002D01*
-X38636073Y-27824337D01*
-X38624150Y-27834121D01*
-X38610750Y-27841283D01*
-X38596217Y-27845692D01*
-X38581103Y-27847181D01*
-X38565989Y-27845692D01*
-X38551456Y-27841283D01*
-X38538056Y-27834121D01*
-X38526133Y-27824337D01*
-X37578948Y-26877152D01*
-X37569164Y-26865229D01*
-X37562002Y-26851829D01*
-X37557593Y-26837296D01*
-X37556104Y-26822182D01*
-X37557593Y-26807068D01*
-X37562002Y-26792535D01*
-X37569164Y-26779135D01*
-X37578948Y-26767212D01*
-X37695283Y-26650877D01*
-X37707206Y-26641093D01*
-X37720606Y-26633931D01*
-X37735139Y-26629522D01*
-X37750253Y-26628033D01*
-X37765367Y-26629522D01*
-X37765367Y-26629522D01*
-G37*
-G36*
-X51410000Y-27765000D02*
-G01*
-X50330000Y-27765000D01*
-X50330000Y-27095000D01*
-X51410000Y-27095000D01*
-X51410000Y-27765000D01*
-X51410000Y-27765000D01*
-G37*
-G36*
-X53610000Y-27765000D02*
-G01*
-X52530000Y-27765000D01*
-X52530000Y-27095000D01*
-X53610000Y-27095000D01*
-X53610000Y-27765000D01*
-X53610000Y-27765000D01*
-G37*
-G36*
-X33582675Y-26891273D02*
-G01*
-X33594863Y-26894970D01*
-X33606102Y-26900977D01*
-X33615945Y-26909055D01*
-X33624023Y-26918898D01*
-X33630030Y-26930137D01*
-X33633727Y-26942325D01*
-X33635000Y-26955246D01*
-X33635000Y-27519754D01*
-X33633727Y-27532675D01*
-X33630030Y-27544863D01*
-X33624023Y-27556102D01*
-X33615945Y-27565945D01*
-X33606102Y-27574023D01*
-X33594863Y-27580030D01*
-X33582675Y-27583727D01*
-X33569754Y-27585000D01*
-X33430246Y-27585000D01*
-X33417325Y-27583727D01*
-X33405137Y-27580030D01*
-X33393898Y-27574023D01*
-X33384055Y-27565945D01*
-X33375977Y-27556102D01*
-X33369970Y-27544863D01*
-X33366273Y-27532675D01*
-X33365000Y-27519754D01*
-X33365000Y-26955246D01*
-X33366273Y-26942325D01*
-X33369970Y-26930137D01*
-X33375977Y-26918898D01*
-X33384055Y-26909055D01*
-X33393898Y-26900977D01*
-X33405137Y-26894970D01*
-X33417325Y-26891273D01*
-X33430246Y-26890000D01*
-X33569754Y-26890000D01*
-X33582675Y-26891273D01*
-X33582675Y-26891273D01*
-G37*
-G36*
-X34082675Y-26891273D02*
-G01*
-X34094863Y-26894970D01*
-X34106102Y-26900977D01*
-X34115945Y-26909055D01*
-X34124023Y-26918898D01*
-X34130030Y-26930137D01*
-X34133727Y-26942325D01*
-X34135000Y-26955246D01*
-X34135000Y-27519754D01*
-X34133727Y-27532675D01*
-X34130030Y-27544863D01*
-X34124023Y-27556102D01*
-X34115945Y-27565945D01*
-X34106102Y-27574023D01*
-X34094863Y-27580030D01*
-X34082675Y-27583727D01*
-X34069754Y-27585000D01*
-X33930246Y-27585000D01*
-X33917325Y-27583727D01*
-X33905137Y-27580030D01*
-X33893898Y-27574023D01*
-X33884055Y-27565945D01*
-X33875977Y-27556102D01*
-X33869970Y-27544863D01*
-X33866273Y-27532675D01*
-X33865000Y-27519754D01*
-X33865000Y-26955246D01*
-X33866273Y-26942325D01*
-X33869970Y-26930137D01*
-X33875977Y-26918898D01*
-X33884055Y-26909055D01*
-X33893898Y-26900977D01*
-X33905137Y-26894970D01*
-X33917325Y-26891273D01*
-X33930246Y-26890000D01*
-X34069754Y-26890000D01*
-X34082675Y-26891273D01*
-X34082675Y-26891273D01*
-G37*
-G36*
-X34582675Y-26891273D02*
-G01*
-X34594863Y-26894970D01*
-X34606102Y-26900977D01*
-X34615945Y-26909055D01*
-X34624023Y-26918898D01*
-X34630030Y-26930137D01*
-X34633727Y-26942325D01*
-X34635000Y-26955246D01*
-X34635000Y-27519754D01*
-X34633727Y-27532675D01*
-X34630030Y-27544863D01*
-X34624023Y-27556102D01*
-X34615945Y-27565945D01*
-X34606102Y-27574023D01*
-X34594863Y-27580030D01*
-X34582675Y-27583727D01*
-X34569754Y-27585000D01*
-X34430246Y-27585000D01*
-X34417325Y-27583727D01*
-X34405137Y-27580030D01*
-X34393898Y-27574023D01*
-X34384055Y-27565945D01*
-X34375977Y-27556102D01*
-X34369970Y-27544863D01*
-X34366273Y-27532675D01*
-X34365000Y-27519754D01*
-X34365000Y-26955246D01*
-X34366273Y-26942325D01*
-X34369970Y-26930137D01*
-X34375977Y-26918898D01*
-X34384055Y-26909055D01*
-X34393898Y-26900977D01*
-X34405137Y-26894970D01*
-X34417325Y-26891273D01*
-X34430246Y-26890000D01*
-X34569754Y-26890000D01*
-X34582675Y-26891273D01*
-X34582675Y-26891273D01*
-G37*
-G36*
-X36679258Y-26912906D02*
-G01*
-X36707395Y-26921441D01*
-X36733324Y-26935301D01*
-X36756049Y-26953951D01*
-X36774699Y-26976676D01*
-X36788559Y-27002605D01*
-X36797094Y-27030742D01*
-X36800000Y-27060246D01*
-X36800000Y-27369754D01*
-X36797094Y-27399258D01*
-X36788559Y-27427395D01*
-X36774699Y-27453324D01*
-X36756049Y-27476049D01*
-X36733324Y-27494699D01*
-X36707395Y-27508559D01*
-X36679258Y-27517094D01*
-X36649754Y-27520000D01*
-X36290246Y-27520000D01*
-X36260742Y-27517094D01*
-X36232605Y-27508559D01*
-X36206676Y-27494699D01*
-X36183951Y-27476049D01*
-X36165301Y-27453324D01*
-X36151441Y-27427395D01*
-X36142906Y-27399258D01*
-X36140000Y-27369754D01*
-X36140000Y-27060246D01*
-X36142906Y-27030742D01*
-X36151441Y-27002605D01*
-X36165301Y-26976676D01*
-X36183951Y-26953951D01*
-X36206676Y-26935301D01*
-X36232605Y-26921441D01*
-X36260742Y-26912906D01*
-X36290246Y-26910000D01*
-X36649754Y-26910000D01*
-X36679258Y-26912906D01*
-X36679258Y-26912906D01*
-G37*
-G36*
-X47311308Y-26275969D02*
-G01*
-X47325841Y-26280378D01*
-X47339241Y-26287540D01*
-X47351164Y-26297324D01*
-X47467499Y-26413659D01*
-X47477283Y-26425582D01*
-X47484445Y-26438982D01*
-X47488854Y-26453515D01*
-X47490343Y-26468629D01*
-X47488854Y-26483743D01*
-X47484445Y-26498276D01*
-X47477283Y-26511676D01*
-X47467499Y-26523599D01*
-X46520314Y-27470784D01*
-X46508391Y-27480568D01*
-X46494991Y-27487730D01*
-X46480458Y-27492139D01*
-X46465344Y-27493628D01*
-X46450230Y-27492139D01*
-X46435697Y-27487730D01*
-X46422297Y-27480568D01*
-X46410374Y-27470784D01*
-X46294039Y-27354449D01*
-X46284255Y-27342526D01*
-X46277093Y-27329126D01*
-X46272684Y-27314593D01*
-X46271195Y-27299479D01*
-X46272684Y-27284365D01*
-X46277093Y-27269832D01*
-X46284255Y-27256432D01*
-X46294039Y-27244509D01*
-X47241224Y-26297324D01*
-X47253147Y-26287540D01*
-X47266547Y-26280378D01*
-X47281080Y-26275969D01*
-X47296194Y-26274480D01*
-X47311308Y-26275969D01*
-X47311308Y-26275969D01*
-G37*
-G36*
-X38118920Y-26275969D02*
-G01*
-X38133453Y-26280378D01*
-X38146853Y-26287540D01*
-X38158776Y-26297324D01*
-X39105961Y-27244509D01*
-X39115745Y-27256432D01*
-X39122907Y-27269832D01*
-X39127316Y-27284365D01*
-X39128805Y-27299479D01*
-X39127316Y-27314593D01*
-X39122907Y-27329126D01*
-X39115745Y-27342526D01*
-X39105961Y-27354449D01*
-X38989626Y-27470784D01*
-X38977703Y-27480568D01*
-X38964303Y-27487730D01*
-X38949770Y-27492139D01*
-X38934656Y-27493628D01*
-X38919542Y-27492139D01*
-X38905009Y-27487730D01*
-X38891609Y-27480568D01*
-X38879686Y-27470784D01*
-X37932501Y-26523599D01*
-X37922717Y-26511676D01*
-X37915555Y-26498276D01*
-X37911146Y-26483743D01*
-X37909657Y-26468629D01*
-X37911146Y-26453515D01*
-X37915555Y-26438982D01*
-X37922717Y-26425582D01*
-X37932501Y-26413659D01*
-X38048836Y-26297324D01*
-X38060759Y-26287540D01*
-X38074159Y-26280378D01*
-X38088692Y-26275969D01*
-X38103806Y-26274480D01*
-X38118920Y-26275969D01*
-X38118920Y-26275969D01*
-G37*
-G36*
-X27104999Y-27480000D02*
-G01*
-X25634999Y-27480000D01*
-X25634999Y-27160000D01*
-X27104999Y-27160000D01*
-X27104999Y-27480000D01*
-X27104999Y-27480000D01*
-G37*
-G36*
-X46957754Y-25922415D02*
-G01*
-X46972287Y-25926824D01*
-X46985687Y-25933986D01*
-X46997610Y-25943770D01*
-X47113945Y-26060105D01*
-X47123729Y-26072028D01*
-X47130891Y-26085428D01*
-X47135300Y-26099961D01*
-X47136789Y-26115075D01*
-X47135300Y-26130189D01*
-X47130891Y-26144722D01*
-X47123729Y-26158122D01*
-X47113945Y-26170045D01*
-X46166760Y-27117230D01*
-X46154837Y-27127014D01*
-X46141437Y-27134176D01*
-X46126904Y-27138585D01*
-X46111790Y-27140074D01*
-X46096676Y-27138585D01*
-X46082143Y-27134176D01*
-X46068743Y-27127014D01*
-X46056820Y-27117230D01*
-X45940485Y-27000895D01*
-X45930701Y-26988972D01*
-X45923539Y-26975572D01*
-X45919130Y-26961039D01*
-X45917641Y-26945925D01*
-X45919130Y-26930811D01*
-X45923539Y-26916278D01*
-X45930701Y-26902878D01*
-X45940485Y-26890955D01*
-X46887670Y-25943770D01*
-X46899593Y-25933986D01*
-X46912993Y-25926824D01*
-X46927526Y-25922415D01*
-X46942640Y-25920926D01*
-X46957754Y-25922415D01*
-X46957754Y-25922415D01*
-G37*
-G36*
-X38472474Y-25922415D02*
-G01*
-X38487007Y-25926824D01*
-X38500407Y-25933986D01*
-X38512330Y-25943770D01*
-X39459515Y-26890955D01*
-X39469299Y-26902878D01*
-X39476461Y-26916278D01*
-X39480870Y-26930811D01*
-X39482359Y-26945925D01*
-X39480870Y-26961039D01*
-X39476461Y-26975572D01*
-X39469299Y-26988972D01*
-X39459515Y-27000895D01*
-X39343180Y-27117230D01*
-X39331257Y-27127014D01*
-X39317857Y-27134176D01*
-X39303324Y-27138585D01*
-X39288210Y-27140074D01*
-X39273096Y-27138585D01*
-X39258563Y-27134176D01*
-X39245163Y-27127014D01*
-X39233240Y-27117230D01*
-X38286055Y-26170045D01*
-X38276271Y-26158122D01*
-X38269109Y-26144722D01*
-X38264700Y-26130189D01*
-X38263211Y-26115075D01*
-X38264700Y-26099961D01*
-X38269109Y-26085428D01*
-X38276271Y-26072028D01*
-X38286055Y-26060105D01*
-X38402390Y-25943770D01*
-X38414313Y-25933986D01*
-X38427713Y-25926824D01*
-X38442246Y-25922415D01*
-X38457360Y-25920926D01*
-X38472474Y-25922415D01*
-X38472474Y-25922415D01*
-G37*
-G36*
-X56952962Y-25869847D02*
-G01*
-X57000043Y-25884129D01*
-X57043438Y-25907324D01*
-X57081467Y-25938533D01*
-X57112676Y-25976562D01*
-X57135871Y-26019957D01*
-X57150153Y-26067038D01*
-X57155000Y-26116246D01*
-X57155000Y-26883754D01*
-X57150153Y-26932962D01*
-X57135871Y-26980043D01*
-X57112676Y-27023438D01*
-X57081467Y-27061467D01*
-X57043438Y-27092676D01*
-X57000043Y-27115871D01*
-X56952962Y-27130153D01*
-X56903754Y-27135000D01*
-X54736246Y-27135000D01*
-X54687038Y-27130153D01*
-X54639957Y-27115871D01*
-X54596562Y-27092676D01*
-X54558533Y-27061467D01*
-X54527324Y-27023438D01*
-X54504129Y-26980043D01*
-X54489847Y-26932962D01*
-X54485000Y-26883754D01*
-X54485000Y-26116246D01*
-X54489847Y-26067038D01*
-X54504129Y-26019957D01*
-X54527324Y-25976562D01*
-X54558533Y-25938533D01*
-X54596562Y-25907324D01*
-X54639957Y-25884129D01*
-X54687038Y-25869847D01*
-X54736246Y-25865000D01*
-X56903754Y-25865000D01*
-X56952962Y-25869847D01*
-X56952962Y-25869847D01*
-G37*
-G36*
-X27104999Y-26980000D02*
-G01*
-X25634999Y-26980000D01*
-X25634999Y-26660000D01*
-X27104999Y-26660000D01*
-X27104999Y-26980000D01*
-X27104999Y-26980000D01*
-G37*
-G36*
-X49809258Y-26297906D02*
-G01*
-X49837395Y-26306441D01*
-X49863324Y-26320301D01*
-X49886049Y-26338951D01*
-X49904699Y-26361676D01*
-X49918559Y-26387605D01*
-X49927094Y-26415742D01*
-X49930000Y-26445246D01*
-X49930000Y-26754754D01*
-X49927094Y-26784258D01*
-X49918559Y-26812395D01*
-X49904699Y-26838324D01*
-X49886049Y-26861049D01*
-X49863324Y-26879699D01*
-X49837395Y-26893559D01*
-X49809258Y-26902094D01*
-X49779754Y-26905000D01*
-X49420246Y-26905000D01*
-X49390742Y-26902094D01*
-X49362605Y-26893559D01*
-X49336676Y-26879699D01*
-X49313951Y-26861049D01*
-X49295301Y-26838324D01*
-X49281441Y-26812395D01*
-X49272906Y-26784258D01*
-X49270000Y-26754754D01*
-X49270000Y-26445246D01*
-X49272906Y-26415742D01*
-X49281441Y-26387605D01*
-X49295301Y-26361676D01*
-X49313951Y-26338951D01*
-X49336676Y-26320301D01*
-X49362605Y-26306441D01*
-X49390742Y-26297906D01*
-X49420246Y-26295000D01*
-X49779754Y-26295000D01*
-X49809258Y-26297906D01*
-X49809258Y-26297906D01*
-G37*
-G36*
-X53610000Y-26815000D02*
-G01*
-X52530000Y-26815000D01*
-X52530000Y-26145000D01*
-X53610000Y-26145000D01*
-X53610000Y-26815000D01*
-X53610000Y-26815000D01*
-G37*
-G36*
-X51410000Y-26815000D02*
-G01*
-X50330000Y-26815000D01*
-X50330000Y-26145000D01*
-X51410000Y-26145000D01*
-X51410000Y-26815000D01*
-X51410000Y-26815000D01*
-G37*
-G36*
-X46604201Y-25568862D02*
-G01*
-X46618734Y-25573271D01*
-X46632134Y-25580433D01*
-X46644057Y-25590217D01*
-X46760392Y-25706552D01*
-X46770176Y-25718475D01*
-X46777338Y-25731875D01*
-X46781747Y-25746408D01*
-X46783236Y-25761522D01*
-X46781747Y-25776636D01*
-X46777338Y-25791169D01*
-X46770176Y-25804569D01*
-X46760392Y-25816492D01*
-X45813207Y-26763677D01*
-X45801284Y-26773461D01*
-X45787884Y-26780623D01*
-X45773351Y-26785032D01*
-X45758237Y-26786521D01*
-X45743123Y-26785032D01*
-X45728590Y-26780623D01*
-X45715190Y-26773461D01*
-X45703267Y-26763677D01*
-X45586932Y-26647342D01*
-X45577148Y-26635419D01*
-X45569986Y-26622019D01*
-X45565577Y-26607486D01*
-X45564088Y-26592372D01*
-X45565577Y-26577258D01*
-X45569986Y-26562725D01*
-X45577148Y-26549325D01*
-X45586932Y-26537402D01*
-X46534117Y-25590217D01*
-X46546040Y-25580433D01*
-X46559440Y-25573271D01*
-X46573973Y-25568862D01*
-X46589087Y-25567373D01*
-X46604201Y-25568862D01*
-X46604201Y-25568862D01*
-G37*
-G36*
-X38826027Y-25568862D02*
-G01*
-X38840560Y-25573271D01*
-X38853960Y-25580433D01*
-X38865883Y-25590217D01*
-X39813068Y-26537402D01*
-X39822852Y-26549325D01*
-X39830014Y-26562725D01*
-X39834423Y-26577258D01*
-X39835912Y-26592372D01*
-X39834423Y-26607486D01*
-X39830014Y-26622019D01*
-X39822852Y-26635419D01*
-X39813068Y-26647342D01*
-X39696733Y-26763677D01*
-X39684810Y-26773461D01*
-X39671410Y-26780623D01*
-X39656877Y-26785032D01*
-X39641763Y-26786521D01*
-X39626649Y-26785032D01*
-X39612116Y-26780623D01*
-X39598716Y-26773461D01*
-X39586793Y-26763677D01*
-X38639608Y-25816492D01*
-X38629824Y-25804569D01*
-X38622662Y-25791169D01*
-X38618253Y-25776636D01*
-X38616764Y-25761522D01*
-X38618253Y-25746408D01*
-X38622662Y-25731875D01*
-X38629824Y-25718475D01*
-X38639608Y-25706552D01*
-X38755943Y-25590217D01*
-X38767866Y-25580433D01*
-X38781266Y-25573271D01*
-X38795799Y-25568862D01*
-X38810913Y-25567373D01*
-X38826027Y-25568862D01*
-X38826027Y-25568862D01*
-G37*
-G36*
-X36899258Y-25952907D02*
-G01*
-X36927395Y-25961442D01*
-X36953324Y-25975302D01*
-X36976049Y-25993952D01*
-X36994699Y-26016677D01*
-X37008559Y-26042606D01*
-X37017094Y-26070743D01*
-X37020000Y-26100247D01*
-X37020000Y-26409755D01*
-X37017094Y-26439259D01*
-X37008559Y-26467396D01*
-X36994699Y-26493325D01*
-X36976049Y-26516050D01*
-X36953324Y-26534700D01*
-X36927395Y-26548560D01*
-X36899258Y-26557095D01*
-X36869754Y-26560001D01*
-X36510246Y-26560001D01*
-X36480742Y-26557095D01*
-X36452605Y-26548560D01*
-X36426676Y-26534700D01*
-X36403951Y-26516050D01*
-X36385301Y-26493325D01*
-X36371441Y-26467396D01*
-X36362906Y-26439259D01*
-X36360000Y-26409755D01*
-X36360000Y-26100247D01*
-X36362906Y-26070743D01*
-X36371441Y-26042606D01*
-X36385301Y-26016677D01*
-X36403951Y-25993952D01*
-X36426676Y-25975302D01*
-X36452605Y-25961442D01*
-X36480742Y-25952907D01*
-X36510246Y-25950001D01*
-X36869754Y-25950001D01*
-X36899258Y-25952907D01*
-X36899258Y-25952907D01*
-G37*
-G36*
-X35899258Y-25952907D02*
-G01*
-X35927395Y-25961442D01*
-X35953324Y-25975302D01*
-X35976049Y-25993952D01*
-X35994699Y-26016677D01*
-X36008559Y-26042606D01*
-X36017094Y-26070743D01*
-X36020000Y-26100247D01*
-X36020000Y-26409755D01*
-X36017094Y-26439259D01*
-X36008559Y-26467396D01*
-X35994699Y-26493325D01*
-X35976049Y-26516050D01*
-X35953324Y-26534700D01*
-X35927395Y-26548560D01*
-X35899258Y-26557095D01*
-X35869754Y-26560001D01*
-X35510246Y-26560001D01*
-X35480742Y-26557095D01*
-X35452605Y-26548560D01*
-X35426676Y-26534700D01*
-X35403951Y-26516050D01*
-X35385301Y-26493325D01*
-X35371441Y-26467396D01*
-X35362906Y-26439259D01*
-X35360000Y-26409755D01*
-X35360000Y-26100247D01*
-X35362906Y-26070743D01*
-X35371441Y-26042606D01*
-X35385301Y-26016677D01*
-X35403951Y-25993952D01*
-X35426676Y-25975302D01*
-X35452605Y-25961442D01*
-X35480742Y-25952907D01*
-X35510246Y-25950001D01*
-X35869754Y-25950001D01*
-X35899258Y-25952907D01*
-X35899258Y-25952907D01*
-G37*
-G36*
-X27104999Y-26480000D02*
-G01*
-X25634999Y-26480000D01*
-X25634999Y-25860000D01*
-X27104999Y-25860000D01*
-X27104999Y-26480000D01*
-X27104999Y-26480000D01*
-G37*
-G36*
-X39179580Y-25215309D02*
-G01*
-X39194113Y-25219718D01*
-X39207513Y-25226880D01*
-X39219436Y-25236664D01*
-X40166621Y-26183849D01*
-X40176405Y-26195772D01*
-X40183567Y-26209172D01*
-X40187976Y-26223705D01*
-X40189465Y-26238819D01*
-X40187976Y-26253933D01*
-X40183567Y-26268466D01*
-X40176405Y-26281866D01*
-X40166621Y-26293789D01*
-X40050286Y-26410124D01*
-X40038363Y-26419908D01*
-X40024963Y-26427070D01*
-X40010430Y-26431479D01*
-X39995316Y-26432968D01*
-X39980202Y-26431479D01*
-X39965669Y-26427070D01*
-X39952269Y-26419908D01*
-X39940346Y-26410124D01*
-X38993161Y-25462939D01*
-X38983377Y-25451016D01*
-X38976215Y-25437616D01*
-X38971806Y-25423083D01*
-X38970317Y-25407969D01*
-X38971806Y-25392855D01*
-X38976215Y-25378322D01*
-X38983377Y-25364922D01*
-X38993161Y-25352999D01*
-X39109496Y-25236664D01*
-X39121419Y-25226880D01*
-X39134819Y-25219718D01*
-X39149352Y-25215309D01*
-X39164466Y-25213820D01*
-X39179580Y-25215309D01*
-X39179580Y-25215309D01*
-G37*
-G36*
-X46250648Y-25215309D02*
-G01*
-X46265181Y-25219718D01*
-X46278581Y-25226880D01*
-X46290504Y-25236664D01*
-X46406839Y-25352999D01*
-X46416623Y-25364922D01*
-X46423785Y-25378322D01*
-X46428194Y-25392855D01*
-X46429683Y-25407969D01*
-X46428194Y-25423083D01*
-X46423785Y-25437616D01*
-X46416623Y-25451016D01*
-X46406839Y-25462939D01*
-X45459654Y-26410124D01*
-X45447731Y-26419908D01*
-X45434331Y-26427070D01*
-X45419798Y-26431479D01*
-X45404684Y-26432968D01*
-X45389570Y-26431479D01*
-X45375037Y-26427070D01*
-X45361637Y-26419908D01*
-X45349714Y-26410124D01*
-X45233379Y-26293789D01*
-X45223595Y-26281866D01*
-X45216433Y-26268466D01*
-X45212024Y-26253933D01*
-X45210535Y-26238819D01*
-X45212024Y-26223705D01*
-X45216433Y-26209172D01*
-X45223595Y-26195772D01*
-X45233379Y-26183849D01*
-X46180564Y-25236664D01*
-X46192487Y-25226880D01*
-X46205887Y-25219718D01*
-X46220420Y-25215309D01*
-X46235534Y-25213820D01*
-X46250648Y-25215309D01*
-X46250648Y-25215309D01*
-G37*
-G36*
-X28899258Y-25672906D02*
-G01*
-X28927395Y-25681441D01*
-X28953324Y-25695301D01*
-X28976049Y-25713951D01*
-X28994699Y-25736676D01*
-X29008559Y-25762605D01*
-X29017094Y-25790742D01*
-X29020000Y-25820246D01*
-X29020000Y-26179754D01*
-X29017094Y-26209258D01*
-X29008559Y-26237395D01*
-X28994699Y-26263324D01*
-X28976049Y-26286049D01*
-X28953324Y-26304699D01*
-X28927395Y-26318559D01*
-X28899258Y-26327094D01*
-X28869754Y-26330000D01*
-X28560246Y-26330000D01*
-X28530742Y-26327094D01*
-X28502605Y-26318559D01*
-X28476676Y-26304699D01*
-X28453951Y-26286049D01*
-X28435301Y-26263324D01*
-X28421441Y-26237395D01*
-X28412906Y-26209258D01*
-X28410000Y-26179754D01*
-X28410000Y-25820246D01*
-X28412906Y-25790742D01*
-X28421441Y-25762605D01*
-X28435301Y-25736676D01*
-X28453951Y-25713951D01*
-X28476676Y-25695301D01*
-X28502605Y-25681441D01*
-X28530742Y-25672906D01*
-X28560246Y-25670000D01*
-X28869754Y-25670000D01*
-X28899258Y-25672906D01*
-X28899258Y-25672906D01*
-G37*
-G36*
-X29869258Y-25672906D02*
-G01*
-X29897395Y-25681441D01*
-X29923324Y-25695301D01*
-X29946049Y-25713951D01*
-X29964699Y-25736676D01*
-X29978559Y-25762605D01*
-X29987094Y-25790742D01*
-X29990000Y-25820246D01*
-X29990000Y-26179754D01*
-X29987094Y-26209258D01*
-X29978559Y-26237395D01*
-X29964699Y-26263324D01*
-X29946049Y-26286049D01*
-X29923324Y-26304699D01*
-X29897395Y-26318559D01*
-X29869258Y-26327094D01*
-X29839754Y-26330000D01*
-X29530246Y-26330000D01*
-X29500742Y-26327094D01*
-X29472605Y-26318559D01*
-X29446676Y-26304699D01*
-X29423951Y-26286049D01*
-X29405301Y-26263324D01*
-X29391441Y-26237395D01*
-X29382906Y-26209258D01*
-X29380000Y-26179754D01*
-X29380000Y-25820246D01*
-X29382906Y-25790742D01*
-X29391441Y-25762605D01*
-X29405301Y-25736676D01*
-X29423951Y-25713951D01*
-X29446676Y-25695301D01*
-X29472605Y-25681441D01*
-X29500742Y-25672906D01*
-X29530246Y-25670000D01*
-X29839754Y-25670000D01*
-X29869258Y-25672906D01*
-X29869258Y-25672906D01*
-G37*
-G36*
-X31299258Y-25672906D02*
-G01*
-X31327395Y-25681441D01*
-X31353324Y-25695301D01*
-X31376049Y-25713951D01*
-X31394699Y-25736676D01*
-X31408559Y-25762605D01*
-X31417094Y-25790742D01*
-X31420000Y-25820246D01*
-X31420000Y-26179754D01*
-X31417094Y-26209258D01*
-X31408559Y-26237395D01*
-X31394699Y-26263324D01*
-X31376049Y-26286049D01*
-X31353324Y-26304699D01*
-X31327395Y-26318559D01*
-X31299258Y-26327094D01*
-X31269754Y-26330000D01*
-X30960246Y-26330000D01*
-X30930742Y-26327094D01*
-X30902605Y-26318559D01*
-X30876676Y-26304699D01*
-X30853951Y-26286049D01*
-X30835301Y-26263324D01*
-X30821441Y-26237395D01*
-X30812906Y-26209258D01*
-X30810000Y-26179754D01*
-X30810000Y-25820246D01*
-X30812906Y-25790742D01*
-X30821441Y-25762605D01*
-X30835301Y-25736676D01*
-X30853951Y-25713951D01*
-X30876676Y-25695301D01*
-X30902605Y-25681441D01*
-X30930742Y-25672906D01*
-X30960246Y-25670000D01*
-X31269754Y-25670000D01*
-X31299258Y-25672906D01*
-X31299258Y-25672906D01*
-G37*
-G36*
-X32269258Y-25672906D02*
-G01*
-X32297395Y-25681441D01*
-X32323324Y-25695301D01*
-X32346049Y-25713951D01*
-X32364699Y-25736676D01*
-X32378559Y-25762605D01*
-X32387094Y-25790742D01*
-X32390000Y-25820246D01*
-X32390000Y-26179754D01*
-X32387094Y-26209258D01*
-X32378559Y-26237395D01*
-X32364699Y-26263324D01*
-X32346049Y-26286049D01*
-X32323324Y-26304699D01*
-X32297395Y-26318559D01*
-X32269258Y-26327094D01*
-X32239754Y-26330000D01*
-X31930246Y-26330000D01*
-X31900742Y-26327094D01*
-X31872605Y-26318559D01*
-X31846676Y-26304699D01*
-X31823951Y-26286049D01*
-X31805301Y-26263324D01*
-X31791441Y-26237395D01*
-X31782906Y-26209258D01*
-X31780000Y-26179754D01*
-X31780000Y-25820246D01*
-X31782906Y-25790742D01*
-X31791441Y-25762605D01*
-X31805301Y-25736676D01*
-X31823951Y-25713951D01*
-X31846676Y-25695301D01*
-X31872605Y-25681441D01*
-X31900742Y-25672906D01*
-X31930246Y-25670000D01*
-X32239754Y-25670000D01*
-X32269258Y-25672906D01*
-X32269258Y-25672906D01*
-G37*
-G36*
-X45897094Y-24861755D02*
-G01*
-X45911627Y-24866164D01*
-X45925027Y-24873326D01*
-X45936950Y-24883110D01*
-X46053285Y-24999445D01*
-X46063069Y-25011368D01*
-X46070231Y-25024768D01*
-X46074640Y-25039301D01*
-X46076129Y-25054415D01*
-X46074640Y-25069529D01*
-X46070231Y-25084062D01*
-X46063069Y-25097462D01*
-X46053285Y-25109385D01*
-X45106100Y-26056570D01*
-X45094177Y-26066354D01*
-X45080777Y-26073516D01*
-X45066244Y-26077925D01*
-X45051130Y-26079414D01*
-X45036016Y-26077925D01*
-X45021483Y-26073516D01*
-X45008083Y-26066354D01*
-X44996160Y-26056570D01*
-X44879825Y-25940235D01*
-X44870041Y-25928312D01*
-X44862879Y-25914912D01*
-X44858470Y-25900379D01*
-X44856981Y-25885265D01*
-X44858470Y-25870151D01*
-X44862879Y-25855618D01*
-X44870041Y-25842218D01*
-X44879825Y-25830295D01*
-X45827010Y-24883110D01*
-X45838933Y-24873326D01*
-X45852333Y-24866164D01*
-X45866866Y-24861755D01*
-X45881980Y-24860266D01*
-X45897094Y-24861755D01*
-X45897094Y-24861755D01*
-G37*
-G36*
-X39533134Y-24861755D02*
-G01*
-X39547667Y-24866164D01*
-X39561067Y-24873326D01*
-X39572990Y-24883110D01*
-X40520175Y-25830295D01*
-X40529959Y-25842218D01*
-X40537121Y-25855618D01*
-X40541530Y-25870151D01*
-X40543019Y-25885265D01*
-X40541530Y-25900379D01*
-X40537121Y-25914912D01*
-X40529959Y-25928312D01*
-X40520175Y-25940235D01*
-X40403840Y-26056570D01*
-X40391917Y-26066354D01*
-X40378517Y-26073516D01*
-X40363984Y-26077925D01*
-X40348870Y-26079414D01*
-X40333756Y-26077925D01*
-X40319223Y-26073516D01*
-X40305823Y-26066354D01*
-X40293900Y-26056570D01*
-X39346715Y-25109385D01*
-X39336931Y-25097462D01*
-X39329769Y-25084062D01*
-X39325360Y-25069529D01*
-X39323871Y-25054415D01*
-X39325360Y-25039301D01*
-X39329769Y-25024768D01*
-X39336931Y-25011368D01*
-X39346715Y-24999445D01*
-X39463050Y-24883110D01*
-X39474973Y-24873326D01*
-X39488373Y-24866164D01*
-X39502906Y-24861755D01*
-X39518020Y-24860266D01*
-X39533134Y-24861755D01*
-X39533134Y-24861755D01*
-G37*
-G36*
-X25022717Y-25357874D02*
-G01*
-X25083678Y-25383125D01*
-X25083681Y-25383127D01*
-X25138549Y-25419788D01*
-X25185211Y-25466450D01*
-X25218389Y-25516105D01*
-X25221874Y-25521321D01*
-X25247125Y-25582282D01*
-X25259999Y-25647005D01*
-X25259999Y-25712995D01*
-X25247125Y-25777718D01*
-X25221874Y-25838679D01*
-X25221872Y-25838682D01*
-X25185211Y-25893550D01*
-X25138549Y-25940212D01*
-X25086032Y-25975302D01*
-X25083678Y-25976875D01*
-X25022717Y-26002126D01*
-X24957994Y-26015000D01*
-X24892004Y-26015000D01*
-X24827281Y-26002126D01*
-X24766320Y-25976875D01*
-X24763966Y-25975302D01*
-X24711449Y-25940212D01*
-X24664787Y-25893550D01*
-X24628126Y-25838682D01*
-X24628124Y-25838679D01*
-X24602873Y-25777718D01*
-X24589999Y-25712995D01*
-X24589999Y-25647005D01*
-X24602873Y-25582282D01*
-X24628124Y-25521321D01*
-X24631609Y-25516105D01*
-X24664787Y-25466450D01*
-X24711449Y-25419788D01*
-X24766317Y-25383127D01*
-X24766320Y-25383125D01*
-X24827281Y-25357874D01*
-X24892004Y-25345000D01*
-X24957994Y-25345000D01*
-X25022717Y-25357874D01*
-X25022717Y-25357874D01*
-G37*
-G36*
-X53610000Y-25865000D02*
-G01*
-X52530000Y-25865000D01*
-X52530000Y-25195000D01*
-X53610000Y-25195000D01*
-X53610000Y-25865000D01*
-X53610000Y-25865000D01*
-G37*
-G36*
-X51410000Y-25865000D02*
-G01*
-X50330000Y-25865000D01*
-X50330000Y-25195000D01*
-X51410000Y-25195000D01*
-X51410000Y-25865000D01*
-X51410000Y-25865000D01*
-G37*
-G36*
-X39886687Y-24508202D02*
-G01*
-X39901220Y-24512611D01*
-X39914620Y-24519773D01*
-X39926543Y-24529557D01*
-X40873728Y-25476742D01*
-X40883512Y-25488665D01*
-X40890674Y-25502065D01*
-X40895083Y-25516598D01*
-X40896572Y-25531712D01*
-X40895083Y-25546826D01*
-X40890674Y-25561359D01*
-X40883512Y-25574759D01*
-X40873728Y-25586682D01*
-X40757393Y-25703017D01*
-X40745470Y-25712801D01*
-X40732070Y-25719963D01*
-X40717537Y-25724372D01*
-X40702423Y-25725861D01*
-X40687309Y-25724372D01*
-X40672776Y-25719963D01*
-X40659376Y-25712801D01*
-X40647453Y-25703017D01*
-X39700268Y-24755832D01*
-X39690484Y-24743909D01*
-X39683322Y-24730509D01*
-X39678913Y-24715976D01*
-X39677424Y-24700862D01*
-X39678913Y-24685748D01*
-X39683322Y-24671215D01*
-X39690484Y-24657815D01*
-X39700268Y-24645892D01*
-X39816603Y-24529557D01*
-X39828526Y-24519773D01*
-X39841926Y-24512611D01*
-X39856459Y-24508202D01*
-X39871573Y-24506713D01*
-X39886687Y-24508202D01*
-X39886687Y-24508202D01*
-G37*
-G36*
-X45543541Y-24508202D02*
-G01*
-X45558074Y-24512611D01*
-X45571474Y-24519773D01*
-X45583397Y-24529557D01*
-X45699732Y-24645892D01*
-X45709516Y-24657815D01*
-X45716678Y-24671215D01*
-X45721087Y-24685748D01*
-X45722576Y-24700862D01*
-X45721087Y-24715976D01*
-X45716678Y-24730509D01*
-X45709516Y-24743909D01*
-X45699732Y-24755832D01*
-X44752547Y-25703017D01*
-X44740624Y-25712801D01*
-X44727224Y-25719963D01*
-X44712691Y-25724372D01*
-X44697577Y-25725861D01*
-X44682463Y-25724372D01*
-X44667930Y-25719963D01*
-X44654530Y-25712801D01*
-X44642607Y-25703017D01*
-X44526272Y-25586682D01*
-X44516488Y-25574759D01*
-X44509326Y-25561359D01*
-X44504917Y-25546826D01*
-X44503428Y-25531712D01*
-X44504917Y-25516598D01*
-X44509326Y-25502065D01*
-X44516488Y-25488665D01*
-X44526272Y-25476742D01*
-X45473457Y-24529557D01*
-X45485380Y-24519773D01*
-X45498780Y-24512611D01*
-X45513313Y-24508202D01*
-X45528427Y-24506713D01*
-X45543541Y-24508202D01*
-X45543541Y-24508202D01*
-G37*
-G36*
-X60060000Y-25710000D02*
-G01*
-X58340000Y-25710000D01*
-X58340000Y-23990000D01*
-X60060000Y-23990000D01*
-X60060000Y-25710000D01*
-X60060000Y-25710000D01*
-G37*
-G36*
-X27104999Y-25680000D02*
-G01*
-X25634999Y-25680000D01*
-X25634999Y-25060000D01*
-X27104999Y-25060000D01*
-X27104999Y-25680000D01*
-X27104999Y-25680000D01*
-G37*
-G36*
-X36899258Y-24982907D02*
-G01*
-X36927395Y-24991442D01*
-X36953324Y-25005302D01*
-X36976049Y-25023952D01*
-X36994699Y-25046677D01*
-X37008559Y-25072606D01*
-X37017094Y-25100743D01*
-X37020000Y-25130247D01*
-X37020000Y-25439755D01*
-X37017094Y-25469259D01*
-X37008559Y-25497396D01*
-X36994699Y-25523325D01*
-X36976049Y-25546050D01*
-X36953324Y-25564700D01*
-X36927395Y-25578560D01*
-X36899258Y-25587095D01*
-X36869754Y-25590001D01*
-X36510246Y-25590001D01*
-X36480742Y-25587095D01*
-X36452605Y-25578560D01*
-X36426676Y-25564700D01*
-X36403951Y-25546050D01*
-X36385301Y-25523325D01*
-X36371441Y-25497396D01*
-X36362906Y-25469259D01*
-X36360000Y-25439755D01*
-X36360000Y-25130247D01*
-X36362906Y-25100743D01*
-X36371441Y-25072606D01*
-X36385301Y-25046677D01*
-X36403951Y-25023952D01*
-X36426676Y-25005302D01*
-X36452605Y-24991442D01*
-X36480742Y-24982907D01*
-X36510246Y-24980001D01*
-X36869754Y-24980001D01*
-X36899258Y-24982907D01*
-X36899258Y-24982907D01*
-G37*
-G36*
-X35899258Y-24982907D02*
-G01*
-X35927395Y-24991442D01*
-X35953324Y-25005302D01*
-X35976049Y-25023952D01*
-X35994699Y-25046677D01*
-X36008559Y-25072606D01*
-X36017094Y-25100743D01*
-X36020000Y-25130247D01*
-X36020000Y-25439755D01*
-X36017094Y-25469259D01*
-X36008559Y-25497396D01*
-X35994699Y-25523325D01*
-X35976049Y-25546050D01*
-X35953324Y-25564700D01*
-X35927395Y-25578560D01*
-X35899258Y-25587095D01*
-X35869754Y-25590001D01*
-X35510246Y-25590001D01*
-X35480742Y-25587095D01*
-X35452605Y-25578560D01*
-X35426676Y-25564700D01*
-X35403951Y-25546050D01*
-X35385301Y-25523325D01*
-X35371441Y-25497396D01*
-X35362906Y-25469259D01*
-X35360000Y-25439755D01*
-X35360000Y-25130247D01*
-X35362906Y-25100743D01*
-X35371441Y-25072606D01*
-X35385301Y-25046677D01*
-X35403951Y-25023952D01*
-X35426676Y-25005302D01*
-X35452605Y-24991442D01*
-X35480742Y-24982907D01*
-X35510246Y-24980001D01*
-X35869754Y-24980001D01*
-X35899258Y-24982907D01*
-X35899258Y-24982907D01*
-G37*
-G36*
-X45189988Y-24154649D02*
-G01*
-X45204521Y-24159058D01*
-X45217921Y-24166220D01*
-X45229844Y-24176004D01*
-X45346179Y-24292339D01*
-X45355963Y-24304262D01*
-X45363125Y-24317662D01*
-X45367534Y-24332195D01*
-X45369023Y-24347309D01*
-X45367534Y-24362423D01*
-X45363125Y-24376956D01*
-X45355963Y-24390356D01*
-X45346179Y-24402279D01*
-X44398994Y-25349464D01*
-X44387071Y-25359248D01*
-X44373671Y-25366410D01*
-X44359138Y-25370819D01*
-X44344024Y-25372308D01*
-X44328910Y-25370819D01*
-X44314377Y-25366410D01*
-X44300977Y-25359248D01*
-X44289054Y-25349464D01*
-X44172719Y-25233129D01*
-X44162935Y-25221206D01*
-X44155773Y-25207806D01*
-X44151364Y-25193273D01*
-X44149875Y-25178159D01*
-X44151364Y-25163045D01*
-X44155773Y-25148512D01*
-X44162935Y-25135112D01*
-X44172719Y-25123189D01*
-X45119904Y-24176004D01*
-X45131827Y-24166220D01*
-X45145227Y-24159058D01*
-X45159760Y-24154649D01*
-X45174874Y-24153160D01*
-X45189988Y-24154649D01*
-X45189988Y-24154649D01*
-G37*
-G36*
-X40240240Y-24154649D02*
-G01*
-X40254773Y-24159058D01*
-X40268173Y-24166220D01*
-X40280096Y-24176004D01*
-X41227281Y-25123189D01*
-X41237065Y-25135112D01*
-X41244227Y-25148512D01*
-X41248636Y-25163045D01*
-X41250125Y-25178159D01*
-X41248636Y-25193273D01*
-X41244227Y-25207806D01*
-X41237065Y-25221206D01*
-X41227281Y-25233129D01*
-X41110946Y-25349464D01*
-X41099023Y-25359248D01*
-X41085623Y-25366410D01*
-X41071090Y-25370819D01*
-X41055976Y-25372308D01*
-X41040862Y-25370819D01*
-X41026329Y-25366410D01*
-X41012929Y-25359248D01*
-X41001006Y-25349464D01*
-X40053821Y-24402279D01*
-X40044037Y-24390356D01*
-X40036875Y-24376956D01*
-X40032466Y-24362423D01*
-X40030977Y-24347309D01*
-X40032466Y-24332195D01*
-X40036875Y-24317662D01*
-X40044037Y-24304262D01*
-X40053821Y-24292339D01*
-X40170156Y-24176004D01*
-X40182079Y-24166220D01*
-X40195479Y-24159058D01*
-X40210012Y-24154649D01*
-X40225126Y-24153160D01*
-X40240240Y-24154649D01*
-X40240240Y-24154649D01*
-G37*
-G36*
-X28899258Y-24572906D02*
-G01*
-X28927395Y-24581441D01*
-X28953324Y-24595301D01*
-X28976049Y-24613951D01*
-X28994699Y-24636676D01*
-X29008559Y-24662605D01*
-X29017094Y-24690742D01*
-X29020000Y-24720246D01*
-X29020000Y-25079754D01*
-X29017094Y-25109258D01*
-X29008559Y-25137395D01*
-X28994699Y-25163324D01*
-X28976049Y-25186049D01*
-X28953324Y-25204699D01*
-X28927395Y-25218559D01*
-X28899258Y-25227094D01*
-X28869754Y-25230000D01*
-X28560246Y-25230000D01*
-X28530742Y-25227094D01*
-X28502605Y-25218559D01*
-X28476676Y-25204699D01*
-X28453951Y-25186049D01*
-X28435301Y-25163324D01*
-X28421441Y-25137395D01*
-X28412906Y-25109258D01*
-X28410000Y-25079754D01*
-X28410000Y-24720246D01*
-X28412906Y-24690742D01*
-X28421441Y-24662605D01*
-X28435301Y-24636676D01*
-X28453951Y-24613951D01*
-X28476676Y-24595301D01*
-X28502605Y-24581441D01*
-X28530742Y-24572906D01*
-X28560246Y-24570000D01*
-X28869754Y-24570000D01*
-X28899258Y-24572906D01*
-X28899258Y-24572906D01*
-G37*
-G36*
-X29869258Y-24572906D02*
-G01*
-X29897395Y-24581441D01*
-X29923324Y-24595301D01*
-X29946049Y-24613951D01*
-X29964699Y-24636676D01*
-X29978559Y-24662605D01*
-X29987094Y-24690742D01*
-X29990000Y-24720246D01*
-X29990000Y-25079754D01*
-X29987094Y-25109258D01*
-X29978559Y-25137395D01*
-X29964699Y-25163324D01*
-X29946049Y-25186049D01*
-X29923324Y-25204699D01*
-X29897395Y-25218559D01*
-X29869258Y-25227094D01*
-X29839754Y-25230000D01*
-X29530246Y-25230000D01*
-X29500742Y-25227094D01*
-X29472605Y-25218559D01*
-X29446676Y-25204699D01*
-X29423951Y-25186049D01*
-X29405301Y-25163324D01*
-X29391441Y-25137395D01*
-X29382906Y-25109258D01*
-X29380000Y-25079754D01*
-X29380000Y-24720246D01*
-X29382906Y-24690742D01*
-X29391441Y-24662605D01*
-X29405301Y-24636676D01*
-X29423951Y-24613951D01*
-X29446676Y-24595301D01*
-X29472605Y-24581441D01*
-X29500742Y-24572906D01*
-X29530246Y-24570000D01*
-X29839754Y-24570000D01*
-X29869258Y-24572906D01*
-X29869258Y-24572906D01*
-G37*
-G36*
-X40593794Y-23801095D02*
-G01*
-X40608327Y-23805504D01*
-X40621727Y-23812666D01*
-X40633650Y-23822450D01*
-X41580835Y-24769635D01*
-X41590619Y-24781558D01*
-X41597781Y-24794958D01*
-X41602190Y-24809491D01*
-X41603679Y-24824605D01*
-X41602190Y-24839719D01*
-X41597781Y-24854252D01*
-X41590619Y-24867652D01*
-X41580835Y-24879575D01*
-X41464500Y-24995910D01*
-X41452577Y-25005694D01*
-X41439177Y-25012856D01*
-X41424644Y-25017265D01*
-X41409530Y-25018754D01*
-X41394416Y-25017265D01*
-X41379883Y-25012856D01*
-X41366483Y-25005694D01*
-X41354560Y-24995910D01*
-X40407375Y-24048725D01*
-X40397591Y-24036802D01*
-X40390429Y-24023402D01*
-X40386020Y-24008869D01*
-X40384531Y-23993755D01*
-X40386020Y-23978641D01*
-X40390429Y-23964108D01*
-X40397591Y-23950708D01*
-X40407375Y-23938785D01*
-X40523710Y-23822450D01*
-X40535633Y-23812666D01*
-X40549033Y-23805504D01*
-X40563566Y-23801095D01*
-X40578680Y-23799606D01*
-X40593794Y-23801095D01*
-X40593794Y-23801095D01*
-G37*
-G36*
-X44836434Y-23801095D02*
-G01*
-X44850967Y-23805504D01*
-X44864367Y-23812666D01*
-X44876290Y-23822450D01*
-X44992625Y-23938785D01*
-X45002409Y-23950708D01*
-X45009571Y-23964108D01*
-X45013980Y-23978641D01*
-X45015469Y-23993755D01*
-X45013980Y-24008869D01*
-X45009571Y-24023402D01*
-X45002409Y-24036802D01*
-X44992625Y-24048725D01*
-X44045440Y-24995910D01*
-X44033517Y-25005694D01*
-X44020117Y-25012856D01*
-X44005584Y-25017265D01*
-X43990470Y-25018754D01*
-X43975356Y-25017265D01*
-X43960823Y-25012856D01*
-X43947423Y-25005694D01*
-X43935500Y-24995910D01*
-X43819165Y-24879575D01*
-X43809381Y-24867652D01*
-X43802219Y-24854252D01*
-X43797810Y-24839719D01*
-X43796321Y-24824605D01*
-X43797810Y-24809491D01*
-X43802219Y-24794958D01*
-X43809381Y-24781558D01*
-X43819165Y-24769635D01*
-X44766350Y-23822450D01*
-X44778273Y-23812666D01*
-X44791673Y-23805504D01*
-X44806206Y-23801095D01*
-X44821320Y-23799606D01*
-X44836434Y-23801095D01*
-X44836434Y-23801095D01*
-G37*
-G36*
-X26104976Y-23747380D02*
-G01*
-X26201112Y-23776542D01*
-X26289710Y-23823899D01*
-X26289713Y-23823901D01*
-X26289714Y-23823902D01*
-X26367368Y-23887631D01*
-X26429337Y-23963140D01*
-X26431100Y-23965289D01*
-X26478457Y-24053887D01*
-X26507619Y-24150023D01*
-X26517466Y-24250000D01*
-X26507619Y-24349977D01*
-X26478457Y-24446113D01*
-X26431100Y-24534711D01*
-X26431098Y-24534714D01*
-X26431097Y-24534715D01*
-X26367368Y-24612369D01*
-X26304284Y-24664141D01*
-X26289710Y-24676101D01*
-X26201112Y-24723458D01*
-X26104976Y-24752620D01*
-X26030047Y-24760000D01*
-X24879951Y-24760000D01*
-X24805022Y-24752620D01*
-X24708886Y-24723458D01*
-X24620288Y-24676101D01*
-X24605715Y-24664141D01*
-X24542630Y-24612369D01*
-X24478901Y-24534715D01*
-X24478900Y-24534714D01*
-X24478898Y-24534711D01*
-X24431541Y-24446113D01*
-X24402379Y-24349977D01*
-X24392532Y-24250000D01*
-X24402379Y-24150023D01*
-X24431541Y-24053887D01*
-X24478898Y-23965289D01*
-X24480662Y-23963140D01*
-X24542630Y-23887631D01*
-X24620284Y-23823902D01*
-X24620285Y-23823901D01*
-X24620288Y-23823899D01*
-X24708886Y-23776542D01*
-X24805022Y-23747380D01*
-X24879951Y-23740000D01*
-X26030047Y-23740000D01*
-X26104976Y-23747380D01*
-X26104976Y-23747380D01*
-G37*
-G36*
-X21674976Y-23747380D02*
-G01*
-X21771112Y-23776542D01*
-X21859710Y-23823899D01*
-X21859713Y-23823901D01*
-X21859714Y-23823902D01*
-X21937368Y-23887631D01*
-X21999337Y-23963140D01*
-X22001100Y-23965289D01*
-X22048457Y-24053887D01*
-X22077619Y-24150023D01*
-X22087466Y-24250000D01*
-X22077619Y-24349977D01*
-X22048457Y-24446113D01*
-X22001100Y-24534711D01*
-X22001098Y-24534714D01*
-X22001097Y-24534715D01*
-X21937368Y-24612369D01*
-X21874284Y-24664141D01*
-X21859710Y-24676101D01*
-X21771112Y-24723458D01*
-X21674976Y-24752620D01*
-X21600047Y-24760000D01*
-X20949951Y-24760000D01*
-X20875022Y-24752620D01*
-X20778886Y-24723458D01*
-X20690288Y-24676101D01*
-X20675715Y-24664141D01*
-X20612630Y-24612369D01*
-X20548901Y-24534715D01*
-X20548900Y-24534714D01*
-X20548898Y-24534711D01*
-X20501541Y-24446113D01*
-X20472379Y-24349977D01*
-X20462532Y-24250000D01*
-X20472379Y-24150023D01*
-X20501541Y-24053887D01*
-X20548898Y-23965289D01*
-X20550662Y-23963140D01*
-X20612630Y-23887631D01*
-X20690284Y-23823902D01*
-X20690285Y-23823901D01*
-X20690288Y-23823899D01*
-X20778886Y-23776542D01*
-X20875022Y-23747380D01*
-X20949951Y-23740000D01*
-X21600047Y-23740000D01*
-X21674976Y-23747380D01*
-X21674976Y-23747380D01*
-G37*
-G36*
-X44482881Y-23447542D02*
-G01*
-X44497414Y-23451951D01*
-X44510814Y-23459113D01*
-X44522737Y-23468897D01*
-X44639072Y-23585232D01*
-X44648856Y-23597155D01*
-X44656018Y-23610555D01*
-X44660427Y-23625088D01*
-X44661916Y-23640202D01*
-X44660427Y-23655316D01*
-X44656018Y-23669849D01*
-X44648856Y-23683249D01*
-X44639072Y-23695172D01*
-X43691887Y-24642357D01*
-X43679964Y-24652141D01*
-X43666564Y-24659303D01*
-X43652031Y-24663712D01*
-X43636917Y-24665201D01*
-X43621803Y-24663712D01*
-X43607270Y-24659303D01*
-X43593870Y-24652141D01*
-X43581947Y-24642357D01*
-X43465612Y-24526022D01*
-X43455828Y-24514099D01*
-X43448666Y-24500699D01*
-X43444257Y-24486166D01*
-X43442768Y-24471052D01*
-X43444257Y-24455938D01*
-X43448666Y-24441405D01*
-X43455828Y-24428005D01*
-X43465612Y-24416082D01*
-X44412797Y-23468897D01*
-X44424720Y-23459113D01*
-X44438120Y-23451951D01*
-X44452653Y-23447542D01*
-X44467767Y-23446053D01*
-X44482881Y-23447542D01*
-X44482881Y-23447542D01*
-G37*
-G36*
-X40947347Y-23447542D02*
-G01*
-X40961880Y-23451951D01*
-X40975280Y-23459113D01*
-X40987203Y-23468897D01*
-X41934388Y-24416082D01*
-X41944172Y-24428005D01*
-X41951334Y-24441405D01*
-X41955743Y-24455938D01*
-X41957232Y-24471052D01*
-X41955743Y-24486166D01*
-X41951334Y-24500699D01*
-X41944172Y-24514099D01*
-X41934388Y-24526022D01*
-X41818053Y-24642357D01*
-X41806130Y-24652141D01*
-X41792730Y-24659303D01*
-X41778197Y-24663712D01*
-X41763083Y-24665201D01*
-X41747969Y-24663712D01*
-X41733436Y-24659303D01*
-X41720036Y-24652141D01*
-X41708113Y-24642357D01*
-X40760928Y-23695172D01*
-X40751144Y-23683249D01*
-X40743982Y-23669849D01*
-X40739573Y-23655316D01*
-X40738084Y-23640202D01*
-X40739573Y-23625088D01*
-X40743982Y-23610555D01*
-X40751144Y-23597155D01*
-X40760928Y-23585232D01*
-X40877263Y-23468897D01*
-X40889186Y-23459113D01*
-X40902586Y-23451951D01*
-X40917119Y-23447542D01*
-X40932233Y-23446053D01*
-X40947347Y-23447542D01*
-X40947347Y-23447542D01*
-G37*
-G36*
-X53929258Y-24052906D02*
-G01*
-X53957395Y-24061441D01*
-X53983324Y-24075301D01*
-X54006049Y-24093951D01*
-X54024699Y-24116676D01*
-X54038559Y-24142605D01*
-X54047094Y-24170742D01*
-X54050000Y-24200246D01*
-X54050000Y-24509754D01*
-X54047094Y-24539258D01*
-X54038559Y-24567395D01*
-X54024699Y-24593324D01*
-X54006049Y-24616049D01*
-X53983324Y-24634699D01*
-X53957395Y-24648559D01*
-X53929258Y-24657094D01*
-X53899754Y-24660000D01*
-X53540246Y-24660000D01*
-X53510742Y-24657094D01*
-X53482605Y-24648559D01*
-X53456676Y-24634699D01*
-X53433951Y-24616049D01*
-X53415301Y-24593324D01*
-X53401441Y-24567395D01*
-X53392906Y-24539258D01*
-X53390000Y-24509754D01*
-X53390000Y-24200246D01*
-X53392906Y-24170742D01*
-X53401441Y-24142605D01*
-X53415301Y-24116676D01*
-X53433951Y-24093951D01*
-X53456676Y-24075301D01*
-X53482605Y-24061441D01*
-X53510742Y-24052906D01*
-X53540246Y-24050000D01*
-X53899754Y-24050000D01*
-X53929258Y-24052906D01*
-X53929258Y-24052906D01*
-G37*
-G36*
-X52816785Y-23184756D02*
-G01*
-X52862975Y-23198767D01*
-X52905549Y-23221523D01*
-X52942858Y-23252142D01*
-X52973477Y-23289451D01*
-X52996233Y-23332025D01*
-X53010244Y-23378215D01*
-X53015000Y-23426496D01*
-X53015000Y-24353504D01*
-X53010244Y-24401785D01*
-X52996233Y-24447975D01*
-X52973477Y-24490549D01*
-X52942858Y-24527858D01*
-X52905549Y-24558477D01*
-X52862975Y-24581233D01*
-X52816785Y-24595244D01*
-X52768504Y-24600000D01*
-X52266496Y-24600000D01*
-X52218215Y-24595244D01*
-X52172025Y-24581233D01*
-X52129451Y-24558477D01*
-X52092142Y-24527858D01*
-X52061523Y-24490549D01*
-X52038767Y-24447975D01*
-X52024756Y-24401785D01*
-X52020000Y-24353504D01*
-X52020000Y-23426496D01*
-X52024756Y-23378215D01*
-X52038767Y-23332025D01*
-X52061523Y-23289451D01*
-X52092142Y-23252142D01*
-X52129451Y-23221523D01*
-X52172025Y-23198767D01*
-X52218215Y-23184756D01*
-X52266496Y-23180000D01*
-X52768504Y-23180000D01*
-X52816785Y-23184756D01*
-X52816785Y-23184756D01*
-G37*
-G36*
-X50941785Y-23184756D02*
-G01*
-X50987975Y-23198767D01*
-X51030549Y-23221523D01*
-X51067858Y-23252142D01*
-X51098477Y-23289451D01*
-X51121233Y-23332025D01*
-X51135244Y-23378215D01*
-X51140000Y-23426496D01*
-X51140000Y-24353504D01*
-X51135244Y-24401785D01*
-X51121233Y-24447975D01*
-X51098477Y-24490549D01*
-X51067858Y-24527858D01*
-X51030549Y-24558477D01*
-X50987975Y-24581233D01*
-X50941785Y-24595244D01*
-X50893504Y-24600000D01*
-X50391496Y-24600000D01*
-X50343215Y-24595244D01*
-X50297025Y-24581233D01*
-X50254451Y-24558477D01*
-X50217142Y-24527858D01*
-X50186523Y-24490549D01*
-X50163767Y-24447975D01*
-X50149756Y-24401785D01*
-X50145000Y-24353504D01*
-X50145000Y-23426496D01*
-X50149756Y-23378215D01*
-X50163767Y-23332025D01*
-X50186523Y-23289451D01*
-X50217142Y-23252142D01*
-X50254451Y-23221523D01*
-X50297025Y-23198767D01*
-X50343215Y-23184756D01*
-X50391496Y-23180000D01*
-X50893504Y-23180000D01*
-X50941785Y-23184756D01*
-X50941785Y-23184756D01*
-G37*
-G36*
-X46489883Y-23758972D02*
-G01*
-X46518020Y-23767507D01*
-X46543949Y-23781367D01*
-X46566860Y-23800169D01*
-X46785725Y-24019034D01*
-X46804527Y-24041945D01*
-X46818387Y-24067874D01*
-X46826922Y-24096011D01*
-X46829804Y-24125269D01*
-X46826922Y-24154527D01*
-X46818387Y-24182664D01*
-X46804527Y-24208593D01*
-X46785725Y-24231504D01*
-X46531504Y-24485725D01*
-X46508593Y-24504527D01*
-X46482664Y-24518387D01*
-X46454527Y-24526922D01*
-X46425269Y-24529804D01*
-X46396011Y-24526922D01*
-X46367874Y-24518387D01*
-X46341945Y-24504527D01*
-X46319034Y-24485725D01*
-X46100169Y-24266860D01*
-X46081367Y-24243949D01*
-X46067507Y-24218020D01*
-X46058972Y-24189883D01*
-X46056090Y-24160625D01*
-X46058972Y-24131367D01*
-X46067507Y-24103230D01*
-X46081367Y-24077301D01*
-X46100169Y-24054390D01*
-X46354390Y-23800169D01*
-X46377301Y-23781367D01*
-X46403230Y-23767507D01*
-X46431367Y-23758972D01*
-X46460625Y-23756090D01*
-X46489883Y-23758972D01*
-X46489883Y-23758972D01*
-G37*
-G36*
-X56952962Y-23069847D02*
-G01*
-X57000043Y-23084129D01*
-X57043438Y-23107324D01*
-X57081467Y-23138533D01*
-X57112676Y-23176562D01*
-X57135871Y-23219957D01*
-X57150153Y-23267038D01*
-X57155000Y-23316246D01*
-X57155000Y-24083754D01*
-X57150153Y-24132962D01*
-X57135871Y-24180043D01*
-X57112676Y-24223438D01*
-X57081467Y-24261467D01*
-X57043438Y-24292676D01*
-X57000043Y-24315871D01*
-X56952962Y-24330153D01*
-X56903754Y-24335000D01*
-X54736246Y-24335000D01*
-X54687038Y-24330153D01*
-X54639957Y-24315871D01*
-X54596562Y-24292676D01*
-X54558533Y-24261467D01*
-X54527324Y-24223438D01*
-X54504129Y-24180043D01*
-X54489847Y-24132962D01*
-X54485000Y-24083754D01*
-X54485000Y-23316246D01*
-X54489847Y-23267038D01*
-X54504129Y-23219957D01*
-X54527324Y-23176562D01*
-X54558533Y-23138533D01*
-X54596562Y-23107324D01*
-X54639957Y-23084129D01*
-X54687038Y-23069847D01*
-X54736246Y-23065000D01*
-X56903754Y-23065000D01*
-X56952962Y-23069847D01*
-X56952962Y-23069847D01*
-G37*
-G36*
-X44129327Y-23093988D02*
-G01*
-X44143860Y-23098397D01*
-X44157260Y-23105559D01*
-X44169183Y-23115343D01*
-X44285518Y-23231678D01*
-X44295302Y-23243601D01*
-X44302464Y-23257001D01*
-X44306873Y-23271534D01*
-X44308362Y-23286648D01*
-X44306873Y-23301762D01*
-X44302464Y-23316295D01*
-X44295302Y-23329695D01*
-X44285518Y-23341618D01*
-X43338333Y-24288803D01*
-X43326410Y-24298587D01*
-X43313010Y-24305749D01*
-X43298477Y-24310158D01*
-X43283363Y-24311647D01*
-X43268249Y-24310158D01*
-X43253716Y-24305749D01*
-X43240316Y-24298587D01*
-X43228393Y-24288803D01*
-X43112058Y-24172468D01*
-X43102274Y-24160545D01*
-X43095112Y-24147145D01*
-X43090703Y-24132612D01*
-X43089214Y-24117498D01*
-X43090703Y-24102384D01*
-X43095112Y-24087851D01*
-X43102274Y-24074451D01*
-X43112058Y-24062528D01*
-X44059243Y-23115343D01*
-X44071166Y-23105559D01*
-X44084566Y-23098397D01*
-X44099099Y-23093988D01*
-X44114213Y-23092499D01*
-X44129327Y-23093988D01*
-X44129327Y-23093988D01*
-G37*
-G36*
-X41300901Y-23093988D02*
-G01*
-X41315434Y-23098397D01*
-X41328834Y-23105559D01*
-X41340757Y-23115343D01*
-X42287942Y-24062528D01*
-X42297726Y-24074451D01*
-X42304888Y-24087851D01*
-X42309297Y-24102384D01*
-X42310786Y-24117498D01*
-X42309297Y-24132612D01*
-X42304888Y-24147145D01*
-X42297726Y-24160545D01*
-X42287942Y-24172468D01*
-X42171607Y-24288803D01*
-X42159684Y-24298587D01*
-X42146284Y-24305749D01*
-X42131751Y-24310158D01*
-X42116637Y-24311647D01*
-X42101523Y-24310158D01*
-X42086990Y-24305749D01*
-X42073590Y-24298587D01*
-X42061667Y-24288803D01*
-X41114482Y-23341618D01*
-X41104698Y-23329695D01*
-X41097536Y-23316295D01*
-X41093127Y-23301762D01*
-X41091638Y-23286648D01*
-X41093127Y-23271534D01*
-X41097536Y-23257001D01*
-X41104698Y-23243601D01*
-X41114482Y-23231678D01*
-X41230817Y-23115343D01*
-X41242740Y-23105559D01*
-X41256140Y-23098397D01*
-X41270673Y-23093988D01*
-X41285787Y-23092499D01*
-X41300901Y-23093988D01*
-X41300901Y-23093988D01*
-G37*
-G36*
-X29556908Y-23119275D02*
-G01*
-X29598408Y-23131864D01*
-X29636660Y-23152310D01*
-X29670180Y-23179820D01*
-X29697690Y-23213340D01*
-X29718136Y-23251592D01*
-X29730725Y-23293092D01*
-X29735000Y-23336496D01*
-X29735000Y-23863504D01*
-X29730725Y-23906908D01*
-X29718136Y-23948408D01*
-X29697690Y-23986660D01*
-X29670180Y-24020180D01*
-X29636660Y-24047690D01*
-X29598408Y-24068136D01*
-X29556908Y-24080725D01*
-X29513504Y-24085000D01*
-X29061496Y-24085000D01*
-X29018092Y-24080725D01*
-X28976592Y-24068136D01*
-X28938340Y-24047690D01*
-X28904820Y-24020180D01*
-X28877310Y-23986660D01*
-X28856864Y-23948408D01*
-X28844275Y-23906908D01*
-X28840000Y-23863504D01*
-X28840000Y-23336496D01*
-X28844275Y-23293092D01*
-X28856864Y-23251592D01*
-X28877310Y-23213340D01*
-X28904820Y-23179820D01*
-X28938340Y-23152310D01*
-X28976592Y-23131864D01*
-X29018092Y-23119275D01*
-X29061496Y-23115000D01*
-X29513504Y-23115000D01*
-X29556908Y-23119275D01*
-X29556908Y-23119275D01*
-G37*
-G36*
-X27981908Y-23119275D02*
-G01*
-X28023408Y-23131864D01*
-X28061660Y-23152310D01*
-X28095180Y-23179820D01*
-X28122690Y-23213340D01*
-X28143136Y-23251592D01*
-X28155725Y-23293092D01*
-X28160000Y-23336496D01*
-X28160000Y-23863504D01*
-X28155725Y-23906908D01*
-X28143136Y-23948408D01*
-X28122690Y-23986660D01*
-X28095180Y-24020180D01*
-X28061660Y-24047690D01*
-X28023408Y-24068136D01*
-X27981908Y-24080725D01*
-X27938504Y-24085000D01*
-X27486496Y-24085000D01*
-X27443092Y-24080725D01*
-X27401592Y-24068136D01*
-X27363340Y-24047690D01*
-X27329820Y-24020180D01*
-X27302310Y-23986660D01*
-X27281864Y-23948408D01*
-X27269275Y-23906908D01*
-X27265000Y-23863504D01*
-X27265000Y-23336496D01*
-X27269275Y-23293092D01*
-X27281864Y-23251592D01*
-X27302310Y-23213340D01*
-X27329820Y-23179820D01*
-X27363340Y-23152310D01*
-X27401592Y-23131864D01*
-X27443092Y-23119275D01*
-X27486496Y-23115000D01*
-X27938504Y-23115000D01*
-X27981908Y-23119275D01*
-X27981908Y-23119275D01*
-G37*
-G36*
-X45803989Y-23073078D02*
-G01*
-X45832126Y-23081613D01*
-X45858055Y-23095473D01*
-X45880966Y-23114275D01*
-X46099831Y-23333140D01*
-X46118633Y-23356051D01*
-X46132493Y-23381980D01*
-X46141028Y-23410117D01*
-X46143910Y-23439375D01*
-X46141028Y-23468633D01*
-X46132493Y-23496770D01*
-X46118633Y-23522699D01*
-X46099831Y-23545610D01*
-X45845610Y-23799831D01*
-X45822699Y-23818633D01*
-X45796770Y-23832493D01*
-X45768633Y-23841028D01*
-X45739375Y-23843910D01*
-X45710117Y-23841028D01*
-X45681980Y-23832493D01*
-X45656051Y-23818633D01*
-X45633140Y-23799831D01*
-X45414275Y-23580966D01*
-X45395473Y-23558055D01*
-X45381613Y-23532126D01*
-X45373078Y-23503989D01*
-X45370196Y-23474731D01*
-X45373078Y-23445473D01*
-X45381613Y-23417336D01*
-X45395473Y-23391407D01*
-X45414275Y-23368496D01*
-X45668496Y-23114275D01*
-X45691407Y-23095473D01*
-X45717336Y-23081613D01*
-X45745473Y-23073078D01*
-X45774731Y-23070196D01*
-X45803989Y-23073078D01*
-X45803989Y-23073078D01*
-G37*
-G36*
-X53929258Y-23082906D02*
-G01*
-X53957395Y-23091441D01*
-X53983324Y-23105301D01*
-X54006049Y-23123951D01*
-X54024699Y-23146676D01*
-X54038559Y-23172605D01*
-X54047094Y-23200742D01*
-X54050000Y-23230246D01*
-X54050000Y-23539754D01*
-X54047094Y-23569258D01*
-X54038559Y-23597395D01*
-X54024699Y-23623324D01*
-X54006049Y-23646049D01*
-X53983324Y-23664699D01*
-X53957395Y-23678559D01*
-X53929258Y-23687094D01*
-X53899754Y-23690000D01*
-X53540246Y-23690000D01*
-X53510742Y-23687094D01*
-X53482605Y-23678559D01*
-X53456676Y-23664699D01*
-X53433951Y-23646049D01*
-X53415301Y-23623324D01*
-X53401441Y-23597395D01*
-X53392906Y-23569258D01*
-X53390000Y-23539754D01*
-X53390000Y-23230246D01*
-X53392906Y-23200742D01*
-X53401441Y-23172605D01*
-X53415301Y-23146676D01*
-X53433951Y-23123951D01*
-X53456676Y-23105301D01*
-X53482605Y-23091441D01*
-X53510742Y-23082906D01*
-X53540246Y-23080000D01*
-X53899754Y-23080000D01*
-X53929258Y-23082906D01*
-X53929258Y-23082906D01*
-G37*
-G36*
-X22280000Y-22640000D02*
-G01*
-X20560000Y-22640000D01*
-X20560000Y-20920000D01*
-X22280000Y-20920000D01*
-X22280000Y-22640000D01*
-X22280000Y-22640000D01*
-G37*
-G36*
-X56888589Y-20252444D02*
-G01*
-X57050700Y-20301619D01*
-X57200102Y-20381476D01*
-X57331054Y-20488946D01*
-X57438524Y-20619898D01*
-X57518381Y-20769300D01*
-X57567556Y-20931411D01*
-X57584161Y-21100000D01*
-X57567556Y-21268589D01*
-X57518381Y-21430700D01*
-X57438524Y-21580102D01*
-X57331054Y-21711054D01*
-X57200102Y-21818524D01*
-X57050700Y-21898381D01*
-X56888589Y-21947556D01*
-X56762246Y-21960000D01*
-X56677754Y-21960000D01*
-X56551411Y-21947556D01*
-X56389300Y-21898381D01*
-X56239898Y-21818524D01*
-X56108946Y-21711054D01*
-X56001476Y-21580102D01*
-X55921619Y-21430700D01*
-X55872444Y-21268589D01*
-X55855839Y-21100000D01*
-X55872444Y-20931411D01*
-X55921619Y-20769300D01*
-X56001476Y-20619898D01*
-X56108946Y-20488946D01*
-X56239898Y-20381476D01*
-X56389300Y-20301619D01*
-X56551411Y-20252444D01*
-X56677754Y-20240000D01*
-X56762246Y-20240000D01*
-X56888589Y-20252444D01*
-X56888589Y-20252444D01*
-G37*
-G36*
-X46728589Y-20252444D02*
-G01*
-X46890700Y-20301619D01*
-X47040102Y-20381476D01*
-X47171054Y-20488946D01*
-X47278524Y-20619898D01*
-X47358381Y-20769300D01*
-X47407556Y-20931411D01*
-X47424161Y-21100000D01*
-X47407556Y-21268589D01*
-X47358381Y-21430700D01*
-X47278524Y-21580102D01*
-X47171054Y-21711054D01*
-X47040102Y-21818524D01*
-X46890700Y-21898381D01*
-X46728589Y-21947556D01*
-X46602246Y-21960000D01*
-X46517754Y-21960000D01*
-X46391411Y-21947556D01*
-X46229300Y-21898381D01*
-X46079898Y-21818524D01*
-X45948946Y-21711054D01*
-X45841476Y-21580102D01*
-X45761619Y-21430700D01*
-X45712444Y-21268589D01*
-X45695839Y-21100000D01*
-X45712444Y-20931411D01*
-X45761619Y-20769300D01*
-X45841476Y-20619898D01*
-X45948946Y-20488946D01*
-X46079898Y-20381476D01*
-X46229300Y-20301619D01*
-X46391411Y-20252444D01*
-X46517754Y-20240000D01*
-X46602246Y-20240000D01*
-X46728589Y-20252444D01*
-X46728589Y-20252444D01*
-G37*
-G36*
-X44188589Y-20252444D02*
-G01*
-X44350700Y-20301619D01*
-X44500102Y-20381476D01*
-X44631054Y-20488946D01*
-X44738524Y-20619898D01*
-X44818381Y-20769300D01*
-X44867556Y-20931411D01*
-X44884161Y-21100000D01*
-X44867556Y-21268589D01*
-X44818381Y-21430700D01*
-X44738524Y-21580102D01*
-X44631054Y-21711054D01*
-X44500102Y-21818524D01*
-X44350700Y-21898381D01*
-X44188589Y-21947556D01*
-X44062246Y-21960000D01*
-X43977754Y-21960000D01*
-X43851411Y-21947556D01*
-X43689300Y-21898381D01*
-X43539898Y-21818524D01*
-X43408946Y-21711054D01*
-X43301476Y-21580102D01*
-X43221619Y-21430700D01*
-X43172444Y-21268589D01*
-X43155839Y-21100000D01*
-X43172444Y-20931411D01*
-X43221619Y-20769300D01*
-X43301476Y-20619898D01*
-X43408946Y-20488946D01*
-X43539898Y-20381476D01*
-X43689300Y-20301619D01*
-X43851411Y-20252444D01*
-X43977754Y-20240000D01*
-X44062246Y-20240000D01*
-X44188589Y-20252444D01*
-X44188589Y-20252444D01*
-G37*
-G36*
-X41648589Y-20252444D02*
-G01*
-X41810700Y-20301619D01*
-X41960102Y-20381476D01*
-X42091054Y-20488946D01*
-X42198524Y-20619898D01*
-X42278381Y-20769300D01*
-X42327556Y-20931411D01*
-X42344161Y-21100000D01*
-X42327556Y-21268589D01*
-X42278381Y-21430700D01*
-X42198524Y-21580102D01*
-X42091054Y-21711054D01*
-X41960102Y-21818524D01*
-X41810700Y-21898381D01*
-X41648589Y-21947556D01*
-X41522246Y-21960000D01*
-X41437754Y-21960000D01*
-X41311411Y-21947556D01*
-X41149300Y-21898381D01*
-X40999898Y-21818524D01*
-X40868946Y-21711054D01*
-X40761476Y-21580102D01*
-X40681619Y-21430700D01*
-X40632444Y-21268589D01*
-X40615839Y-21100000D01*
-X40632444Y-20931411D01*
-X40681619Y-20769300D01*
-X40761476Y-20619898D01*
-X40868946Y-20488946D01*
-X40999898Y-20381476D01*
-X41149300Y-20301619D01*
-X41311411Y-20252444D01*
-X41437754Y-20240000D01*
-X41522246Y-20240000D01*
-X41648589Y-20252444D01*
-X41648589Y-20252444D01*
-G37*
-G36*
-X39108589Y-20252444D02*
-G01*
-X39270700Y-20301619D01*
-X39420102Y-20381476D01*
-X39551054Y-20488946D01*
-X39658524Y-20619898D01*
-X39738381Y-20769300D01*
-X39787556Y-20931411D01*
-X39804161Y-21100000D01*
-X39787556Y-21268589D01*
-X39738381Y-21430700D01*
-X39658524Y-21580102D01*
-X39551054Y-21711054D01*
-X39420102Y-21818524D01*
-X39270700Y-21898381D01*
-X39108589Y-21947556D01*
-X38982246Y-21960000D01*
-X38897754Y-21960000D01*
-X38771411Y-21947556D01*
-X38609300Y-21898381D01*
-X38459898Y-21818524D01*
-X38328946Y-21711054D01*
-X38221476Y-21580102D01*
-X38141619Y-21430700D01*
-X38092444Y-21268589D01*
-X38075839Y-21100000D01*
-X38092444Y-20931411D01*
-X38141619Y-20769300D01*
-X38221476Y-20619898D01*
-X38328946Y-20488946D01*
-X38459898Y-20381476D01*
-X38609300Y-20301619D01*
-X38771411Y-20252444D01*
-X38897754Y-20240000D01*
-X38982246Y-20240000D01*
-X39108589Y-20252444D01*
-X39108589Y-20252444D01*
-G37*
-G36*
-X36568589Y-20252444D02*
-G01*
-X36730700Y-20301619D01*
-X36880102Y-20381476D01*
-X37011054Y-20488946D01*
-X37118524Y-20619898D01*
-X37198381Y-20769300D01*
-X37247556Y-20931411D01*
-X37264161Y-21100000D01*
-X37247556Y-21268589D01*
-X37198381Y-21430700D01*
-X37118524Y-21580102D01*
-X37011054Y-21711054D01*
-X36880102Y-21818524D01*
-X36730700Y-21898381D01*
-X36568589Y-21947556D01*
-X36442246Y-21960000D01*
-X36357754Y-21960000D01*
-X36231411Y-21947556D01*
-X36069300Y-21898381D01*
-X35919898Y-21818524D01*
-X35788946Y-21711054D01*
-X35681476Y-21580102D01*
-X35601619Y-21430700D01*
-X35552444Y-21268589D01*
-X35535839Y-21100000D01*
-X35552444Y-20931411D01*
-X35601619Y-20769300D01*
-X35681476Y-20619898D01*
-X35788946Y-20488946D01*
-X35919898Y-20381476D01*
-X36069300Y-20301619D01*
-X36231411Y-20252444D01*
-X36357754Y-20240000D01*
-X36442246Y-20240000D01*
-X36568589Y-20252444D01*
-X36568589Y-20252444D01*
-G37*
-G36*
-X34028589Y-20252444D02*
-G01*
-X34190700Y-20301619D01*
-X34340102Y-20381476D01*
-X34471054Y-20488946D01*
-X34578524Y-20619898D01*
-X34658381Y-20769300D01*
-X34707556Y-20931411D01*
-X34724161Y-21100000D01*
-X34707556Y-21268589D01*
-X34658381Y-21430700D01*
-X34578524Y-21580102D01*
-X34471054Y-21711054D01*
-X34340102Y-21818524D01*
-X34190700Y-21898381D01*
-X34028589Y-21947556D01*
-X33902246Y-21960000D01*
-X33817754Y-21960000D01*
-X33691411Y-21947556D01*
-X33529300Y-21898381D01*
-X33379898Y-21818524D01*
-X33248946Y-21711054D01*
-X33141476Y-21580102D01*
-X33061619Y-21430700D01*
-X33012444Y-21268589D01*
-X32995839Y-21100000D01*
-X33012444Y-20931411D01*
-X33061619Y-20769300D01*
-X33141476Y-20619898D01*
-X33248946Y-20488946D01*
-X33379898Y-20381476D01*
-X33529300Y-20301619D01*
-X33691411Y-20252444D01*
-X33817754Y-20240000D01*
-X33902246Y-20240000D01*
-X34028589Y-20252444D01*
-X34028589Y-20252444D01*
-G37*
-G36*
-X59428589Y-20252444D02*
-G01*
-X59590700Y-20301619D01*
-X59740102Y-20381476D01*
-X59871054Y-20488946D01*
-X59978524Y-20619898D01*
-X60058381Y-20769300D01*
-X60107556Y-20931411D01*
-X60124161Y-21100000D01*
-X60107556Y-21268589D01*
-X60058381Y-21430700D01*
-X59978524Y-21580102D01*
-X59871054Y-21711054D01*
-X59740102Y-21818524D01*
-X59590700Y-21898381D01*
-X59428589Y-21947556D01*
-X59302246Y-21960000D01*
-X59217754Y-21960000D01*
-X59091411Y-21947556D01*
-X58929300Y-21898381D01*
-X58779898Y-21818524D01*
-X58648946Y-21711054D01*
-X58541476Y-21580102D01*
-X58461619Y-21430700D01*
-X58412444Y-21268589D01*
-X58395839Y-21100000D01*
-X58412444Y-20931411D01*
-X58461619Y-20769300D01*
-X58541476Y-20619898D01*
-X58648946Y-20488946D01*
-X58779898Y-20381476D01*
-X58929300Y-20301619D01*
-X59091411Y-20252444D01*
-X59217754Y-20240000D01*
-X59302246Y-20240000D01*
-X59428589Y-20252444D01*
-X59428589Y-20252444D01*
-G37*
-G36*
-X28948589Y-20252444D02*
-G01*
-X29110700Y-20301619D01*
-X29260102Y-20381476D01*
-X29391054Y-20488946D01*
-X29498524Y-20619898D01*
-X29578381Y-20769300D01*
-X29627556Y-20931411D01*
-X29644161Y-21100000D01*
-X29627556Y-21268589D01*
-X29578381Y-21430700D01*
-X29498524Y-21580102D01*
-X29391054Y-21711054D01*
-X29260102Y-21818524D01*
-X29110700Y-21898381D01*
-X28948589Y-21947556D01*
-X28822246Y-21960000D01*
-X28737754Y-21960000D01*
-X28611411Y-21947556D01*
-X28449300Y-21898381D01*
-X28299898Y-21818524D01*
-X28168946Y-21711054D01*
-X28061476Y-21580102D01*
-X27981619Y-21430700D01*
-X27932444Y-21268589D01*
-X27915839Y-21100000D01*
-X27932444Y-20931411D01*
-X27981619Y-20769300D01*
-X28061476Y-20619898D01*
-X28168946Y-20488946D01*
-X28299898Y-20381476D01*
-X28449300Y-20301619D01*
-X28611411Y-20252444D01*
-X28737754Y-20240000D01*
-X28822246Y-20240000D01*
-X28948589Y-20252444D01*
-X28948589Y-20252444D01*
-G37*
-G36*
-X26408589Y-20252444D02*
-G01*
-X26570700Y-20301619D01*
-X26720102Y-20381476D01*
-X26851054Y-20488946D01*
-X26958524Y-20619898D01*
-X27038381Y-20769300D01*
-X27087556Y-20931411D01*
-X27104161Y-21100000D01*
-X27087556Y-21268589D01*
-X27038381Y-21430700D01*
-X26958524Y-21580102D01*
-X26851054Y-21711054D01*
-X26720102Y-21818524D01*
-X26570700Y-21898381D01*
-X26408589Y-21947556D01*
-X26282246Y-21960000D01*
-X26197754Y-21960000D01*
-X26071411Y-21947556D01*
-X25909300Y-21898381D01*
-X25759898Y-21818524D01*
-X25628946Y-21711054D01*
-X25521476Y-21580102D01*
-X25441619Y-21430700D01*
-X25392444Y-21268589D01*
-X25375839Y-21100000D01*
-X25392444Y-20931411D01*
-X25441619Y-20769300D01*
-X25521476Y-20619898D01*
-X25628946Y-20488946D01*
-X25759898Y-20381476D01*
-X25909300Y-20301619D01*
-X26071411Y-20252444D01*
-X26197754Y-20240000D01*
-X26282246Y-20240000D01*
-X26408589Y-20252444D01*
-X26408589Y-20252444D01*
-G37*
-G36*
-X24560000Y-21960000D02*
-G01*
-X22840000Y-21960000D01*
-X22840000Y-20240000D01*
-X24560000Y-20240000D01*
-X24560000Y-21960000D01*
-X24560000Y-21960000D01*
-G37*
-G36*
-X49268589Y-20252444D02*
-G01*
-X49430700Y-20301619D01*
-X49580102Y-20381476D01*
-X49711054Y-20488946D01*
-X49818524Y-20619898D01*
-X49898381Y-20769300D01*
-X49947556Y-20931411D01*
-X49964161Y-21100000D01*
-X49947556Y-21268589D01*
-X49898381Y-21430700D01*
-X49818524Y-21580102D01*
-X49711054Y-21711054D01*
-X49580102Y-21818524D01*
-X49430700Y-21898381D01*
-X49268589Y-21947556D01*
-X49142246Y-21960000D01*
-X49057754Y-21960000D01*
-X48931411Y-21947556D01*
-X48769300Y-21898381D01*
-X48619898Y-21818524D01*
-X48488946Y-21711054D01*
-X48381476Y-21580102D01*
-X48301619Y-21430700D01*
-X48252444Y-21268589D01*
-X48235839Y-21100000D01*
-X48252444Y-20931411D01*
-X48301619Y-20769300D01*
-X48381476Y-20619898D01*
-X48488946Y-20488946D01*
-X48619898Y-20381476D01*
-X48769300Y-20301619D01*
-X48931411Y-20252444D01*
-X49057754Y-20240000D01*
-X49142246Y-20240000D01*
-X49268589Y-20252444D01*
-X49268589Y-20252444D01*
-G37*
-G36*
-X51808589Y-20252444D02*
-G01*
-X51970700Y-20301619D01*
-X52120102Y-20381476D01*
-X52251054Y-20488946D01*
-X52358524Y-20619898D01*
-X52438381Y-20769300D01*
-X52487556Y-20931411D01*
-X52504161Y-21100000D01*
-X52487556Y-21268589D01*
-X52438381Y-21430700D01*
-X52358524Y-21580102D01*
-X52251054Y-21711054D01*
-X52120102Y-21818524D01*
-X51970700Y-21898381D01*
-X51808589Y-21947556D01*
-X51682246Y-21960000D01*
-X51597754Y-21960000D01*
-X51471411Y-21947556D01*
-X51309300Y-21898381D01*
-X51159898Y-21818524D01*
-X51028946Y-21711054D01*
-X50921476Y-21580102D01*
-X50841619Y-21430700D01*
-X50792444Y-21268589D01*
-X50775839Y-21100000D01*
-X50792444Y-20931411D01*
-X50841619Y-20769300D01*
-X50921476Y-20619898D01*
-X51028946Y-20488946D01*
-X51159898Y-20381476D01*
-X51309300Y-20301619D01*
-X51471411Y-20252444D01*
-X51597754Y-20240000D01*
-X51682246Y-20240000D01*
-X51808589Y-20252444D01*
-X51808589Y-20252444D01*
-G37*
-G36*
-X54348589Y-20252444D02*
-G01*
-X54510700Y-20301619D01*
-X54660102Y-20381476D01*
-X54791054Y-20488946D01*
-X54898524Y-20619898D01*
-X54978381Y-20769300D01*
-X55027556Y-20931411D01*
-X55044161Y-21100000D01*
-X55027556Y-21268589D01*
-X54978381Y-21430700D01*
-X54898524Y-21580102D01*
-X54791054Y-21711054D01*
-X54660102Y-21818524D01*
-X54510700Y-21898381D01*
-X54348589Y-21947556D01*
-X54222246Y-21960000D01*
-X54137754Y-21960000D01*
-X54011411Y-21947556D01*
-X53849300Y-21898381D01*
-X53699898Y-21818524D01*
-X53568946Y-21711054D01*
-X53461476Y-21580102D01*
-X53381619Y-21430700D01*
-X53332444Y-21268589D01*
-X53315839Y-21100000D01*
-X53332444Y-20931411D01*
-X53381619Y-20769300D01*
-X53461476Y-20619898D01*
-X53568946Y-20488946D01*
-X53699898Y-20381476D01*
-X53849300Y-20301619D01*
-X54011411Y-20252444D01*
-X54137754Y-20240000D01*
-X54222246Y-20240000D01*
-X54348589Y-20252444D01*
-X54348589Y-20252444D01*
-G37*
-G36*
-X31488589Y-20252444D02*
-G01*
-X31650700Y-20301619D01*
-X31800102Y-20381476D01*
-X31931054Y-20488946D01*
-X32038524Y-20619898D01*
-X32118381Y-20769300D01*
-X32167556Y-20931411D01*
-X32184161Y-21100000D01*
-X32167556Y-21268589D01*
-X32118381Y-21430700D01*
-X32038524Y-21580102D01*
-X31931054Y-21711054D01*
-X31800102Y-21818524D01*
-X31650700Y-21898381D01*
-X31488589Y-21947556D01*
-X31362246Y-21960000D01*
-X31277754Y-21960000D01*
-X31151411Y-21947556D01*
-X30989300Y-21898381D01*
-X30839898Y-21818524D01*
-X30708946Y-21711054D01*
-X30601476Y-21580102D01*
-X30521619Y-21430700D01*
-X30472444Y-21268589D01*
-X30455839Y-21100000D01*
-X30472444Y-20931411D01*
-X30521619Y-20769300D01*
-X30601476Y-20619898D01*
-X30708946Y-20488946D01*
-X30839898Y-20381476D01*
-X30989300Y-20301619D01*
-X31151411Y-20252444D01*
-X31277754Y-20240000D01*
-X31362246Y-20240000D01*
-X31488589Y-20252444D01*
-X31488589Y-20252444D01*
-G37*
+X147825000Y-69500000D03*
+X149575000Y-69500000D03*
+D12*
+X149575000Y-67500000D03*
+X147825000Y-67500000D03*
+D11*
+X144125000Y-69500000D03*
+X145875000Y-69500000D03*
+D12*
+X149575000Y-71500000D03*
+X147825000Y-71500000D03*
+D11*
+X147825000Y-65500000D03*
+X149575000Y-65500000D03*
+D12*
+X145875000Y-67500000D03*
+X144125000Y-67500000D03*
+D13*
+X152700000Y-66000000D03*
+X152700000Y-68500000D03*
+X152700000Y-71000000D03*
+D14*
+X167200000Y-66000000D03*
+X167200000Y-71000000D03*
+D15*
+X160400000Y-75100000D03*
+X160400000Y-61900000D03*
+D16*
+X150200000Y-78500000D03*
+D17*
+X150200000Y-73420000D03*
+X150200000Y-83580000D03*
+D18*
+X145120000Y-75960000D03*
+X147660000Y-82310000D03*
+D13*
+X207700000Y-71000000D03*
+X207700000Y-68500000D03*
+X207700000Y-66000000D03*
+D14*
+X193200000Y-71000000D03*
+X193200000Y-66000000D03*
+D15*
+X200000000Y-61900000D03*
+X200000000Y-75100000D03*
+D17*
+X210200000Y-53420000D03*
+D16*
+X210200000Y-58500000D03*
+D17*
+X210200000Y-63580000D03*
+D18*
+X215280000Y-61040000D03*
+X212740000Y-54690000D03*
+D17*
+X150200000Y-63580000D03*
+X150200000Y-53420000D03*
+D16*
+X150200000Y-58500000D03*
+D18*
+X145120000Y-55960000D03*
+X147660000Y-62310000D03*
+D17*
+X190200000Y-53420000D03*
+D16*
+X190200000Y-58500000D03*
+D17*
+X190200000Y-63580000D03*
+D18*
+X195280000Y-61040000D03*
+X192740000Y-54690000D03*
+D17*
+X170200000Y-53420000D03*
+D16*
+X170200000Y-58500000D03*
+D17*
+X170200000Y-63580000D03*
+D18*
+X165120000Y-55960000D03*
+X167660000Y-62310000D03*
+D17*
+X190200000Y-73420000D03*
+D16*
+X190200000Y-78500000D03*
+D17*
+X190200000Y-83580000D03*
+D18*
+X195280000Y-81040000D03*
+X192740000Y-74690000D03*
+D16*
+X210200000Y-78500000D03*
+D17*
+X210200000Y-73420000D03*
+X210200000Y-83580000D03*
+D18*
+X215280000Y-81040000D03*
+X212740000Y-74690000D03*
+D19*
+X221200000Y-89500000D03*
+X139200000Y-47500000D03*
+X221200000Y-47500000D03*
+X139200000Y-89500000D03*
+D17*
+X170200000Y-73420000D03*
+X170200000Y-83580000D03*
+D16*
+X170200000Y-78500000D03*
+D18*
+X165120000Y-75960000D03*
+X167660000Y-82310000D03*
+D20*
+X214200000Y-71500000D03*
+D21*
+X214200000Y-68960000D03*
+X214200000Y-66420000D03*
+X214200000Y-63880000D03*
+D22*
+X183090000Y-49055000D03*
+X177310000Y-49055000D03*
+D23*
+X184520000Y-49585000D03*
+X175880000Y-49585000D03*
+D24*
+X184520000Y-45405000D03*
+X175880000Y-45405000D03*
M02*
diff --git a/pcb/gerber/OtterPill-F_Paste.gtp b/pcb/gerber/OtterPill-F_Paste.gtp
index 7c5b7ec..7db6ffb 100644
--- a/pcb/gerber/OtterPill-F_Paste.gtp
+++ b/pcb/gerber/OtterPill-F_Paste.gtp
@@ -1,9205 +1,85 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.4+dfsg1-1*
-G04 #@! TF.CreationDate,2019-11-16T02:43:21+01:00*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-4013-gfd874d834)*
+G04 #@! TF.CreationDate,2020-10-14T12:43:31+02:00*
G04 #@! TF.ProjectId,OtterPill,4f747465-7250-4696-9c6c-2e6b69636164,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.4+dfsg1-1) date 2019-11-16 02:43:21*
+G04 Created by KiCad (PCBNEW (5.99.0-4013-gfd874d834)) date 2020-10-14 12:43:31*
%MOMM*%
%LPD*%
+G01*
G04 APERTURE LIST*
+G04 Aperture macros list*
+%AMRoundRect*
+0 Rectangle with rounded corners*
+0 $1 Rounding radius*
+0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
+0 Add a 4 corners polygon primitive as box body*
+4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
+0 Add four circle primitives for the rounded corners*
+1,1,$1+$1,$2,$3,0*
+1,1,$1+$1,$4,$5,0*
+1,1,$1+$1,$6,$7,0*
+1,1,$1+$1,$8,$9,0*
+0 Add four rect primitives between the rounded corners*
+20,1,$1+$1,$2,$3,$4,$5,0*
+20,1,$1+$1,$4,$5,$6,$7,0*
+20,1,$1+$1,$6,$7,$8,$9,0*
+20,1,$1+$1,$8,$9,$2,$3,0*%
+G04 Aperture macros list end*
+G04 #@! TA.AperFunction,Profile*
%ADD10C,0.050000*%
-%ADD11C,0.100000*%
-%ADD12C,0.875000*%
-%ADD13C,0.590000*%
-%ADD14C,1.250000*%
-%ADD15C,0.975000*%
-%ADD16C,0.300000*%
-%ADD17R,1.050000X0.650000*%
-%ADD18C,0.250000*%
-%ADD19C,0.580000*%
-%ADD20R,1.060000X0.650000*%
-%ADD21R,1.450000X0.600000*%
-%ADD22R,1.450000X0.300000*%
+G04 #@! TD*
+%ADD11RoundRect,0.237500X-0.287500X-0.237500X0.287500X-0.237500X0.287500X0.237500X-0.287500X0.237500X0*%
+%ADD12RoundRect,0.237500X0.287500X0.237500X-0.287500X0.237500X-0.287500X-0.237500X0.287500X-0.237500X0*%
G04 APERTURE END LIST*
D10*
-X63200000Y-37100000D02*
+X225200000Y-48500000D02*
+X225200000Y-88500000D01*
+X140200000Y-93500000D02*
G75*
-G02X62900000Y-37400000I-300000J0D01*
+G02*
+X135200000Y-88500000I0J5000000D01*
G01*
-X62900000Y-19800000D02*
+X220200000Y-43500000D02*
G75*
-G02X63200000Y-20100000I0J-300000D01*
+G02*
+X225200000Y-48500000I0J-5000000D01*
G01*
-X20000000Y-20100000D02*
+X225200000Y-88500000D02*
G75*
-G02X20300000Y-19800000I300000J0D01*
+G02*
+X220200000Y-93500000I-5000000J0D01*
G01*
-X20300000Y-37400000D02*
+X140200000Y-43500000D02*
+X220200000Y-43500000D01*
+X135200000Y-48500000D02*
G75*
-G02X20000000Y-37100000I0J300000D01*
+G02*
+X140200000Y-43500000I5000000J0D01*
G01*
-X20000000Y-37100000D02*
-X20000000Y-20100000D01*
-X62900000Y-37400000D02*
-X20300000Y-37400000D01*
-X63200000Y-20100000D02*
-X63200000Y-37100000D01*
-X20300000Y-19800000D02*
-X62900000Y-19800000D01*
+X135200000Y-88500000D02*
+X135200000Y-48500000D01*
+X220200000Y-93500000D02*
+X140200000Y-93500000D01*
D11*
-G36*
-X51457691Y-28116053D02*
-G01*
-X51478926Y-28119203D01*
-X51499750Y-28124419D01*
-X51519962Y-28131651D01*
-X51539368Y-28140830D01*
-X51557781Y-28151866D01*
-X51575024Y-28164654D01*
-X51590930Y-28179070D01*
-X51605346Y-28194976D01*
-X51618134Y-28212219D01*
-X51629170Y-28230632D01*
-X51638349Y-28250038D01*
-X51645581Y-28270250D01*
-X51650797Y-28291074D01*
-X51653947Y-28312309D01*
-X51655000Y-28333750D01*
-X51655000Y-28771250D01*
-X51653947Y-28792691D01*
-X51650797Y-28813926D01*
-X51645581Y-28834750D01*
-X51638349Y-28854962D01*
-X51629170Y-28874368D01*
-X51618134Y-28892781D01*
-X51605346Y-28910024D01*
-X51590930Y-28925930D01*
-X51575024Y-28940346D01*
-X51557781Y-28953134D01*
-X51539368Y-28964170D01*
-X51519962Y-28973349D01*
-X51499750Y-28980581D01*
-X51478926Y-28985797D01*
-X51457691Y-28988947D01*
-X51436250Y-28990000D01*
-X50923750Y-28990000D01*
-X50902309Y-28988947D01*
-X50881074Y-28985797D01*
-X50860250Y-28980581D01*
-X50840038Y-28973349D01*
-X50820632Y-28964170D01*
-X50802219Y-28953134D01*
-X50784976Y-28940346D01*
-X50769070Y-28925930D01*
-X50754654Y-28910024D01*
-X50741866Y-28892781D01*
-X50730830Y-28874368D01*
-X50721651Y-28854962D01*
-X50714419Y-28834750D01*
-X50709203Y-28813926D01*
-X50706053Y-28792691D01*
-X50705000Y-28771250D01*
-X50705000Y-28333750D01*
-X50706053Y-28312309D01*
-X50709203Y-28291074D01*
-X50714419Y-28270250D01*
-X50721651Y-28250038D01*
-X50730830Y-28230632D01*
-X50741866Y-28212219D01*
-X50754654Y-28194976D01*
-X50769070Y-28179070D01*
-X50784976Y-28164654D01*
-X50802219Y-28151866D01*
-X50820632Y-28140830D01*
-X50840038Y-28131651D01*
-X50860250Y-28124419D01*
-X50881074Y-28119203D01*
-X50902309Y-28116053D01*
-X50923750Y-28115000D01*
-X51436250Y-28115000D01*
-X51457691Y-28116053D01*
-X51457691Y-28116053D01*
-G37*
+X147825000Y-69500000D03*
+X149575000Y-69500000D03*
D12*
-X51180000Y-28552500D03*
+X149575000Y-67500000D03*
+X147825000Y-67500000D03*
D11*
-G36*
-X51457691Y-29691053D02*
-G01*
-X51478926Y-29694203D01*
-X51499750Y-29699419D01*
-X51519962Y-29706651D01*
-X51539368Y-29715830D01*
-X51557781Y-29726866D01*
-X51575024Y-29739654D01*
-X51590930Y-29754070D01*
-X51605346Y-29769976D01*
-X51618134Y-29787219D01*
-X51629170Y-29805632D01*
-X51638349Y-29825038D01*
-X51645581Y-29845250D01*
-X51650797Y-29866074D01*
-X51653947Y-29887309D01*
-X51655000Y-29908750D01*
-X51655000Y-30346250D01*
-X51653947Y-30367691D01*
-X51650797Y-30388926D01*
-X51645581Y-30409750D01*
-X51638349Y-30429962D01*
-X51629170Y-30449368D01*
-X51618134Y-30467781D01*
-X51605346Y-30485024D01*
-X51590930Y-30500930D01*
-X51575024Y-30515346D01*
-X51557781Y-30528134D01*
-X51539368Y-30539170D01*
-X51519962Y-30548349D01*
-X51499750Y-30555581D01*
-X51478926Y-30560797D01*
-X51457691Y-30563947D01*
-X51436250Y-30565000D01*
-X50923750Y-30565000D01*
-X50902309Y-30563947D01*
-X50881074Y-30560797D01*
-X50860250Y-30555581D01*
-X50840038Y-30548349D01*
-X50820632Y-30539170D01*
-X50802219Y-30528134D01*
-X50784976Y-30515346D01*
-X50769070Y-30500930D01*
-X50754654Y-30485024D01*
-X50741866Y-30467781D01*
-X50730830Y-30449368D01*
-X50721651Y-30429962D01*
-X50714419Y-30409750D01*
-X50709203Y-30388926D01*
-X50706053Y-30367691D01*
-X50705000Y-30346250D01*
-X50705000Y-29908750D01*
-X50706053Y-29887309D01*
-X50709203Y-29866074D01*
-X50714419Y-29845250D01*
-X50721651Y-29825038D01*
-X50730830Y-29805632D01*
-X50741866Y-29787219D01*
-X50754654Y-29769976D01*
-X50769070Y-29754070D01*
-X50784976Y-29739654D01*
-X50802219Y-29726866D01*
-X50820632Y-29715830D01*
-X50840038Y-29706651D01*
-X50860250Y-29699419D01*
-X50881074Y-29694203D01*
-X50902309Y-29691053D01*
-X50923750Y-29690000D01*
-X51436250Y-29690000D01*
-X51457691Y-29691053D01*
-X51457691Y-29691053D01*
-G37*
+X144125000Y-69500000D03*
+X145875000Y-69500000D03*
D12*
-X51180000Y-30127500D03*
-D11*
-G36*
-X50016958Y-33280710D02*
-G01*
-X50031276Y-33282834D01*
-X50045317Y-33286351D01*
-X50058946Y-33291228D01*
-X50072031Y-33297417D01*
-X50084447Y-33304858D01*
-X50096073Y-33313481D01*
-X50106798Y-33323202D01*
-X50116519Y-33333927D01*
-X50125142Y-33345553D01*
-X50132583Y-33357969D01*
-X50138772Y-33371054D01*
-X50143649Y-33384683D01*
-X50147166Y-33398724D01*
-X50149290Y-33413042D01*
-X50150000Y-33427500D01*
-X50150000Y-33722500D01*
-X50149290Y-33736958D01*
-X50147166Y-33751276D01*
-X50143649Y-33765317D01*
-X50138772Y-33778946D01*
-X50132583Y-33792031D01*
-X50125142Y-33804447D01*
-X50116519Y-33816073D01*
-X50106798Y-33826798D01*
-X50096073Y-33836519D01*
-X50084447Y-33845142D01*
-X50072031Y-33852583D01*
-X50058946Y-33858772D01*
-X50045317Y-33863649D01*
-X50031276Y-33867166D01*
-X50016958Y-33869290D01*
-X50002500Y-33870000D01*
-X49657500Y-33870000D01*
-X49643042Y-33869290D01*
-X49628724Y-33867166D01*
-X49614683Y-33863649D01*
-X49601054Y-33858772D01*
-X49587969Y-33852583D01*
-X49575553Y-33845142D01*
-X49563927Y-33836519D01*
-X49553202Y-33826798D01*
-X49543481Y-33816073D01*
-X49534858Y-33804447D01*
-X49527417Y-33792031D01*
-X49521228Y-33778946D01*
-X49516351Y-33765317D01*
-X49512834Y-33751276D01*
-X49510710Y-33736958D01*
-X49510000Y-33722500D01*
-X49510000Y-33427500D01*
-X49510710Y-33413042D01*
-X49512834Y-33398724D01*
-X49516351Y-33384683D01*
-X49521228Y-33371054D01*
-X49527417Y-33357969D01*
-X49534858Y-33345553D01*
-X49543481Y-33333927D01*
-X49553202Y-33323202D01*
-X49563927Y-33313481D01*
-X49575553Y-33304858D01*
-X49587969Y-33297417D01*
-X49601054Y-33291228D01*
-X49614683Y-33286351D01*
-X49628724Y-33282834D01*
-X49643042Y-33280710D01*
-X49657500Y-33280000D01*
-X50002500Y-33280000D01*
-X50016958Y-33280710D01*
-X50016958Y-33280710D01*
-G37*
-D13*
-X49830000Y-33575000D03*
-D11*
-G36*
-X50016958Y-32310710D02*
-G01*
-X50031276Y-32312834D01*
-X50045317Y-32316351D01*
-X50058946Y-32321228D01*
-X50072031Y-32327417D01*
-X50084447Y-32334858D01*
-X50096073Y-32343481D01*
-X50106798Y-32353202D01*
-X50116519Y-32363927D01*
-X50125142Y-32375553D01*
-X50132583Y-32387969D01*
-X50138772Y-32401054D01*
-X50143649Y-32414683D01*
-X50147166Y-32428724D01*
-X50149290Y-32443042D01*
-X50150000Y-32457500D01*
-X50150000Y-32752500D01*
-X50149290Y-32766958D01*
-X50147166Y-32781276D01*
-X50143649Y-32795317D01*
-X50138772Y-32808946D01*
-X50132583Y-32822031D01*
-X50125142Y-32834447D01*
-X50116519Y-32846073D01*
-X50106798Y-32856798D01*
-X50096073Y-32866519D01*
-X50084447Y-32875142D01*
-X50072031Y-32882583D01*
-X50058946Y-32888772D01*
-X50045317Y-32893649D01*
-X50031276Y-32897166D01*
-X50016958Y-32899290D01*
-X50002500Y-32900000D01*
-X49657500Y-32900000D01*
-X49643042Y-32899290D01*
-X49628724Y-32897166D01*
-X49614683Y-32893649D01*
-X49601054Y-32888772D01*
-X49587969Y-32882583D01*
-X49575553Y-32875142D01*
-X49563927Y-32866519D01*
-X49553202Y-32856798D01*
-X49543481Y-32846073D01*
-X49534858Y-32834447D01*
-X49527417Y-32822031D01*
-X49521228Y-32808946D01*
-X49516351Y-32795317D01*
-X49512834Y-32781276D01*
-X49510710Y-32766958D01*
-X49510000Y-32752500D01*
-X49510000Y-32457500D01*
-X49510710Y-32443042D01*
-X49512834Y-32428724D01*
-X49516351Y-32414683D01*
-X49521228Y-32401054D01*
-X49527417Y-32387969D01*
-X49534858Y-32375553D01*
-X49543481Y-32363927D01*
-X49553202Y-32353202D01*
-X49563927Y-32343481D01*
-X49575553Y-32334858D01*
-X49587969Y-32327417D01*
-X49601054Y-32321228D01*
-X49614683Y-32316351D01*
-X49628724Y-32312834D01*
-X49643042Y-32310710D01*
-X49657500Y-32310000D01*
-X50002500Y-32310000D01*
-X50016958Y-32310710D01*
-X50016958Y-32310710D01*
-G37*
-D13*
-X49830000Y-32605000D03*
-D11*
-G36*
-X56919504Y-23076204D02*
-G01*
-X56943773Y-23079804D01*
-X56967571Y-23085765D01*
-X56990671Y-23094030D01*
-X57012849Y-23104520D01*
-X57033893Y-23117133D01*
-X57053598Y-23131747D01*
-X57071777Y-23148223D01*
-X57088253Y-23166402D01*
-X57102867Y-23186107D01*
-X57115480Y-23207151D01*
-X57125970Y-23229329D01*
-X57134235Y-23252429D01*
-X57140196Y-23276227D01*
-X57143796Y-23300496D01*
-X57145000Y-23325000D01*
-X57145000Y-24075000D01*
-X57143796Y-24099504D01*
-X57140196Y-24123773D01*
-X57134235Y-24147571D01*
-X57125970Y-24170671D01*
-X57115480Y-24192849D01*
-X57102867Y-24213893D01*
-X57088253Y-24233598D01*
-X57071777Y-24251777D01*
-X57053598Y-24268253D01*
-X57033893Y-24282867D01*
-X57012849Y-24295480D01*
-X56990671Y-24305970D01*
-X56967571Y-24314235D01*
-X56943773Y-24320196D01*
-X56919504Y-24323796D01*
-X56895000Y-24325000D01*
-X54745000Y-24325000D01*
-X54720496Y-24323796D01*
-X54696227Y-24320196D01*
-X54672429Y-24314235D01*
-X54649329Y-24305970D01*
-X54627151Y-24295480D01*
-X54606107Y-24282867D01*
-X54586402Y-24268253D01*
-X54568223Y-24251777D01*
-X54551747Y-24233598D01*
-X54537133Y-24213893D01*
-X54524520Y-24192849D01*
-X54514030Y-24170671D01*
-X54505765Y-24147571D01*
-X54499804Y-24123773D01*
-X54496204Y-24099504D01*
-X54495000Y-24075000D01*
-X54495000Y-23325000D01*
-X54496204Y-23300496D01*
-X54499804Y-23276227D01*
-X54505765Y-23252429D01*
-X54514030Y-23229329D01*
-X54524520Y-23207151D01*
-X54537133Y-23186107D01*
-X54551747Y-23166402D01*
-X54568223Y-23148223D01*
-X54586402Y-23131747D01*
-X54606107Y-23117133D01*
-X54627151Y-23104520D01*
-X54649329Y-23094030D01*
-X54672429Y-23085765D01*
-X54696227Y-23079804D01*
-X54720496Y-23076204D01*
-X54745000Y-23075000D01*
-X56895000Y-23075000D01*
-X56919504Y-23076204D01*
-X56919504Y-23076204D01*
-G37*
-D14*
-X55820000Y-23700000D03*
-D11*
-G36*
-X56919504Y-25876204D02*
-G01*
-X56943773Y-25879804D01*
-X56967571Y-25885765D01*
-X56990671Y-25894030D01*
-X57012849Y-25904520D01*
-X57033893Y-25917133D01*
-X57053598Y-25931747D01*
-X57071777Y-25948223D01*
-X57088253Y-25966402D01*
-X57102867Y-25986107D01*
-X57115480Y-26007151D01*
-X57125970Y-26029329D01*
-X57134235Y-26052429D01*
-X57140196Y-26076227D01*
-X57143796Y-26100496D01*
-X57145000Y-26125000D01*
-X57145000Y-26875000D01*
-X57143796Y-26899504D01*
-X57140196Y-26923773D01*
-X57134235Y-26947571D01*
-X57125970Y-26970671D01*
-X57115480Y-26992849D01*
-X57102867Y-27013893D01*
-X57088253Y-27033598D01*
-X57071777Y-27051777D01*
-X57053598Y-27068253D01*
-X57033893Y-27082867D01*
-X57012849Y-27095480D01*
-X56990671Y-27105970D01*
-X56967571Y-27114235D01*
-X56943773Y-27120196D01*
-X56919504Y-27123796D01*
-X56895000Y-27125000D01*
-X54745000Y-27125000D01*
-X54720496Y-27123796D01*
-X54696227Y-27120196D01*
-X54672429Y-27114235D01*
-X54649329Y-27105970D01*
-X54627151Y-27095480D01*
-X54606107Y-27082867D01*
-X54586402Y-27068253D01*
-X54568223Y-27051777D01*
-X54551747Y-27033598D01*
-X54537133Y-27013893D01*
-X54524520Y-26992849D01*
-X54514030Y-26970671D01*
-X54505765Y-26947571D01*
-X54499804Y-26923773D01*
-X54496204Y-26899504D01*
-X54495000Y-26875000D01*
-X54495000Y-26125000D01*
-X54496204Y-26100496D01*
-X54499804Y-26076227D01*
-X54505765Y-26052429D01*
-X54514030Y-26029329D01*
-X54524520Y-26007151D01*
-X54537133Y-25986107D01*
-X54551747Y-25966402D01*
-X54568223Y-25948223D01*
-X54586402Y-25931747D01*
-X54606107Y-25917133D01*
-X54627151Y-25904520D01*
-X54649329Y-25894030D01*
-X54672429Y-25885765D01*
-X54696227Y-25879804D01*
-X54720496Y-25876204D01*
-X54745000Y-25875000D01*
-X56895000Y-25875000D01*
-X56919504Y-25876204D01*
-X56919504Y-25876204D01*
-G37*
-D14*
-X55820000Y-26500000D03*
-D11*
-G36*
-X55170142Y-27526174D02*
-G01*
-X55193803Y-27529684D01*
-X55217007Y-27535496D01*
-X55239529Y-27543554D01*
-X55261153Y-27553782D01*
-X55281670Y-27566079D01*
-X55300883Y-27580329D01*
-X55318607Y-27596393D01*
-X55334671Y-27614117D01*
-X55348921Y-27633330D01*
-X55361218Y-27653847D01*
-X55371446Y-27675471D01*
-X55379504Y-27697993D01*
-X55385316Y-27721197D01*
-X55388826Y-27744858D01*
-X55390000Y-27768750D01*
-X55390000Y-28256250D01*
-X55388826Y-28280142D01*
-X55385316Y-28303803D01*
-X55379504Y-28327007D01*
-X55371446Y-28349529D01*
-X55361218Y-28371153D01*
-X55348921Y-28391670D01*
-X55334671Y-28410883D01*
-X55318607Y-28428607D01*
-X55300883Y-28444671D01*
-X55281670Y-28458921D01*
-X55261153Y-28471218D01*
-X55239529Y-28481446D01*
-X55217007Y-28489504D01*
-X55193803Y-28495316D01*
-X55170142Y-28498826D01*
-X55146250Y-28500000D01*
-X54233750Y-28500000D01*
-X54209858Y-28498826D01*
-X54186197Y-28495316D01*
-X54162993Y-28489504D01*
-X54140471Y-28481446D01*
-X54118847Y-28471218D01*
-X54098330Y-28458921D01*
-X54079117Y-28444671D01*
-X54061393Y-28428607D01*
-X54045329Y-28410883D01*
-X54031079Y-28391670D01*
-X54018782Y-28371153D01*
-X54008554Y-28349529D01*
-X54000496Y-28327007D01*
-X53994684Y-28303803D01*
-X53991174Y-28280142D01*
-X53990000Y-28256250D01*
-X53990000Y-27768750D01*
-X53991174Y-27744858D01*
-X53994684Y-27721197D01*
-X54000496Y-27697993D01*
-X54008554Y-27675471D01*
-X54018782Y-27653847D01*
-X54031079Y-27633330D01*
-X54045329Y-27614117D01*
-X54061393Y-27596393D01*
-X54079117Y-27580329D01*
-X54098330Y-27566079D01*
-X54118847Y-27553782D01*
-X54140471Y-27543554D01*
-X54162993Y-27535496D01*
-X54186197Y-27529684D01*
-X54209858Y-27526174D01*
-X54233750Y-27525000D01*
-X55146250Y-27525000D01*
-X55170142Y-27526174D01*
-X55170142Y-27526174D01*
-G37*
-D15*
-X54690000Y-28012500D03*
-D11*
-G36*
-X55170142Y-29401174D02*
-G01*
-X55193803Y-29404684D01*
-X55217007Y-29410496D01*
-X55239529Y-29418554D01*
-X55261153Y-29428782D01*
-X55281670Y-29441079D01*
-X55300883Y-29455329D01*
-X55318607Y-29471393D01*
-X55334671Y-29489117D01*
-X55348921Y-29508330D01*
-X55361218Y-29528847D01*
-X55371446Y-29550471D01*
-X55379504Y-29572993D01*
-X55385316Y-29596197D01*
-X55388826Y-29619858D01*
-X55390000Y-29643750D01*
-X55390000Y-30131250D01*
-X55388826Y-30155142D01*
-X55385316Y-30178803D01*
-X55379504Y-30202007D01*
-X55371446Y-30224529D01*
-X55361218Y-30246153D01*
-X55348921Y-30266670D01*
-X55334671Y-30285883D01*
-X55318607Y-30303607D01*
-X55300883Y-30319671D01*
-X55281670Y-30333921D01*
-X55261153Y-30346218D01*
-X55239529Y-30356446D01*
-X55217007Y-30364504D01*
-X55193803Y-30370316D01*
-X55170142Y-30373826D01*
-X55146250Y-30375000D01*
-X54233750Y-30375000D01*
-X54209858Y-30373826D01*
-X54186197Y-30370316D01*
-X54162993Y-30364504D01*
-X54140471Y-30356446D01*
-X54118847Y-30346218D01*
-X54098330Y-30333921D01*
-X54079117Y-30319671D01*
-X54061393Y-30303607D01*
-X54045329Y-30285883D01*
-X54031079Y-30266670D01*
-X54018782Y-30246153D01*
-X54008554Y-30224529D01*
-X54000496Y-30202007D01*
-X53994684Y-30178803D01*
-X53991174Y-30155142D01*
-X53990000Y-30131250D01*
-X53990000Y-29643750D01*
-X53991174Y-29619858D01*
-X53994684Y-29596197D01*
-X54000496Y-29572993D01*
-X54008554Y-29550471D01*
-X54018782Y-29528847D01*
-X54031079Y-29508330D01*
-X54045329Y-29489117D01*
-X54061393Y-29471393D01*
-X54079117Y-29455329D01*
-X54098330Y-29441079D01*
-X54118847Y-29428782D01*
-X54140471Y-29418554D01*
-X54162993Y-29410496D01*
-X54186197Y-29404684D01*
-X54209858Y-29401174D01*
-X54233750Y-29400000D01*
-X55146250Y-29400000D01*
-X55170142Y-29401174D01*
-X55170142Y-29401174D01*
-G37*
-D15*
-X54690000Y-29887500D03*
-D11*
-G36*
-X52476958Y-28430710D02*
-G01*
-X52491276Y-28432834D01*
-X52505317Y-28436351D01*
-X52518946Y-28441228D01*
-X52532031Y-28447417D01*
-X52544447Y-28454858D01*
-X52556073Y-28463481D01*
-X52566798Y-28473202D01*
-X52576519Y-28483927D01*
-X52585142Y-28495553D01*
-X52592583Y-28507969D01*
-X52598772Y-28521054D01*
-X52603649Y-28534683D01*
-X52607166Y-28548724D01*
-X52609290Y-28563042D01*
-X52610000Y-28577500D01*
-X52610000Y-28922500D01*
-X52609290Y-28936958D01*
-X52607166Y-28951276D01*
-X52603649Y-28965317D01*
-X52598772Y-28978946D01*
-X52592583Y-28992031D01*
-X52585142Y-29004447D01*
-X52576519Y-29016073D01*
-X52566798Y-29026798D01*
-X52556073Y-29036519D01*
-X52544447Y-29045142D01*
-X52532031Y-29052583D01*
-X52518946Y-29058772D01*
-X52505317Y-29063649D01*
-X52491276Y-29067166D01*
-X52476958Y-29069290D01*
-X52462500Y-29070000D01*
-X52167500Y-29070000D01*
-X52153042Y-29069290D01*
-X52138724Y-29067166D01*
-X52124683Y-29063649D01*
-X52111054Y-29058772D01*
-X52097969Y-29052583D01*
-X52085553Y-29045142D01*
-X52073927Y-29036519D01*
-X52063202Y-29026798D01*
-X52053481Y-29016073D01*
-X52044858Y-29004447D01*
-X52037417Y-28992031D01*
-X52031228Y-28978946D01*
-X52026351Y-28965317D01*
-X52022834Y-28951276D01*
-X52020710Y-28936958D01*
-X52020000Y-28922500D01*
-X52020000Y-28577500D01*
-X52020710Y-28563042D01*
-X52022834Y-28548724D01*
-X52026351Y-28534683D01*
-X52031228Y-28521054D01*
-X52037417Y-28507969D01*
-X52044858Y-28495553D01*
-X52053481Y-28483927D01*
-X52063202Y-28473202D01*
-X52073927Y-28463481D01*
-X52085553Y-28454858D01*
-X52097969Y-28447417D01*
-X52111054Y-28441228D01*
-X52124683Y-28436351D01*
-X52138724Y-28432834D01*
-X52153042Y-28430710D01*
-X52167500Y-28430000D01*
-X52462500Y-28430000D01*
-X52476958Y-28430710D01*
-X52476958Y-28430710D01*
-G37*
-D13*
-X52315000Y-28750000D03*
-D11*
-G36*
-X53446958Y-28430710D02*
-G01*
-X53461276Y-28432834D01*
-X53475317Y-28436351D01*
-X53488946Y-28441228D01*
-X53502031Y-28447417D01*
-X53514447Y-28454858D01*
-X53526073Y-28463481D01*
-X53536798Y-28473202D01*
-X53546519Y-28483927D01*
-X53555142Y-28495553D01*
-X53562583Y-28507969D01*
-X53568772Y-28521054D01*
-X53573649Y-28534683D01*
-X53577166Y-28548724D01*
-X53579290Y-28563042D01*
-X53580000Y-28577500D01*
-X53580000Y-28922500D01*
-X53579290Y-28936958D01*
-X53577166Y-28951276D01*
-X53573649Y-28965317D01*
-X53568772Y-28978946D01*
-X53562583Y-28992031D01*
-X53555142Y-29004447D01*
-X53546519Y-29016073D01*
-X53536798Y-29026798D01*
-X53526073Y-29036519D01*
-X53514447Y-29045142D01*
-X53502031Y-29052583D01*
-X53488946Y-29058772D01*
-X53475317Y-29063649D01*
-X53461276Y-29067166D01*
-X53446958Y-29069290D01*
-X53432500Y-29070000D01*
-X53137500Y-29070000D01*
-X53123042Y-29069290D01*
-X53108724Y-29067166D01*
-X53094683Y-29063649D01*
-X53081054Y-29058772D01*
-X53067969Y-29052583D01*
-X53055553Y-29045142D01*
-X53043927Y-29036519D01*
-X53033202Y-29026798D01*
-X53023481Y-29016073D01*
-X53014858Y-29004447D01*
-X53007417Y-28992031D01*
-X53001228Y-28978946D01*
-X52996351Y-28965317D01*
-X52992834Y-28951276D01*
-X52990710Y-28936958D01*
-X52990000Y-28922500D01*
-X52990000Y-28577500D01*
-X52990710Y-28563042D01*
-X52992834Y-28548724D01*
-X52996351Y-28534683D01*
-X53001228Y-28521054D01*
-X53007417Y-28507969D01*
-X53014858Y-28495553D01*
-X53023481Y-28483927D01*
-X53033202Y-28473202D01*
-X53043927Y-28463481D01*
-X53055553Y-28454858D01*
-X53067969Y-28447417D01*
-X53081054Y-28441228D01*
-X53094683Y-28436351D01*
-X53108724Y-28432834D01*
-X53123042Y-28430710D01*
-X53137500Y-28430000D01*
-X53432500Y-28430000D01*
-X53446958Y-28430710D01*
-X53446958Y-28430710D01*
-G37*
-D13*
-X53285000Y-28750000D03*
-D11*
-G36*
-X48010652Y-26995031D02*
-G01*
-X48017933Y-26996111D01*
-X48025072Y-26997899D01*
-X48032002Y-27000379D01*
-X48038656Y-27003526D01*
-X48044969Y-27007310D01*
-X48050880Y-27011694D01*
-X48056334Y-27016637D01*
-X48162400Y-27122703D01*
-X48167343Y-27128157D01*
-X48171727Y-27134068D01*
-X48175511Y-27140381D01*
-X48178658Y-27147035D01*
-X48181138Y-27153965D01*
-X48182926Y-27161104D01*
-X48184006Y-27168385D01*
-X48184367Y-27175736D01*
-X48184006Y-27183087D01*
-X48182926Y-27190368D01*
-X48181138Y-27197507D01*
-X48178658Y-27204437D01*
-X48175511Y-27211091D01*
-X48171727Y-27217404D01*
-X48167343Y-27223315D01*
-X48162400Y-27228769D01*
-X47225484Y-28165685D01*
-X47220030Y-28170628D01*
-X47214119Y-28175012D01*
-X47207806Y-28178796D01*
-X47201152Y-28181943D01*
-X47194222Y-28184423D01*
-X47187083Y-28186211D01*
-X47179802Y-28187291D01*
-X47172451Y-28187652D01*
-X47165100Y-28187291D01*
-X47157819Y-28186211D01*
-X47150680Y-28184423D01*
-X47143750Y-28181943D01*
-X47137096Y-28178796D01*
-X47130783Y-28175012D01*
-X47124872Y-28170628D01*
-X47119418Y-28165685D01*
-X47013352Y-28059619D01*
-X47008409Y-28054165D01*
-X47004025Y-28048254D01*
-X47000241Y-28041941D01*
-X46997094Y-28035287D01*
-X46994614Y-28028357D01*
-X46992826Y-28021218D01*
-X46991746Y-28013937D01*
-X46991385Y-28006586D01*
-X46991746Y-27999235D01*
-X46992826Y-27991954D01*
-X46994614Y-27984815D01*
-X46997094Y-27977885D01*
-X47000241Y-27971231D01*
-X47004025Y-27964918D01*
-X47008409Y-27959007D01*
-X47013352Y-27953553D01*
-X47950268Y-27016637D01*
-X47955722Y-27011694D01*
-X47961633Y-27007310D01*
-X47967946Y-27003526D01*
-X47974600Y-27000379D01*
-X47981530Y-26997899D01*
-X47988669Y-26996111D01*
-X47995950Y-26995031D01*
-X48003301Y-26994670D01*
-X48010652Y-26995031D01*
-X48010652Y-26995031D01*
-G37*
-D16*
-X47587876Y-27591161D03*
-D11*
-G36*
-X47657098Y-26641477D02*
-G01*
-X47664379Y-26642557D01*
-X47671518Y-26644345D01*
-X47678448Y-26646825D01*
-X47685102Y-26649972D01*
-X47691415Y-26653756D01*
-X47697326Y-26658140D01*
-X47702780Y-26663083D01*
-X47808846Y-26769149D01*
-X47813789Y-26774603D01*
-X47818173Y-26780514D01*
-X47821957Y-26786827D01*
-X47825104Y-26793481D01*
-X47827584Y-26800411D01*
-X47829372Y-26807550D01*
-X47830452Y-26814831D01*
-X47830813Y-26822182D01*
-X47830452Y-26829533D01*
-X47829372Y-26836814D01*
-X47827584Y-26843953D01*
-X47825104Y-26850883D01*
-X47821957Y-26857537D01*
-X47818173Y-26863850D01*
-X47813789Y-26869761D01*
-X47808846Y-26875215D01*
-X46871930Y-27812131D01*
-X46866476Y-27817074D01*
-X46860565Y-27821458D01*
-X46854252Y-27825242D01*
-X46847598Y-27828389D01*
-X46840668Y-27830869D01*
-X46833529Y-27832657D01*
-X46826248Y-27833737D01*
-X46818897Y-27834098D01*
-X46811546Y-27833737D01*
-X46804265Y-27832657D01*
-X46797126Y-27830869D01*
-X46790196Y-27828389D01*
-X46783542Y-27825242D01*
-X46777229Y-27821458D01*
-X46771318Y-27817074D01*
-X46765864Y-27812131D01*
-X46659798Y-27706065D01*
-X46654855Y-27700611D01*
-X46650471Y-27694700D01*
-X46646687Y-27688387D01*
-X46643540Y-27681733D01*
-X46641060Y-27674803D01*
-X46639272Y-27667664D01*
-X46638192Y-27660383D01*
-X46637831Y-27653032D01*
-X46638192Y-27645681D01*
-X46639272Y-27638400D01*
-X46641060Y-27631261D01*
-X46643540Y-27624331D01*
-X46646687Y-27617677D01*
-X46650471Y-27611364D01*
-X46654855Y-27605453D01*
-X46659798Y-27599999D01*
-X47596714Y-26663083D01*
-X47602168Y-26658140D01*
-X47608079Y-26653756D01*
-X47614392Y-26649972D01*
-X47621046Y-26646825D01*
-X47627976Y-26644345D01*
-X47635115Y-26642557D01*
-X47642396Y-26641477D01*
-X47649747Y-26641116D01*
-X47657098Y-26641477D01*
-X47657098Y-26641477D01*
-G37*
-D16*
-X47234322Y-27237607D03*
-D11*
-G36*
-X47303545Y-26287924D02*
-G01*
-X47310826Y-26289004D01*
-X47317965Y-26290792D01*
-X47324895Y-26293272D01*
-X47331549Y-26296419D01*
-X47337862Y-26300203D01*
-X47343773Y-26304587D01*
-X47349227Y-26309530D01*
-X47455293Y-26415596D01*
-X47460236Y-26421050D01*
-X47464620Y-26426961D01*
-X47468404Y-26433274D01*
-X47471551Y-26439928D01*
-X47474031Y-26446858D01*
-X47475819Y-26453997D01*
-X47476899Y-26461278D01*
-X47477260Y-26468629D01*
-X47476899Y-26475980D01*
-X47475819Y-26483261D01*
-X47474031Y-26490400D01*
-X47471551Y-26497330D01*
-X47468404Y-26503984D01*
-X47464620Y-26510297D01*
-X47460236Y-26516208D01*
-X47455293Y-26521662D01*
-X46518377Y-27458578D01*
-X46512923Y-27463521D01*
-X46507012Y-27467905D01*
-X46500699Y-27471689D01*
-X46494045Y-27474836D01*
-X46487115Y-27477316D01*
-X46479976Y-27479104D01*
-X46472695Y-27480184D01*
-X46465344Y-27480545D01*
-X46457993Y-27480184D01*
-X46450712Y-27479104D01*
-X46443573Y-27477316D01*
-X46436643Y-27474836D01*
-X46429989Y-27471689D01*
-X46423676Y-27467905D01*
-X46417765Y-27463521D01*
-X46412311Y-27458578D01*
-X46306245Y-27352512D01*
-X46301302Y-27347058D01*
-X46296918Y-27341147D01*
-X46293134Y-27334834D01*
-X46289987Y-27328180D01*
-X46287507Y-27321250D01*
-X46285719Y-27314111D01*
-X46284639Y-27306830D01*
-X46284278Y-27299479D01*
-X46284639Y-27292128D01*
-X46285719Y-27284847D01*
-X46287507Y-27277708D01*
-X46289987Y-27270778D01*
-X46293134Y-27264124D01*
-X46296918Y-27257811D01*
-X46301302Y-27251900D01*
-X46306245Y-27246446D01*
-X47243161Y-26309530D01*
-X47248615Y-26304587D01*
-X47254526Y-26300203D01*
-X47260839Y-26296419D01*
-X47267493Y-26293272D01*
-X47274423Y-26290792D01*
-X47281562Y-26289004D01*
-X47288843Y-26287924D01*
-X47296194Y-26287563D01*
-X47303545Y-26287924D01*
-X47303545Y-26287924D01*
-G37*
-D16*
-X46880769Y-26884054D03*
-D11*
-G36*
-X46949991Y-25934370D02*
-G01*
-X46957272Y-25935450D01*
-X46964411Y-25937238D01*
-X46971341Y-25939718D01*
-X46977995Y-25942865D01*
-X46984308Y-25946649D01*
-X46990219Y-25951033D01*
-X46995673Y-25955976D01*
-X47101739Y-26062042D01*
-X47106682Y-26067496D01*
-X47111066Y-26073407D01*
-X47114850Y-26079720D01*
-X47117997Y-26086374D01*
-X47120477Y-26093304D01*
-X47122265Y-26100443D01*
-X47123345Y-26107724D01*
-X47123706Y-26115075D01*
-X47123345Y-26122426D01*
-X47122265Y-26129707D01*
-X47120477Y-26136846D01*
-X47117997Y-26143776D01*
-X47114850Y-26150430D01*
-X47111066Y-26156743D01*
-X47106682Y-26162654D01*
-X47101739Y-26168108D01*
-X46164823Y-27105024D01*
-X46159369Y-27109967D01*
-X46153458Y-27114351D01*
-X46147145Y-27118135D01*
-X46140491Y-27121282D01*
-X46133561Y-27123762D01*
-X46126422Y-27125550D01*
-X46119141Y-27126630D01*
-X46111790Y-27126991D01*
-X46104439Y-27126630D01*
-X46097158Y-27125550D01*
-X46090019Y-27123762D01*
-X46083089Y-27121282D01*
-X46076435Y-27118135D01*
-X46070122Y-27114351D01*
-X46064211Y-27109967D01*
-X46058757Y-27105024D01*
-X45952691Y-26998958D01*
-X45947748Y-26993504D01*
-X45943364Y-26987593D01*
-X45939580Y-26981280D01*
-X45936433Y-26974626D01*
-X45933953Y-26967696D01*
-X45932165Y-26960557D01*
-X45931085Y-26953276D01*
-X45930724Y-26945925D01*
-X45931085Y-26938574D01*
-X45932165Y-26931293D01*
-X45933953Y-26924154D01*
-X45936433Y-26917224D01*
-X45939580Y-26910570D01*
-X45943364Y-26904257D01*
-X45947748Y-26898346D01*
-X45952691Y-26892892D01*
-X46889607Y-25955976D01*
-X46895061Y-25951033D01*
-X46900972Y-25946649D01*
-X46907285Y-25942865D01*
-X46913939Y-25939718D01*
-X46920869Y-25937238D01*
-X46928008Y-25935450D01*
-X46935289Y-25934370D01*
-X46942640Y-25934009D01*
-X46949991Y-25934370D01*
-X46949991Y-25934370D01*
-G37*
-D16*
-X46527215Y-26530500D03*
-D11*
-G36*
-X46596438Y-25580817D02*
-G01*
-X46603719Y-25581897D01*
-X46610858Y-25583685D01*
-X46617788Y-25586165D01*
-X46624442Y-25589312D01*
-X46630755Y-25593096D01*
-X46636666Y-25597480D01*
-X46642120Y-25602423D01*
-X46748186Y-25708489D01*
-X46753129Y-25713943D01*
-X46757513Y-25719854D01*
-X46761297Y-25726167D01*
-X46764444Y-25732821D01*
-X46766924Y-25739751D01*
-X46768712Y-25746890D01*
-X46769792Y-25754171D01*
-X46770153Y-25761522D01*
-X46769792Y-25768873D01*
-X46768712Y-25776154D01*
-X46766924Y-25783293D01*
-X46764444Y-25790223D01*
-X46761297Y-25796877D01*
-X46757513Y-25803190D01*
-X46753129Y-25809101D01*
-X46748186Y-25814555D01*
-X45811270Y-26751471D01*
-X45805816Y-26756414D01*
-X45799905Y-26760798D01*
-X45793592Y-26764582D01*
-X45786938Y-26767729D01*
-X45780008Y-26770209D01*
-X45772869Y-26771997D01*
-X45765588Y-26773077D01*
-X45758237Y-26773438D01*
-X45750886Y-26773077D01*
-X45743605Y-26771997D01*
-X45736466Y-26770209D01*
-X45729536Y-26767729D01*
-X45722882Y-26764582D01*
-X45716569Y-26760798D01*
-X45710658Y-26756414D01*
-X45705204Y-26751471D01*
-X45599138Y-26645405D01*
-X45594195Y-26639951D01*
-X45589811Y-26634040D01*
-X45586027Y-26627727D01*
-X45582880Y-26621073D01*
-X45580400Y-26614143D01*
-X45578612Y-26607004D01*
-X45577532Y-26599723D01*
-X45577171Y-26592372D01*
-X45577532Y-26585021D01*
-X45578612Y-26577740D01*
-X45580400Y-26570601D01*
-X45582880Y-26563671D01*
-X45586027Y-26557017D01*
-X45589811Y-26550704D01*
-X45594195Y-26544793D01*
-X45599138Y-26539339D01*
-X46536054Y-25602423D01*
-X46541508Y-25597480D01*
-X46547419Y-25593096D01*
-X46553732Y-25589312D01*
-X46560386Y-25586165D01*
-X46567316Y-25583685D01*
-X46574455Y-25581897D01*
-X46581736Y-25580817D01*
-X46589087Y-25580456D01*
-X46596438Y-25580817D01*
-X46596438Y-25580817D01*
-G37*
-D16*
-X46173662Y-26176947D03*
-D11*
-G36*
-X46242885Y-25227264D02*
-G01*
-X46250166Y-25228344D01*
-X46257305Y-25230132D01*
-X46264235Y-25232612D01*
-X46270889Y-25235759D01*
-X46277202Y-25239543D01*
-X46283113Y-25243927D01*
-X46288567Y-25248870D01*
-X46394633Y-25354936D01*
-X46399576Y-25360390D01*
-X46403960Y-25366301D01*
-X46407744Y-25372614D01*
-X46410891Y-25379268D01*
-X46413371Y-25386198D01*
-X46415159Y-25393337D01*
-X46416239Y-25400618D01*
-X46416600Y-25407969D01*
-X46416239Y-25415320D01*
-X46415159Y-25422601D01*
-X46413371Y-25429740D01*
-X46410891Y-25436670D01*
-X46407744Y-25443324D01*
-X46403960Y-25449637D01*
-X46399576Y-25455548D01*
-X46394633Y-25461002D01*
-X45457717Y-26397918D01*
-X45452263Y-26402861D01*
-X45446352Y-26407245D01*
-X45440039Y-26411029D01*
-X45433385Y-26414176D01*
-X45426455Y-26416656D01*
-X45419316Y-26418444D01*
-X45412035Y-26419524D01*
-X45404684Y-26419885D01*
-X45397333Y-26419524D01*
-X45390052Y-26418444D01*
-X45382913Y-26416656D01*
-X45375983Y-26414176D01*
-X45369329Y-26411029D01*
-X45363016Y-26407245D01*
-X45357105Y-26402861D01*
-X45351651Y-26397918D01*
-X45245585Y-26291852D01*
-X45240642Y-26286398D01*
-X45236258Y-26280487D01*
-X45232474Y-26274174D01*
-X45229327Y-26267520D01*
-X45226847Y-26260590D01*
-X45225059Y-26253451D01*
-X45223979Y-26246170D01*
-X45223618Y-26238819D01*
-X45223979Y-26231468D01*
-X45225059Y-26224187D01*
-X45226847Y-26217048D01*
-X45229327Y-26210118D01*
-X45232474Y-26203464D01*
-X45236258Y-26197151D01*
-X45240642Y-26191240D01*
-X45245585Y-26185786D01*
-X46182501Y-25248870D01*
-X46187955Y-25243927D01*
-X46193866Y-25239543D01*
-X46200179Y-25235759D01*
-X46206833Y-25232612D01*
-X46213763Y-25230132D01*
-X46220902Y-25228344D01*
-X46228183Y-25227264D01*
-X46235534Y-25226903D01*
-X46242885Y-25227264D01*
-X46242885Y-25227264D01*
-G37*
-D16*
-X45820109Y-25823394D03*
-D11*
-G36*
-X45889331Y-24873710D02*
-G01*
-X45896612Y-24874790D01*
-X45903751Y-24876578D01*
-X45910681Y-24879058D01*
-X45917335Y-24882205D01*
-X45923648Y-24885989D01*
-X45929559Y-24890373D01*
-X45935013Y-24895316D01*
-X46041079Y-25001382D01*
-X46046022Y-25006836D01*
-X46050406Y-25012747D01*
-X46054190Y-25019060D01*
-X46057337Y-25025714D01*
-X46059817Y-25032644D01*
-X46061605Y-25039783D01*
-X46062685Y-25047064D01*
-X46063046Y-25054415D01*
-X46062685Y-25061766D01*
-X46061605Y-25069047D01*
-X46059817Y-25076186D01*
-X46057337Y-25083116D01*
-X46054190Y-25089770D01*
-X46050406Y-25096083D01*
-X46046022Y-25101994D01*
-X46041079Y-25107448D01*
-X45104163Y-26044364D01*
-X45098709Y-26049307D01*
-X45092798Y-26053691D01*
-X45086485Y-26057475D01*
-X45079831Y-26060622D01*
-X45072901Y-26063102D01*
-X45065762Y-26064890D01*
-X45058481Y-26065970D01*
-X45051130Y-26066331D01*
-X45043779Y-26065970D01*
-X45036498Y-26064890D01*
-X45029359Y-26063102D01*
-X45022429Y-26060622D01*
-X45015775Y-26057475D01*
-X45009462Y-26053691D01*
-X45003551Y-26049307D01*
-X44998097Y-26044364D01*
-X44892031Y-25938298D01*
-X44887088Y-25932844D01*
-X44882704Y-25926933D01*
-X44878920Y-25920620D01*
-X44875773Y-25913966D01*
-X44873293Y-25907036D01*
-X44871505Y-25899897D01*
-X44870425Y-25892616D01*
-X44870064Y-25885265D01*
-X44870425Y-25877914D01*
-X44871505Y-25870633D01*
-X44873293Y-25863494D01*
-X44875773Y-25856564D01*
-X44878920Y-25849910D01*
-X44882704Y-25843597D01*
-X44887088Y-25837686D01*
-X44892031Y-25832232D01*
-X45828947Y-24895316D01*
-X45834401Y-24890373D01*
-X45840312Y-24885989D01*
-X45846625Y-24882205D01*
-X45853279Y-24879058D01*
-X45860209Y-24876578D01*
-X45867348Y-24874790D01*
-X45874629Y-24873710D01*
-X45881980Y-24873349D01*
-X45889331Y-24873710D01*
-X45889331Y-24873710D01*
-G37*
-D16*
-X45466555Y-25469840D03*
-D11*
-G36*
-X45535778Y-24520157D02*
-G01*
-X45543059Y-24521237D01*
-X45550198Y-24523025D01*
-X45557128Y-24525505D01*
-X45563782Y-24528652D01*
-X45570095Y-24532436D01*
-X45576006Y-24536820D01*
-X45581460Y-24541763D01*
-X45687526Y-24647829D01*
-X45692469Y-24653283D01*
-X45696853Y-24659194D01*
-X45700637Y-24665507D01*
-X45703784Y-24672161D01*
-X45706264Y-24679091D01*
-X45708052Y-24686230D01*
-X45709132Y-24693511D01*
-X45709493Y-24700862D01*
-X45709132Y-24708213D01*
-X45708052Y-24715494D01*
-X45706264Y-24722633D01*
-X45703784Y-24729563D01*
-X45700637Y-24736217D01*
-X45696853Y-24742530D01*
-X45692469Y-24748441D01*
-X45687526Y-24753895D01*
-X44750610Y-25690811D01*
-X44745156Y-25695754D01*
-X44739245Y-25700138D01*
-X44732932Y-25703922D01*
-X44726278Y-25707069D01*
-X44719348Y-25709549D01*
-X44712209Y-25711337D01*
-X44704928Y-25712417D01*
-X44697577Y-25712778D01*
-X44690226Y-25712417D01*
-X44682945Y-25711337D01*
-X44675806Y-25709549D01*
-X44668876Y-25707069D01*
-X44662222Y-25703922D01*
-X44655909Y-25700138D01*
-X44649998Y-25695754D01*
-X44644544Y-25690811D01*
-X44538478Y-25584745D01*
-X44533535Y-25579291D01*
-X44529151Y-25573380D01*
-X44525367Y-25567067D01*
-X44522220Y-25560413D01*
-X44519740Y-25553483D01*
-X44517952Y-25546344D01*
-X44516872Y-25539063D01*
-X44516511Y-25531712D01*
-X44516872Y-25524361D01*
-X44517952Y-25517080D01*
-X44519740Y-25509941D01*
-X44522220Y-25503011D01*
-X44525367Y-25496357D01*
-X44529151Y-25490044D01*
-X44533535Y-25484133D01*
-X44538478Y-25478679D01*
-X45475394Y-24541763D01*
-X45480848Y-24536820D01*
-X45486759Y-24532436D01*
-X45493072Y-24528652D01*
-X45499726Y-24525505D01*
-X45506656Y-24523025D01*
-X45513795Y-24521237D01*
-X45521076Y-24520157D01*
-X45528427Y-24519796D01*
-X45535778Y-24520157D01*
-X45535778Y-24520157D01*
-G37*
-D16*
-X45113002Y-25116287D03*
-D11*
-G36*
-X45182225Y-24166604D02*
-G01*
-X45189506Y-24167684D01*
-X45196645Y-24169472D01*
-X45203575Y-24171952D01*
-X45210229Y-24175099D01*
-X45216542Y-24178883D01*
-X45222453Y-24183267D01*
-X45227907Y-24188210D01*
-X45333973Y-24294276D01*
-X45338916Y-24299730D01*
-X45343300Y-24305641D01*
-X45347084Y-24311954D01*
-X45350231Y-24318608D01*
-X45352711Y-24325538D01*
-X45354499Y-24332677D01*
-X45355579Y-24339958D01*
-X45355940Y-24347309D01*
-X45355579Y-24354660D01*
-X45354499Y-24361941D01*
-X45352711Y-24369080D01*
-X45350231Y-24376010D01*
-X45347084Y-24382664D01*
-X45343300Y-24388977D01*
-X45338916Y-24394888D01*
-X45333973Y-24400342D01*
-X44397057Y-25337258D01*
-X44391603Y-25342201D01*
-X44385692Y-25346585D01*
-X44379379Y-25350369D01*
-X44372725Y-25353516D01*
-X44365795Y-25355996D01*
-X44358656Y-25357784D01*
-X44351375Y-25358864D01*
-X44344024Y-25359225D01*
-X44336673Y-25358864D01*
-X44329392Y-25357784D01*
-X44322253Y-25355996D01*
-X44315323Y-25353516D01*
-X44308669Y-25350369D01*
-X44302356Y-25346585D01*
-X44296445Y-25342201D01*
-X44290991Y-25337258D01*
-X44184925Y-25231192D01*
-X44179982Y-25225738D01*
-X44175598Y-25219827D01*
-X44171814Y-25213514D01*
-X44168667Y-25206860D01*
-X44166187Y-25199930D01*
-X44164399Y-25192791D01*
-X44163319Y-25185510D01*
-X44162958Y-25178159D01*
-X44163319Y-25170808D01*
-X44164399Y-25163527D01*
-X44166187Y-25156388D01*
-X44168667Y-25149458D01*
-X44171814Y-25142804D01*
-X44175598Y-25136491D01*
-X44179982Y-25130580D01*
-X44184925Y-25125126D01*
-X45121841Y-24188210D01*
-X45127295Y-24183267D01*
-X45133206Y-24178883D01*
-X45139519Y-24175099D01*
-X45146173Y-24171952D01*
-X45153103Y-24169472D01*
-X45160242Y-24167684D01*
-X45167523Y-24166604D01*
-X45174874Y-24166243D01*
-X45182225Y-24166604D01*
-X45182225Y-24166604D01*
-G37*
-D16*
-X44759449Y-24762734D03*
-D11*
-G36*
-X44828671Y-23813050D02*
-G01*
-X44835952Y-23814130D01*
-X44843091Y-23815918D01*
-X44850021Y-23818398D01*
-X44856675Y-23821545D01*
-X44862988Y-23825329D01*
-X44868899Y-23829713D01*
-X44874353Y-23834656D01*
-X44980419Y-23940722D01*
-X44985362Y-23946176D01*
-X44989746Y-23952087D01*
-X44993530Y-23958400D01*
-X44996677Y-23965054D01*
-X44999157Y-23971984D01*
-X45000945Y-23979123D01*
-X45002025Y-23986404D01*
-X45002386Y-23993755D01*
-X45002025Y-24001106D01*
-X45000945Y-24008387D01*
-X44999157Y-24015526D01*
-X44996677Y-24022456D01*
-X44993530Y-24029110D01*
-X44989746Y-24035423D01*
-X44985362Y-24041334D01*
-X44980419Y-24046788D01*
-X44043503Y-24983704D01*
-X44038049Y-24988647D01*
-X44032138Y-24993031D01*
-X44025825Y-24996815D01*
-X44019171Y-24999962D01*
-X44012241Y-25002442D01*
-X44005102Y-25004230D01*
-X43997821Y-25005310D01*
-X43990470Y-25005671D01*
-X43983119Y-25005310D01*
-X43975838Y-25004230D01*
-X43968699Y-25002442D01*
-X43961769Y-24999962D01*
-X43955115Y-24996815D01*
-X43948802Y-24993031D01*
-X43942891Y-24988647D01*
-X43937437Y-24983704D01*
-X43831371Y-24877638D01*
-X43826428Y-24872184D01*
-X43822044Y-24866273D01*
-X43818260Y-24859960D01*
-X43815113Y-24853306D01*
-X43812633Y-24846376D01*
-X43810845Y-24839237D01*
-X43809765Y-24831956D01*
-X43809404Y-24824605D01*
-X43809765Y-24817254D01*
-X43810845Y-24809973D01*
-X43812633Y-24802834D01*
-X43815113Y-24795904D01*
-X43818260Y-24789250D01*
-X43822044Y-24782937D01*
-X43826428Y-24777026D01*
-X43831371Y-24771572D01*
-X44768287Y-23834656D01*
-X44773741Y-23829713D01*
-X44779652Y-23825329D01*
-X44785965Y-23821545D01*
-X44792619Y-23818398D01*
-X44799549Y-23815918D01*
-X44806688Y-23814130D01*
-X44813969Y-23813050D01*
-X44821320Y-23812689D01*
-X44828671Y-23813050D01*
-X44828671Y-23813050D01*
-G37*
-D16*
-X44405895Y-24409180D03*
-D11*
-G36*
-X44475118Y-23459497D02*
-G01*
-X44482399Y-23460577D01*
-X44489538Y-23462365D01*
-X44496468Y-23464845D01*
-X44503122Y-23467992D01*
-X44509435Y-23471776D01*
-X44515346Y-23476160D01*
-X44520800Y-23481103D01*
-X44626866Y-23587169D01*
-X44631809Y-23592623D01*
-X44636193Y-23598534D01*
-X44639977Y-23604847D01*
-X44643124Y-23611501D01*
-X44645604Y-23618431D01*
-X44647392Y-23625570D01*
-X44648472Y-23632851D01*
-X44648833Y-23640202D01*
-X44648472Y-23647553D01*
-X44647392Y-23654834D01*
-X44645604Y-23661973D01*
-X44643124Y-23668903D01*
-X44639977Y-23675557D01*
-X44636193Y-23681870D01*
-X44631809Y-23687781D01*
-X44626866Y-23693235D01*
-X43689950Y-24630151D01*
-X43684496Y-24635094D01*
-X43678585Y-24639478D01*
-X43672272Y-24643262D01*
-X43665618Y-24646409D01*
-X43658688Y-24648889D01*
-X43651549Y-24650677D01*
-X43644268Y-24651757D01*
-X43636917Y-24652118D01*
-X43629566Y-24651757D01*
-X43622285Y-24650677D01*
-X43615146Y-24648889D01*
-X43608216Y-24646409D01*
-X43601562Y-24643262D01*
-X43595249Y-24639478D01*
-X43589338Y-24635094D01*
-X43583884Y-24630151D01*
-X43477818Y-24524085D01*
-X43472875Y-24518631D01*
-X43468491Y-24512720D01*
-X43464707Y-24506407D01*
-X43461560Y-24499753D01*
-X43459080Y-24492823D01*
-X43457292Y-24485684D01*
-X43456212Y-24478403D01*
-X43455851Y-24471052D01*
-X43456212Y-24463701D01*
-X43457292Y-24456420D01*
-X43459080Y-24449281D01*
-X43461560Y-24442351D01*
-X43464707Y-24435697D01*
-X43468491Y-24429384D01*
-X43472875Y-24423473D01*
-X43477818Y-24418019D01*
-X44414734Y-23481103D01*
-X44420188Y-23476160D01*
-X44426099Y-23471776D01*
-X44432412Y-23467992D01*
-X44439066Y-23464845D01*
-X44445996Y-23462365D01*
-X44453135Y-23460577D01*
-X44460416Y-23459497D01*
-X44467767Y-23459136D01*
-X44475118Y-23459497D01*
-X44475118Y-23459497D01*
-G37*
-D16*
-X44052342Y-24055627D03*
-D11*
-G36*
-X44121564Y-23105943D02*
-G01*
-X44128845Y-23107023D01*
-X44135984Y-23108811D01*
-X44142914Y-23111291D01*
-X44149568Y-23114438D01*
-X44155881Y-23118222D01*
-X44161792Y-23122606D01*
-X44167246Y-23127549D01*
-X44273312Y-23233615D01*
-X44278255Y-23239069D01*
-X44282639Y-23244980D01*
-X44286423Y-23251293D01*
-X44289570Y-23257947D01*
-X44292050Y-23264877D01*
-X44293838Y-23272016D01*
-X44294918Y-23279297D01*
-X44295279Y-23286648D01*
-X44294918Y-23293999D01*
-X44293838Y-23301280D01*
-X44292050Y-23308419D01*
-X44289570Y-23315349D01*
-X44286423Y-23322003D01*
-X44282639Y-23328316D01*
-X44278255Y-23334227D01*
-X44273312Y-23339681D01*
-X43336396Y-24276597D01*
-X43330942Y-24281540D01*
-X43325031Y-24285924D01*
-X43318718Y-24289708D01*
-X43312064Y-24292855D01*
-X43305134Y-24295335D01*
-X43297995Y-24297123D01*
-X43290714Y-24298203D01*
-X43283363Y-24298564D01*
-X43276012Y-24298203D01*
-X43268731Y-24297123D01*
-X43261592Y-24295335D01*
-X43254662Y-24292855D01*
-X43248008Y-24289708D01*
-X43241695Y-24285924D01*
-X43235784Y-24281540D01*
-X43230330Y-24276597D01*
-X43124264Y-24170531D01*
-X43119321Y-24165077D01*
-X43114937Y-24159166D01*
-X43111153Y-24152853D01*
-X43108006Y-24146199D01*
-X43105526Y-24139269D01*
-X43103738Y-24132130D01*
-X43102658Y-24124849D01*
-X43102297Y-24117498D01*
-X43102658Y-24110147D01*
-X43103738Y-24102866D01*
-X43105526Y-24095727D01*
-X43108006Y-24088797D01*
-X43111153Y-24082143D01*
-X43114937Y-24075830D01*
-X43119321Y-24069919D01*
-X43124264Y-24064465D01*
-X44061180Y-23127549D01*
-X44066634Y-23122606D01*
-X44072545Y-23118222D01*
-X44078858Y-23114438D01*
-X44085512Y-23111291D01*
-X44092442Y-23108811D01*
-X44099581Y-23107023D01*
-X44106862Y-23105943D01*
-X44114213Y-23105582D01*
-X44121564Y-23105943D01*
-X44121564Y-23105943D01*
-G37*
-D16*
-X43698788Y-23702073D03*
-D11*
-G36*
-X41293138Y-23105943D02*
-G01*
-X41300419Y-23107023D01*
-X41307558Y-23108811D01*
-X41314488Y-23111291D01*
-X41321142Y-23114438D01*
-X41327455Y-23118222D01*
-X41333366Y-23122606D01*
-X41338820Y-23127549D01*
-X42275736Y-24064465D01*
-X42280679Y-24069919D01*
-X42285063Y-24075830D01*
-X42288847Y-24082143D01*
-X42291994Y-24088797D01*
-X42294474Y-24095727D01*
-X42296262Y-24102866D01*
-X42297342Y-24110147D01*
-X42297703Y-24117498D01*
-X42297342Y-24124849D01*
-X42296262Y-24132130D01*
-X42294474Y-24139269D01*
-X42291994Y-24146199D01*
-X42288847Y-24152853D01*
-X42285063Y-24159166D01*
-X42280679Y-24165077D01*
-X42275736Y-24170531D01*
-X42169670Y-24276597D01*
-X42164216Y-24281540D01*
-X42158305Y-24285924D01*
-X42151992Y-24289708D01*
-X42145338Y-24292855D01*
-X42138408Y-24295335D01*
-X42131269Y-24297123D01*
-X42123988Y-24298203D01*
-X42116637Y-24298564D01*
-X42109286Y-24298203D01*
-X42102005Y-24297123D01*
-X42094866Y-24295335D01*
-X42087936Y-24292855D01*
-X42081282Y-24289708D01*
-X42074969Y-24285924D01*
-X42069058Y-24281540D01*
-X42063604Y-24276597D01*
-X41126688Y-23339681D01*
-X41121745Y-23334227D01*
-X41117361Y-23328316D01*
-X41113577Y-23322003D01*
-X41110430Y-23315349D01*
-X41107950Y-23308419D01*
-X41106162Y-23301280D01*
-X41105082Y-23293999D01*
-X41104721Y-23286648D01*
-X41105082Y-23279297D01*
-X41106162Y-23272016D01*
-X41107950Y-23264877D01*
-X41110430Y-23257947D01*
-X41113577Y-23251293D01*
-X41117361Y-23244980D01*
-X41121745Y-23239069D01*
-X41126688Y-23233615D01*
-X41232754Y-23127549D01*
-X41238208Y-23122606D01*
-X41244119Y-23118222D01*
-X41250432Y-23114438D01*
-X41257086Y-23111291D01*
-X41264016Y-23108811D01*
-X41271155Y-23107023D01*
-X41278436Y-23105943D01*
-X41285787Y-23105582D01*
-X41293138Y-23105943D01*
-X41293138Y-23105943D01*
-G37*
-D16*
-X41701212Y-23702073D03*
-D11*
-G36*
-X40939584Y-23459497D02*
-G01*
-X40946865Y-23460577D01*
-X40954004Y-23462365D01*
-X40960934Y-23464845D01*
-X40967588Y-23467992D01*
-X40973901Y-23471776D01*
-X40979812Y-23476160D01*
-X40985266Y-23481103D01*
-X41922182Y-24418019D01*
-X41927125Y-24423473D01*
-X41931509Y-24429384D01*
-X41935293Y-24435697D01*
-X41938440Y-24442351D01*
-X41940920Y-24449281D01*
-X41942708Y-24456420D01*
-X41943788Y-24463701D01*
-X41944149Y-24471052D01*
-X41943788Y-24478403D01*
-X41942708Y-24485684D01*
-X41940920Y-24492823D01*
-X41938440Y-24499753D01*
-X41935293Y-24506407D01*
-X41931509Y-24512720D01*
-X41927125Y-24518631D01*
-X41922182Y-24524085D01*
-X41816116Y-24630151D01*
-X41810662Y-24635094D01*
-X41804751Y-24639478D01*
-X41798438Y-24643262D01*
-X41791784Y-24646409D01*
-X41784854Y-24648889D01*
-X41777715Y-24650677D01*
-X41770434Y-24651757D01*
-X41763083Y-24652118D01*
-X41755732Y-24651757D01*
-X41748451Y-24650677D01*
-X41741312Y-24648889D01*
-X41734382Y-24646409D01*
-X41727728Y-24643262D01*
-X41721415Y-24639478D01*
-X41715504Y-24635094D01*
-X41710050Y-24630151D01*
-X40773134Y-23693235D01*
-X40768191Y-23687781D01*
-X40763807Y-23681870D01*
-X40760023Y-23675557D01*
-X40756876Y-23668903D01*
-X40754396Y-23661973D01*
-X40752608Y-23654834D01*
-X40751528Y-23647553D01*
-X40751167Y-23640202D01*
-X40751528Y-23632851D01*
-X40752608Y-23625570D01*
-X40754396Y-23618431D01*
-X40756876Y-23611501D01*
-X40760023Y-23604847D01*
-X40763807Y-23598534D01*
-X40768191Y-23592623D01*
-X40773134Y-23587169D01*
-X40879200Y-23481103D01*
-X40884654Y-23476160D01*
-X40890565Y-23471776D01*
-X40896878Y-23467992D01*
-X40903532Y-23464845D01*
-X40910462Y-23462365D01*
-X40917601Y-23460577D01*
-X40924882Y-23459497D01*
-X40932233Y-23459136D01*
-X40939584Y-23459497D01*
-X40939584Y-23459497D01*
-G37*
-D16*
-X41347658Y-24055627D03*
-D11*
-G36*
-X40586031Y-23813050D02*
-G01*
-X40593312Y-23814130D01*
-X40600451Y-23815918D01*
-X40607381Y-23818398D01*
-X40614035Y-23821545D01*
-X40620348Y-23825329D01*
-X40626259Y-23829713D01*
-X40631713Y-23834656D01*
-X41568629Y-24771572D01*
-X41573572Y-24777026D01*
-X41577956Y-24782937D01*
-X41581740Y-24789250D01*
-X41584887Y-24795904D01*
-X41587367Y-24802834D01*
-X41589155Y-24809973D01*
-X41590235Y-24817254D01*
-X41590596Y-24824605D01*
-X41590235Y-24831956D01*
-X41589155Y-24839237D01*
-X41587367Y-24846376D01*
-X41584887Y-24853306D01*
-X41581740Y-24859960D01*
-X41577956Y-24866273D01*
-X41573572Y-24872184D01*
-X41568629Y-24877638D01*
-X41462563Y-24983704D01*
-X41457109Y-24988647D01*
-X41451198Y-24993031D01*
-X41444885Y-24996815D01*
-X41438231Y-24999962D01*
-X41431301Y-25002442D01*
-X41424162Y-25004230D01*
-X41416881Y-25005310D01*
-X41409530Y-25005671D01*
-X41402179Y-25005310D01*
-X41394898Y-25004230D01*
-X41387759Y-25002442D01*
-X41380829Y-24999962D01*
-X41374175Y-24996815D01*
-X41367862Y-24993031D01*
-X41361951Y-24988647D01*
-X41356497Y-24983704D01*
-X40419581Y-24046788D01*
-X40414638Y-24041334D01*
-X40410254Y-24035423D01*
-X40406470Y-24029110D01*
-X40403323Y-24022456D01*
-X40400843Y-24015526D01*
-X40399055Y-24008387D01*
-X40397975Y-24001106D01*
-X40397614Y-23993755D01*
-X40397975Y-23986404D01*
-X40399055Y-23979123D01*
-X40400843Y-23971984D01*
-X40403323Y-23965054D01*
-X40406470Y-23958400D01*
-X40410254Y-23952087D01*
-X40414638Y-23946176D01*
-X40419581Y-23940722D01*
-X40525647Y-23834656D01*
-X40531101Y-23829713D01*
-X40537012Y-23825329D01*
-X40543325Y-23821545D01*
-X40549979Y-23818398D01*
-X40556909Y-23815918D01*
-X40564048Y-23814130D01*
-X40571329Y-23813050D01*
-X40578680Y-23812689D01*
-X40586031Y-23813050D01*
-X40586031Y-23813050D01*
-G37*
-D16*
-X40994105Y-24409180D03*
-D11*
-G36*
-X40232477Y-24166604D02*
-G01*
-X40239758Y-24167684D01*
-X40246897Y-24169472D01*
-X40253827Y-24171952D01*
-X40260481Y-24175099D01*
-X40266794Y-24178883D01*
-X40272705Y-24183267D01*
-X40278159Y-24188210D01*
-X41215075Y-25125126D01*
-X41220018Y-25130580D01*
-X41224402Y-25136491D01*
-X41228186Y-25142804D01*
-X41231333Y-25149458D01*
-X41233813Y-25156388D01*
-X41235601Y-25163527D01*
-X41236681Y-25170808D01*
-X41237042Y-25178159D01*
-X41236681Y-25185510D01*
-X41235601Y-25192791D01*
-X41233813Y-25199930D01*
-X41231333Y-25206860D01*
-X41228186Y-25213514D01*
-X41224402Y-25219827D01*
-X41220018Y-25225738D01*
-X41215075Y-25231192D01*
-X41109009Y-25337258D01*
-X41103555Y-25342201D01*
-X41097644Y-25346585D01*
-X41091331Y-25350369D01*
-X41084677Y-25353516D01*
-X41077747Y-25355996D01*
-X41070608Y-25357784D01*
-X41063327Y-25358864D01*
-X41055976Y-25359225D01*
-X41048625Y-25358864D01*
-X41041344Y-25357784D01*
-X41034205Y-25355996D01*
-X41027275Y-25353516D01*
-X41020621Y-25350369D01*
-X41014308Y-25346585D01*
-X41008397Y-25342201D01*
-X41002943Y-25337258D01*
-X40066027Y-24400342D01*
-X40061084Y-24394888D01*
-X40056700Y-24388977D01*
-X40052916Y-24382664D01*
-X40049769Y-24376010D01*
-X40047289Y-24369080D01*
-X40045501Y-24361941D01*
-X40044421Y-24354660D01*
-X40044060Y-24347309D01*
-X40044421Y-24339958D01*
-X40045501Y-24332677D01*
-X40047289Y-24325538D01*
-X40049769Y-24318608D01*
-X40052916Y-24311954D01*
-X40056700Y-24305641D01*
-X40061084Y-24299730D01*
-X40066027Y-24294276D01*
-X40172093Y-24188210D01*
-X40177547Y-24183267D01*
-X40183458Y-24178883D01*
-X40189771Y-24175099D01*
-X40196425Y-24171952D01*
-X40203355Y-24169472D01*
-X40210494Y-24167684D01*
-X40217775Y-24166604D01*
-X40225126Y-24166243D01*
-X40232477Y-24166604D01*
-X40232477Y-24166604D01*
-G37*
-D16*
-X40640551Y-24762734D03*
-D11*
-G36*
-X39878924Y-24520157D02*
-G01*
-X39886205Y-24521237D01*
-X39893344Y-24523025D01*
-X39900274Y-24525505D01*
-X39906928Y-24528652D01*
-X39913241Y-24532436D01*
-X39919152Y-24536820D01*
-X39924606Y-24541763D01*
-X40861522Y-25478679D01*
-X40866465Y-25484133D01*
-X40870849Y-25490044D01*
-X40874633Y-25496357D01*
-X40877780Y-25503011D01*
-X40880260Y-25509941D01*
-X40882048Y-25517080D01*
-X40883128Y-25524361D01*
-X40883489Y-25531712D01*
-X40883128Y-25539063D01*
-X40882048Y-25546344D01*
-X40880260Y-25553483D01*
-X40877780Y-25560413D01*
-X40874633Y-25567067D01*
-X40870849Y-25573380D01*
-X40866465Y-25579291D01*
-X40861522Y-25584745D01*
-X40755456Y-25690811D01*
-X40750002Y-25695754D01*
-X40744091Y-25700138D01*
-X40737778Y-25703922D01*
-X40731124Y-25707069D01*
-X40724194Y-25709549D01*
-X40717055Y-25711337D01*
-X40709774Y-25712417D01*
-X40702423Y-25712778D01*
-X40695072Y-25712417D01*
-X40687791Y-25711337D01*
-X40680652Y-25709549D01*
-X40673722Y-25707069D01*
-X40667068Y-25703922D01*
-X40660755Y-25700138D01*
-X40654844Y-25695754D01*
-X40649390Y-25690811D01*
-X39712474Y-24753895D01*
-X39707531Y-24748441D01*
-X39703147Y-24742530D01*
-X39699363Y-24736217D01*
-X39696216Y-24729563D01*
-X39693736Y-24722633D01*
-X39691948Y-24715494D01*
-X39690868Y-24708213D01*
-X39690507Y-24700862D01*
-X39690868Y-24693511D01*
-X39691948Y-24686230D01*
-X39693736Y-24679091D01*
-X39696216Y-24672161D01*
-X39699363Y-24665507D01*
-X39703147Y-24659194D01*
-X39707531Y-24653283D01*
-X39712474Y-24647829D01*
-X39818540Y-24541763D01*
-X39823994Y-24536820D01*
-X39829905Y-24532436D01*
-X39836218Y-24528652D01*
-X39842872Y-24525505D01*
-X39849802Y-24523025D01*
-X39856941Y-24521237D01*
-X39864222Y-24520157D01*
-X39871573Y-24519796D01*
-X39878924Y-24520157D01*
-X39878924Y-24520157D01*
-G37*
-D16*
-X40286998Y-25116287D03*
-D11*
-G36*
-X39525371Y-24873710D02*
-G01*
-X39532652Y-24874790D01*
-X39539791Y-24876578D01*
-X39546721Y-24879058D01*
-X39553375Y-24882205D01*
-X39559688Y-24885989D01*
-X39565599Y-24890373D01*
-X39571053Y-24895316D01*
-X40507969Y-25832232D01*
-X40512912Y-25837686D01*
-X40517296Y-25843597D01*
-X40521080Y-25849910D01*
-X40524227Y-25856564D01*
-X40526707Y-25863494D01*
-X40528495Y-25870633D01*
-X40529575Y-25877914D01*
-X40529936Y-25885265D01*
-X40529575Y-25892616D01*
-X40528495Y-25899897D01*
-X40526707Y-25907036D01*
-X40524227Y-25913966D01*
-X40521080Y-25920620D01*
-X40517296Y-25926933D01*
-X40512912Y-25932844D01*
-X40507969Y-25938298D01*
-X40401903Y-26044364D01*
-X40396449Y-26049307D01*
-X40390538Y-26053691D01*
-X40384225Y-26057475D01*
-X40377571Y-26060622D01*
-X40370641Y-26063102D01*
-X40363502Y-26064890D01*
-X40356221Y-26065970D01*
-X40348870Y-26066331D01*
-X40341519Y-26065970D01*
-X40334238Y-26064890D01*
-X40327099Y-26063102D01*
-X40320169Y-26060622D01*
-X40313515Y-26057475D01*
-X40307202Y-26053691D01*
-X40301291Y-26049307D01*
-X40295837Y-26044364D01*
-X39358921Y-25107448D01*
-X39353978Y-25101994D01*
-X39349594Y-25096083D01*
-X39345810Y-25089770D01*
-X39342663Y-25083116D01*
-X39340183Y-25076186D01*
-X39338395Y-25069047D01*
-X39337315Y-25061766D01*
-X39336954Y-25054415D01*
-X39337315Y-25047064D01*
-X39338395Y-25039783D01*
-X39340183Y-25032644D01*
-X39342663Y-25025714D01*
-X39345810Y-25019060D01*
-X39349594Y-25012747D01*
-X39353978Y-25006836D01*
-X39358921Y-25001382D01*
-X39464987Y-24895316D01*
-X39470441Y-24890373D01*
-X39476352Y-24885989D01*
-X39482665Y-24882205D01*
-X39489319Y-24879058D01*
-X39496249Y-24876578D01*
-X39503388Y-24874790D01*
-X39510669Y-24873710D01*
-X39518020Y-24873349D01*
-X39525371Y-24873710D01*
-X39525371Y-24873710D01*
-G37*
-D16*
-X39933445Y-25469840D03*
-D11*
-G36*
-X39171817Y-25227264D02*
-G01*
-X39179098Y-25228344D01*
-X39186237Y-25230132D01*
-X39193167Y-25232612D01*
-X39199821Y-25235759D01*
-X39206134Y-25239543D01*
-X39212045Y-25243927D01*
-X39217499Y-25248870D01*
-X40154415Y-26185786D01*
-X40159358Y-26191240D01*
-X40163742Y-26197151D01*
-X40167526Y-26203464D01*
-X40170673Y-26210118D01*
-X40173153Y-26217048D01*
-X40174941Y-26224187D01*
-X40176021Y-26231468D01*
-X40176382Y-26238819D01*
-X40176021Y-26246170D01*
-X40174941Y-26253451D01*
-X40173153Y-26260590D01*
-X40170673Y-26267520D01*
-X40167526Y-26274174D01*
-X40163742Y-26280487D01*
-X40159358Y-26286398D01*
-X40154415Y-26291852D01*
-X40048349Y-26397918D01*
-X40042895Y-26402861D01*
-X40036984Y-26407245D01*
-X40030671Y-26411029D01*
-X40024017Y-26414176D01*
-X40017087Y-26416656D01*
-X40009948Y-26418444D01*
-X40002667Y-26419524D01*
-X39995316Y-26419885D01*
-X39987965Y-26419524D01*
-X39980684Y-26418444D01*
-X39973545Y-26416656D01*
-X39966615Y-26414176D01*
-X39959961Y-26411029D01*
-X39953648Y-26407245D01*
-X39947737Y-26402861D01*
-X39942283Y-26397918D01*
-X39005367Y-25461002D01*
-X39000424Y-25455548D01*
-X38996040Y-25449637D01*
-X38992256Y-25443324D01*
-X38989109Y-25436670D01*
-X38986629Y-25429740D01*
-X38984841Y-25422601D01*
-X38983761Y-25415320D01*
-X38983400Y-25407969D01*
-X38983761Y-25400618D01*
-X38984841Y-25393337D01*
-X38986629Y-25386198D01*
-X38989109Y-25379268D01*
-X38992256Y-25372614D01*
-X38996040Y-25366301D01*
-X39000424Y-25360390D01*
-X39005367Y-25354936D01*
-X39111433Y-25248870D01*
-X39116887Y-25243927D01*
-X39122798Y-25239543D01*
-X39129111Y-25235759D01*
-X39135765Y-25232612D01*
-X39142695Y-25230132D01*
-X39149834Y-25228344D01*
-X39157115Y-25227264D01*
-X39164466Y-25226903D01*
-X39171817Y-25227264D01*
-X39171817Y-25227264D01*
-G37*
-D16*
-X39579891Y-25823394D03*
-D11*
-G36*
-X38818264Y-25580817D02*
-G01*
-X38825545Y-25581897D01*
-X38832684Y-25583685D01*
-X38839614Y-25586165D01*
-X38846268Y-25589312D01*
-X38852581Y-25593096D01*
-X38858492Y-25597480D01*
-X38863946Y-25602423D01*
-X39800862Y-26539339D01*
-X39805805Y-26544793D01*
-X39810189Y-26550704D01*
-X39813973Y-26557017D01*
-X39817120Y-26563671D01*
-X39819600Y-26570601D01*
-X39821388Y-26577740D01*
-X39822468Y-26585021D01*
-X39822829Y-26592372D01*
-X39822468Y-26599723D01*
-X39821388Y-26607004D01*
-X39819600Y-26614143D01*
-X39817120Y-26621073D01*
-X39813973Y-26627727D01*
-X39810189Y-26634040D01*
-X39805805Y-26639951D01*
-X39800862Y-26645405D01*
-X39694796Y-26751471D01*
-X39689342Y-26756414D01*
-X39683431Y-26760798D01*
-X39677118Y-26764582D01*
-X39670464Y-26767729D01*
-X39663534Y-26770209D01*
-X39656395Y-26771997D01*
-X39649114Y-26773077D01*
-X39641763Y-26773438D01*
-X39634412Y-26773077D01*
-X39627131Y-26771997D01*
-X39619992Y-26770209D01*
-X39613062Y-26767729D01*
-X39606408Y-26764582D01*
-X39600095Y-26760798D01*
-X39594184Y-26756414D01*
-X39588730Y-26751471D01*
-X38651814Y-25814555D01*
-X38646871Y-25809101D01*
-X38642487Y-25803190D01*
-X38638703Y-25796877D01*
-X38635556Y-25790223D01*
-X38633076Y-25783293D01*
-X38631288Y-25776154D01*
-X38630208Y-25768873D01*
-X38629847Y-25761522D01*
-X38630208Y-25754171D01*
-X38631288Y-25746890D01*
-X38633076Y-25739751D01*
-X38635556Y-25732821D01*
-X38638703Y-25726167D01*
-X38642487Y-25719854D01*
-X38646871Y-25713943D01*
-X38651814Y-25708489D01*
-X38757880Y-25602423D01*
-X38763334Y-25597480D01*
-X38769245Y-25593096D01*
-X38775558Y-25589312D01*
-X38782212Y-25586165D01*
-X38789142Y-25583685D01*
-X38796281Y-25581897D01*
-X38803562Y-25580817D01*
-X38810913Y-25580456D01*
-X38818264Y-25580817D01*
-X38818264Y-25580817D01*
-G37*
-D16*
-X39226338Y-26176947D03*
-D11*
-G36*
-X38464711Y-25934370D02*
-G01*
-X38471992Y-25935450D01*
-X38479131Y-25937238D01*
-X38486061Y-25939718D01*
-X38492715Y-25942865D01*
-X38499028Y-25946649D01*
-X38504939Y-25951033D01*
-X38510393Y-25955976D01*
-X39447309Y-26892892D01*
-X39452252Y-26898346D01*
-X39456636Y-26904257D01*
-X39460420Y-26910570D01*
-X39463567Y-26917224D01*
-X39466047Y-26924154D01*
-X39467835Y-26931293D01*
-X39468915Y-26938574D01*
-X39469276Y-26945925D01*
-X39468915Y-26953276D01*
-X39467835Y-26960557D01*
-X39466047Y-26967696D01*
-X39463567Y-26974626D01*
-X39460420Y-26981280D01*
-X39456636Y-26987593D01*
-X39452252Y-26993504D01*
-X39447309Y-26998958D01*
-X39341243Y-27105024D01*
-X39335789Y-27109967D01*
-X39329878Y-27114351D01*
-X39323565Y-27118135D01*
-X39316911Y-27121282D01*
-X39309981Y-27123762D01*
-X39302842Y-27125550D01*
-X39295561Y-27126630D01*
-X39288210Y-27126991D01*
-X39280859Y-27126630D01*
-X39273578Y-27125550D01*
-X39266439Y-27123762D01*
-X39259509Y-27121282D01*
-X39252855Y-27118135D01*
-X39246542Y-27114351D01*
-X39240631Y-27109967D01*
-X39235177Y-27105024D01*
-X38298261Y-26168108D01*
-X38293318Y-26162654D01*
-X38288934Y-26156743D01*
-X38285150Y-26150430D01*
-X38282003Y-26143776D01*
-X38279523Y-26136846D01*
-X38277735Y-26129707D01*
-X38276655Y-26122426D01*
-X38276294Y-26115075D01*
-X38276655Y-26107724D01*
-X38277735Y-26100443D01*
-X38279523Y-26093304D01*
-X38282003Y-26086374D01*
-X38285150Y-26079720D01*
-X38288934Y-26073407D01*
-X38293318Y-26067496D01*
-X38298261Y-26062042D01*
-X38404327Y-25955976D01*
-X38409781Y-25951033D01*
-X38415692Y-25946649D01*
-X38422005Y-25942865D01*
-X38428659Y-25939718D01*
-X38435589Y-25937238D01*
-X38442728Y-25935450D01*
-X38450009Y-25934370D01*
-X38457360Y-25934009D01*
-X38464711Y-25934370D01*
-X38464711Y-25934370D01*
-G37*
-D16*
-X38872785Y-26530500D03*
-D11*
-G36*
-X38111157Y-26287924D02*
-G01*
-X38118438Y-26289004D01*
-X38125577Y-26290792D01*
-X38132507Y-26293272D01*
-X38139161Y-26296419D01*
-X38145474Y-26300203D01*
-X38151385Y-26304587D01*
-X38156839Y-26309530D01*
-X39093755Y-27246446D01*
-X39098698Y-27251900D01*
-X39103082Y-27257811D01*
-X39106866Y-27264124D01*
-X39110013Y-27270778D01*
-X39112493Y-27277708D01*
-X39114281Y-27284847D01*
-X39115361Y-27292128D01*
-X39115722Y-27299479D01*
-X39115361Y-27306830D01*
-X39114281Y-27314111D01*
-X39112493Y-27321250D01*
-X39110013Y-27328180D01*
-X39106866Y-27334834D01*
-X39103082Y-27341147D01*
-X39098698Y-27347058D01*
-X39093755Y-27352512D01*
-X38987689Y-27458578D01*
-X38982235Y-27463521D01*
-X38976324Y-27467905D01*
-X38970011Y-27471689D01*
-X38963357Y-27474836D01*
-X38956427Y-27477316D01*
-X38949288Y-27479104D01*
-X38942007Y-27480184D01*
-X38934656Y-27480545D01*
-X38927305Y-27480184D01*
-X38920024Y-27479104D01*
-X38912885Y-27477316D01*
-X38905955Y-27474836D01*
-X38899301Y-27471689D01*
-X38892988Y-27467905D01*
-X38887077Y-27463521D01*
-X38881623Y-27458578D01*
-X37944707Y-26521662D01*
-X37939764Y-26516208D01*
-X37935380Y-26510297D01*
-X37931596Y-26503984D01*
-X37928449Y-26497330D01*
-X37925969Y-26490400D01*
-X37924181Y-26483261D01*
-X37923101Y-26475980D01*
-X37922740Y-26468629D01*
-X37923101Y-26461278D01*
-X37924181Y-26453997D01*
-X37925969Y-26446858D01*
-X37928449Y-26439928D01*
-X37931596Y-26433274D01*
-X37935380Y-26426961D01*
-X37939764Y-26421050D01*
-X37944707Y-26415596D01*
-X38050773Y-26309530D01*
-X38056227Y-26304587D01*
-X38062138Y-26300203D01*
-X38068451Y-26296419D01*
-X38075105Y-26293272D01*
-X38082035Y-26290792D01*
-X38089174Y-26289004D01*
-X38096455Y-26287924D01*
-X38103806Y-26287563D01*
-X38111157Y-26287924D01*
-X38111157Y-26287924D01*
-G37*
-D16*
-X38519231Y-26884054D03*
-D11*
-G36*
-X37757604Y-26641477D02*
-G01*
-X37764885Y-26642557D01*
-X37772024Y-26644345D01*
-X37778954Y-26646825D01*
-X37785608Y-26649972D01*
-X37791921Y-26653756D01*
-X37797832Y-26658140D01*
-X37803286Y-26663083D01*
-X38740202Y-27599999D01*
-X38745145Y-27605453D01*
-X38749529Y-27611364D01*
-X38753313Y-27617677D01*
-X38756460Y-27624331D01*
-X38758940Y-27631261D01*
-X38760728Y-27638400D01*
-X38761808Y-27645681D01*
-X38762169Y-27653032D01*
-X38761808Y-27660383D01*
-X38760728Y-27667664D01*
-X38758940Y-27674803D01*
-X38756460Y-27681733D01*
-X38753313Y-27688387D01*
-X38749529Y-27694700D01*
-X38745145Y-27700611D01*
-X38740202Y-27706065D01*
-X38634136Y-27812131D01*
-X38628682Y-27817074D01*
-X38622771Y-27821458D01*
-X38616458Y-27825242D01*
-X38609804Y-27828389D01*
-X38602874Y-27830869D01*
-X38595735Y-27832657D01*
-X38588454Y-27833737D01*
-X38581103Y-27834098D01*
-X38573752Y-27833737D01*
-X38566471Y-27832657D01*
-X38559332Y-27830869D01*
-X38552402Y-27828389D01*
-X38545748Y-27825242D01*
-X38539435Y-27821458D01*
-X38533524Y-27817074D01*
-X38528070Y-27812131D01*
-X37591154Y-26875215D01*
-X37586211Y-26869761D01*
-X37581827Y-26863850D01*
-X37578043Y-26857537D01*
-X37574896Y-26850883D01*
-X37572416Y-26843953D01*
-X37570628Y-26836814D01*
-X37569548Y-26829533D01*
-X37569187Y-26822182D01*
-X37569548Y-26814831D01*
-X37570628Y-26807550D01*
-X37572416Y-26800411D01*
-X37574896Y-26793481D01*
-X37578043Y-26786827D01*
-X37581827Y-26780514D01*
-X37586211Y-26774603D01*
-X37591154Y-26769149D01*
-X37697220Y-26663083D01*
-X37702674Y-26658140D01*
-X37708585Y-26653756D01*
-X37714898Y-26649972D01*
-X37721552Y-26646825D01*
-X37728482Y-26644345D01*
-X37735621Y-26642557D01*
-X37742902Y-26641477D01*
-X37750253Y-26641116D01*
-X37757604Y-26641477D01*
-X37757604Y-26641477D01*
-G37*
-D16*
-X38165678Y-27237607D03*
-D11*
-G36*
-X37404050Y-26995031D02*
-G01*
-X37411331Y-26996111D01*
-X37418470Y-26997899D01*
-X37425400Y-27000379D01*
-X37432054Y-27003526D01*
-X37438367Y-27007310D01*
-X37444278Y-27011694D01*
-X37449732Y-27016637D01*
-X38386648Y-27953553D01*
-X38391591Y-27959007D01*
-X38395975Y-27964918D01*
-X38399759Y-27971231D01*
-X38402906Y-27977885D01*
-X38405386Y-27984815D01*
-X38407174Y-27991954D01*
-X38408254Y-27999235D01*
-X38408615Y-28006586D01*
-X38408254Y-28013937D01*
-X38407174Y-28021218D01*
-X38405386Y-28028357D01*
-X38402906Y-28035287D01*
-X38399759Y-28041941D01*
-X38395975Y-28048254D01*
-X38391591Y-28054165D01*
-X38386648Y-28059619D01*
-X38280582Y-28165685D01*
-X38275128Y-28170628D01*
-X38269217Y-28175012D01*
-X38262904Y-28178796D01*
-X38256250Y-28181943D01*
-X38249320Y-28184423D01*
-X38242181Y-28186211D01*
-X38234900Y-28187291D01*
-X38227549Y-28187652D01*
-X38220198Y-28187291D01*
-X38212917Y-28186211D01*
-X38205778Y-28184423D01*
-X38198848Y-28181943D01*
-X38192194Y-28178796D01*
-X38185881Y-28175012D01*
-X38179970Y-28170628D01*
-X38174516Y-28165685D01*
-X37237600Y-27228769D01*
-X37232657Y-27223315D01*
-X37228273Y-27217404D01*
-X37224489Y-27211091D01*
-X37221342Y-27204437D01*
-X37218862Y-27197507D01*
-X37217074Y-27190368D01*
-X37215994Y-27183087D01*
-X37215633Y-27175736D01*
-X37215994Y-27168385D01*
-X37217074Y-27161104D01*
-X37218862Y-27153965D01*
-X37221342Y-27147035D01*
-X37224489Y-27140381D01*
-X37228273Y-27134068D01*
-X37232657Y-27128157D01*
-X37237600Y-27122703D01*
-X37343666Y-27016637D01*
-X37349120Y-27011694D01*
-X37355031Y-27007310D01*
-X37361344Y-27003526D01*
-X37367998Y-27000379D01*
-X37374928Y-26997899D01*
-X37382067Y-26996111D01*
-X37389348Y-26995031D01*
-X37396699Y-26994670D01*
-X37404050Y-26995031D01*
-X37404050Y-26995031D01*
-G37*
-D16*
-X37812124Y-27591161D03*
-D11*
-G36*
-X38234900Y-28992607D02*
-G01*
-X38242181Y-28993687D01*
-X38249320Y-28995475D01*
-X38256250Y-28997955D01*
-X38262904Y-29001102D01*
-X38269217Y-29004886D01*
-X38275128Y-29009270D01*
-X38280582Y-29014213D01*
-X38386648Y-29120279D01*
-X38391591Y-29125733D01*
-X38395975Y-29131644D01*
-X38399759Y-29137957D01*
-X38402906Y-29144611D01*
-X38405386Y-29151541D01*
-X38407174Y-29158680D01*
-X38408254Y-29165961D01*
-X38408615Y-29173312D01*
-X38408254Y-29180663D01*
-X38407174Y-29187944D01*
-X38405386Y-29195083D01*
-X38402906Y-29202013D01*
-X38399759Y-29208667D01*
-X38395975Y-29214980D01*
-X38391591Y-29220891D01*
-X38386648Y-29226345D01*
-X37449732Y-30163261D01*
-X37444278Y-30168204D01*
-X37438367Y-30172588D01*
-X37432054Y-30176372D01*
-X37425400Y-30179519D01*
-X37418470Y-30181999D01*
-X37411331Y-30183787D01*
-X37404050Y-30184867D01*
-X37396699Y-30185228D01*
-X37389348Y-30184867D01*
-X37382067Y-30183787D01*
-X37374928Y-30181999D01*
-X37367998Y-30179519D01*
-X37361344Y-30176372D01*
-X37355031Y-30172588D01*
-X37349120Y-30168204D01*
-X37343666Y-30163261D01*
-X37237600Y-30057195D01*
-X37232657Y-30051741D01*
-X37228273Y-30045830D01*
-X37224489Y-30039517D01*
-X37221342Y-30032863D01*
-X37218862Y-30025933D01*
-X37217074Y-30018794D01*
-X37215994Y-30011513D01*
-X37215633Y-30004162D01*
-X37215994Y-29996811D01*
-X37217074Y-29989530D01*
-X37218862Y-29982391D01*
-X37221342Y-29975461D01*
-X37224489Y-29968807D01*
-X37228273Y-29962494D01*
-X37232657Y-29956583D01*
-X37237600Y-29951129D01*
-X38174516Y-29014213D01*
-X38179970Y-29009270D01*
-X38185881Y-29004886D01*
-X38192194Y-29001102D01*
-X38198848Y-28997955D01*
-X38205778Y-28995475D01*
-X38212917Y-28993687D01*
-X38220198Y-28992607D01*
-X38227549Y-28992246D01*
-X38234900Y-28992607D01*
-X38234900Y-28992607D01*
-G37*
-D16*
-X37812124Y-29588737D03*
-D11*
-G36*
-X38588454Y-29346161D02*
-G01*
-X38595735Y-29347241D01*
-X38602874Y-29349029D01*
-X38609804Y-29351509D01*
-X38616458Y-29354656D01*
-X38622771Y-29358440D01*
-X38628682Y-29362824D01*
-X38634136Y-29367767D01*
-X38740202Y-29473833D01*
-X38745145Y-29479287D01*
-X38749529Y-29485198D01*
-X38753313Y-29491511D01*
-X38756460Y-29498165D01*
-X38758940Y-29505095D01*
-X38760728Y-29512234D01*
-X38761808Y-29519515D01*
-X38762169Y-29526866D01*
-X38761808Y-29534217D01*
-X38760728Y-29541498D01*
-X38758940Y-29548637D01*
-X38756460Y-29555567D01*
-X38753313Y-29562221D01*
-X38749529Y-29568534D01*
-X38745145Y-29574445D01*
-X38740202Y-29579899D01*
-X37803286Y-30516815D01*
-X37797832Y-30521758D01*
-X37791921Y-30526142D01*
-X37785608Y-30529926D01*
-X37778954Y-30533073D01*
-X37772024Y-30535553D01*
-X37764885Y-30537341D01*
-X37757604Y-30538421D01*
-X37750253Y-30538782D01*
-X37742902Y-30538421D01*
-X37735621Y-30537341D01*
-X37728482Y-30535553D01*
-X37721552Y-30533073D01*
-X37714898Y-30529926D01*
-X37708585Y-30526142D01*
-X37702674Y-30521758D01*
-X37697220Y-30516815D01*
-X37591154Y-30410749D01*
-X37586211Y-30405295D01*
-X37581827Y-30399384D01*
-X37578043Y-30393071D01*
-X37574896Y-30386417D01*
-X37572416Y-30379487D01*
-X37570628Y-30372348D01*
-X37569548Y-30365067D01*
-X37569187Y-30357716D01*
-X37569548Y-30350365D01*
-X37570628Y-30343084D01*
-X37572416Y-30335945D01*
-X37574896Y-30329015D01*
-X37578043Y-30322361D01*
-X37581827Y-30316048D01*
-X37586211Y-30310137D01*
-X37591154Y-30304683D01*
-X38528070Y-29367767D01*
-X38533524Y-29362824D01*
-X38539435Y-29358440D01*
-X38545748Y-29354656D01*
-X38552402Y-29351509D01*
-X38559332Y-29349029D01*
-X38566471Y-29347241D01*
-X38573752Y-29346161D01*
-X38581103Y-29345800D01*
-X38588454Y-29346161D01*
-X38588454Y-29346161D01*
-G37*
-D16*
-X38165678Y-29942291D03*
-D11*
-G36*
-X38942007Y-29699714D02*
-G01*
-X38949288Y-29700794D01*
-X38956427Y-29702582D01*
-X38963357Y-29705062D01*
-X38970011Y-29708209D01*
-X38976324Y-29711993D01*
-X38982235Y-29716377D01*
-X38987689Y-29721320D01*
-X39093755Y-29827386D01*
-X39098698Y-29832840D01*
-X39103082Y-29838751D01*
-X39106866Y-29845064D01*
-X39110013Y-29851718D01*
-X39112493Y-29858648D01*
-X39114281Y-29865787D01*
-X39115361Y-29873068D01*
-X39115722Y-29880419D01*
-X39115361Y-29887770D01*
-X39114281Y-29895051D01*
-X39112493Y-29902190D01*
-X39110013Y-29909120D01*
-X39106866Y-29915774D01*
-X39103082Y-29922087D01*
-X39098698Y-29927998D01*
-X39093755Y-29933452D01*
-X38156839Y-30870368D01*
-X38151385Y-30875311D01*
-X38145474Y-30879695D01*
-X38139161Y-30883479D01*
-X38132507Y-30886626D01*
-X38125577Y-30889106D01*
-X38118438Y-30890894D01*
-X38111157Y-30891974D01*
-X38103806Y-30892335D01*
-X38096455Y-30891974D01*
-X38089174Y-30890894D01*
-X38082035Y-30889106D01*
-X38075105Y-30886626D01*
-X38068451Y-30883479D01*
-X38062138Y-30879695D01*
-X38056227Y-30875311D01*
-X38050773Y-30870368D01*
-X37944707Y-30764302D01*
-X37939764Y-30758848D01*
-X37935380Y-30752937D01*
-X37931596Y-30746624D01*
-X37928449Y-30739970D01*
-X37925969Y-30733040D01*
-X37924181Y-30725901D01*
-X37923101Y-30718620D01*
-X37922740Y-30711269D01*
-X37923101Y-30703918D01*
-X37924181Y-30696637D01*
-X37925969Y-30689498D01*
-X37928449Y-30682568D01*
-X37931596Y-30675914D01*
-X37935380Y-30669601D01*
-X37939764Y-30663690D01*
-X37944707Y-30658236D01*
-X38881623Y-29721320D01*
-X38887077Y-29716377D01*
-X38892988Y-29711993D01*
-X38899301Y-29708209D01*
-X38905955Y-29705062D01*
-X38912885Y-29702582D01*
-X38920024Y-29700794D01*
-X38927305Y-29699714D01*
-X38934656Y-29699353D01*
-X38942007Y-29699714D01*
-X38942007Y-29699714D01*
-G37*
-D16*
-X38519231Y-30295844D03*
-D11*
-G36*
-X39295561Y-30053268D02*
-G01*
-X39302842Y-30054348D01*
-X39309981Y-30056136D01*
-X39316911Y-30058616D01*
-X39323565Y-30061763D01*
-X39329878Y-30065547D01*
-X39335789Y-30069931D01*
-X39341243Y-30074874D01*
-X39447309Y-30180940D01*
-X39452252Y-30186394D01*
-X39456636Y-30192305D01*
-X39460420Y-30198618D01*
-X39463567Y-30205272D01*
-X39466047Y-30212202D01*
-X39467835Y-30219341D01*
-X39468915Y-30226622D01*
-X39469276Y-30233973D01*
-X39468915Y-30241324D01*
-X39467835Y-30248605D01*
-X39466047Y-30255744D01*
-X39463567Y-30262674D01*
-X39460420Y-30269328D01*
-X39456636Y-30275641D01*
-X39452252Y-30281552D01*
-X39447309Y-30287006D01*
-X38510393Y-31223922D01*
-X38504939Y-31228865D01*
-X38499028Y-31233249D01*
-X38492715Y-31237033D01*
-X38486061Y-31240180D01*
-X38479131Y-31242660D01*
-X38471992Y-31244448D01*
-X38464711Y-31245528D01*
-X38457360Y-31245889D01*
-X38450009Y-31245528D01*
-X38442728Y-31244448D01*
-X38435589Y-31242660D01*
-X38428659Y-31240180D01*
-X38422005Y-31237033D01*
-X38415692Y-31233249D01*
-X38409781Y-31228865D01*
-X38404327Y-31223922D01*
-X38298261Y-31117856D01*
-X38293318Y-31112402D01*
-X38288934Y-31106491D01*
-X38285150Y-31100178D01*
-X38282003Y-31093524D01*
-X38279523Y-31086594D01*
-X38277735Y-31079455D01*
-X38276655Y-31072174D01*
-X38276294Y-31064823D01*
-X38276655Y-31057472D01*
-X38277735Y-31050191D01*
-X38279523Y-31043052D01*
-X38282003Y-31036122D01*
-X38285150Y-31029468D01*
-X38288934Y-31023155D01*
-X38293318Y-31017244D01*
-X38298261Y-31011790D01*
-X39235177Y-30074874D01*
-X39240631Y-30069931D01*
-X39246542Y-30065547D01*
-X39252855Y-30061763D01*
-X39259509Y-30058616D01*
-X39266439Y-30056136D01*
-X39273578Y-30054348D01*
-X39280859Y-30053268D01*
-X39288210Y-30052907D01*
-X39295561Y-30053268D01*
-X39295561Y-30053268D01*
-G37*
-D16*
-X38872785Y-30649398D03*
-D11*
-G36*
-X39649114Y-30406821D02*
-G01*
-X39656395Y-30407901D01*
-X39663534Y-30409689D01*
-X39670464Y-30412169D01*
-X39677118Y-30415316D01*
-X39683431Y-30419100D01*
-X39689342Y-30423484D01*
-X39694796Y-30428427D01*
-X39800862Y-30534493D01*
-X39805805Y-30539947D01*
-X39810189Y-30545858D01*
-X39813973Y-30552171D01*
-X39817120Y-30558825D01*
-X39819600Y-30565755D01*
-X39821388Y-30572894D01*
-X39822468Y-30580175D01*
-X39822829Y-30587526D01*
-X39822468Y-30594877D01*
-X39821388Y-30602158D01*
-X39819600Y-30609297D01*
-X39817120Y-30616227D01*
-X39813973Y-30622881D01*
-X39810189Y-30629194D01*
-X39805805Y-30635105D01*
-X39800862Y-30640559D01*
-X38863946Y-31577475D01*
-X38858492Y-31582418D01*
-X38852581Y-31586802D01*
-X38846268Y-31590586D01*
-X38839614Y-31593733D01*
-X38832684Y-31596213D01*
-X38825545Y-31598001D01*
-X38818264Y-31599081D01*
-X38810913Y-31599442D01*
-X38803562Y-31599081D01*
-X38796281Y-31598001D01*
-X38789142Y-31596213D01*
-X38782212Y-31593733D01*
-X38775558Y-31590586D01*
-X38769245Y-31586802D01*
-X38763334Y-31582418D01*
-X38757880Y-31577475D01*
-X38651814Y-31471409D01*
-X38646871Y-31465955D01*
-X38642487Y-31460044D01*
-X38638703Y-31453731D01*
-X38635556Y-31447077D01*
-X38633076Y-31440147D01*
-X38631288Y-31433008D01*
-X38630208Y-31425727D01*
-X38629847Y-31418376D01*
-X38630208Y-31411025D01*
-X38631288Y-31403744D01*
-X38633076Y-31396605D01*
-X38635556Y-31389675D01*
-X38638703Y-31383021D01*
-X38642487Y-31376708D01*
-X38646871Y-31370797D01*
-X38651814Y-31365343D01*
-X39588730Y-30428427D01*
-X39594184Y-30423484D01*
-X39600095Y-30419100D01*
-X39606408Y-30415316D01*
-X39613062Y-30412169D01*
-X39619992Y-30409689D01*
-X39627131Y-30407901D01*
-X39634412Y-30406821D01*
-X39641763Y-30406460D01*
-X39649114Y-30406821D01*
-X39649114Y-30406821D01*
-G37*
-D16*
-X39226338Y-31002951D03*
-D11*
-G36*
-X40002667Y-30760374D02*
-G01*
-X40009948Y-30761454D01*
-X40017087Y-30763242D01*
-X40024017Y-30765722D01*
-X40030671Y-30768869D01*
-X40036984Y-30772653D01*
-X40042895Y-30777037D01*
-X40048349Y-30781980D01*
-X40154415Y-30888046D01*
-X40159358Y-30893500D01*
-X40163742Y-30899411D01*
-X40167526Y-30905724D01*
-X40170673Y-30912378D01*
-X40173153Y-30919308D01*
-X40174941Y-30926447D01*
-X40176021Y-30933728D01*
-X40176382Y-30941079D01*
-X40176021Y-30948430D01*
-X40174941Y-30955711D01*
-X40173153Y-30962850D01*
-X40170673Y-30969780D01*
-X40167526Y-30976434D01*
-X40163742Y-30982747D01*
-X40159358Y-30988658D01*
-X40154415Y-30994112D01*
-X39217499Y-31931028D01*
-X39212045Y-31935971D01*
-X39206134Y-31940355D01*
-X39199821Y-31944139D01*
-X39193167Y-31947286D01*
-X39186237Y-31949766D01*
-X39179098Y-31951554D01*
-X39171817Y-31952634D01*
-X39164466Y-31952995D01*
-X39157115Y-31952634D01*
-X39149834Y-31951554D01*
-X39142695Y-31949766D01*
-X39135765Y-31947286D01*
-X39129111Y-31944139D01*
-X39122798Y-31940355D01*
-X39116887Y-31935971D01*
-X39111433Y-31931028D01*
-X39005367Y-31824962D01*
-X39000424Y-31819508D01*
-X38996040Y-31813597D01*
-X38992256Y-31807284D01*
-X38989109Y-31800630D01*
-X38986629Y-31793700D01*
-X38984841Y-31786561D01*
-X38983761Y-31779280D01*
-X38983400Y-31771929D01*
-X38983761Y-31764578D01*
-X38984841Y-31757297D01*
-X38986629Y-31750158D01*
-X38989109Y-31743228D01*
-X38992256Y-31736574D01*
-X38996040Y-31730261D01*
-X39000424Y-31724350D01*
-X39005367Y-31718896D01*
-X39942283Y-30781980D01*
-X39947737Y-30777037D01*
-X39953648Y-30772653D01*
-X39959961Y-30768869D01*
-X39966615Y-30765722D01*
-X39973545Y-30763242D01*
-X39980684Y-30761454D01*
-X39987965Y-30760374D01*
-X39995316Y-30760013D01*
-X40002667Y-30760374D01*
-X40002667Y-30760374D01*
-G37*
-D16*
-X39579891Y-31356504D03*
-D11*
-G36*
-X40356221Y-31113928D02*
-G01*
-X40363502Y-31115008D01*
-X40370641Y-31116796D01*
-X40377571Y-31119276D01*
-X40384225Y-31122423D01*
-X40390538Y-31126207D01*
-X40396449Y-31130591D01*
-X40401903Y-31135534D01*
-X40507969Y-31241600D01*
-X40512912Y-31247054D01*
-X40517296Y-31252965D01*
-X40521080Y-31259278D01*
-X40524227Y-31265932D01*
-X40526707Y-31272862D01*
-X40528495Y-31280001D01*
-X40529575Y-31287282D01*
-X40529936Y-31294633D01*
-X40529575Y-31301984D01*
-X40528495Y-31309265D01*
-X40526707Y-31316404D01*
-X40524227Y-31323334D01*
-X40521080Y-31329988D01*
-X40517296Y-31336301D01*
-X40512912Y-31342212D01*
-X40507969Y-31347666D01*
-X39571053Y-32284582D01*
-X39565599Y-32289525D01*
-X39559688Y-32293909D01*
-X39553375Y-32297693D01*
-X39546721Y-32300840D01*
-X39539791Y-32303320D01*
-X39532652Y-32305108D01*
-X39525371Y-32306188D01*
-X39518020Y-32306549D01*
-X39510669Y-32306188D01*
-X39503388Y-32305108D01*
-X39496249Y-32303320D01*
-X39489319Y-32300840D01*
-X39482665Y-32297693D01*
-X39476352Y-32293909D01*
-X39470441Y-32289525D01*
-X39464987Y-32284582D01*
-X39358921Y-32178516D01*
-X39353978Y-32173062D01*
-X39349594Y-32167151D01*
-X39345810Y-32160838D01*
-X39342663Y-32154184D01*
-X39340183Y-32147254D01*
-X39338395Y-32140115D01*
-X39337315Y-32132834D01*
-X39336954Y-32125483D01*
-X39337315Y-32118132D01*
-X39338395Y-32110851D01*
-X39340183Y-32103712D01*
-X39342663Y-32096782D01*
-X39345810Y-32090128D01*
-X39349594Y-32083815D01*
-X39353978Y-32077904D01*
-X39358921Y-32072450D01*
-X40295837Y-31135534D01*
-X40301291Y-31130591D01*
-X40307202Y-31126207D01*
-X40313515Y-31122423D01*
-X40320169Y-31119276D01*
-X40327099Y-31116796D01*
-X40334238Y-31115008D01*
-X40341519Y-31113928D01*
-X40348870Y-31113567D01*
-X40356221Y-31113928D01*
-X40356221Y-31113928D01*
-G37*
-D16*
-X39933445Y-31710058D03*
-D11*
-G36*
-X40709774Y-31467481D02*
-G01*
-X40717055Y-31468561D01*
-X40724194Y-31470349D01*
-X40731124Y-31472829D01*
-X40737778Y-31475976D01*
-X40744091Y-31479760D01*
-X40750002Y-31484144D01*
-X40755456Y-31489087D01*
-X40861522Y-31595153D01*
-X40866465Y-31600607D01*
-X40870849Y-31606518D01*
-X40874633Y-31612831D01*
-X40877780Y-31619485D01*
-X40880260Y-31626415D01*
-X40882048Y-31633554D01*
-X40883128Y-31640835D01*
-X40883489Y-31648186D01*
-X40883128Y-31655537D01*
-X40882048Y-31662818D01*
-X40880260Y-31669957D01*
-X40877780Y-31676887D01*
-X40874633Y-31683541D01*
-X40870849Y-31689854D01*
-X40866465Y-31695765D01*
-X40861522Y-31701219D01*
-X39924606Y-32638135D01*
-X39919152Y-32643078D01*
-X39913241Y-32647462D01*
-X39906928Y-32651246D01*
-X39900274Y-32654393D01*
-X39893344Y-32656873D01*
-X39886205Y-32658661D01*
-X39878924Y-32659741D01*
-X39871573Y-32660102D01*
-X39864222Y-32659741D01*
-X39856941Y-32658661D01*
-X39849802Y-32656873D01*
-X39842872Y-32654393D01*
-X39836218Y-32651246D01*
-X39829905Y-32647462D01*
-X39823994Y-32643078D01*
-X39818540Y-32638135D01*
-X39712474Y-32532069D01*
-X39707531Y-32526615D01*
-X39703147Y-32520704D01*
-X39699363Y-32514391D01*
-X39696216Y-32507737D01*
-X39693736Y-32500807D01*
-X39691948Y-32493668D01*
-X39690868Y-32486387D01*
-X39690507Y-32479036D01*
-X39690868Y-32471685D01*
-X39691948Y-32464404D01*
-X39693736Y-32457265D01*
-X39696216Y-32450335D01*
-X39699363Y-32443681D01*
-X39703147Y-32437368D01*
-X39707531Y-32431457D01*
-X39712474Y-32426003D01*
-X40649390Y-31489087D01*
-X40654844Y-31484144D01*
-X40660755Y-31479760D01*
-X40667068Y-31475976D01*
-X40673722Y-31472829D01*
-X40680652Y-31470349D01*
-X40687791Y-31468561D01*
-X40695072Y-31467481D01*
-X40702423Y-31467120D01*
-X40709774Y-31467481D01*
-X40709774Y-31467481D01*
-G37*
-D16*
-X40286998Y-32063611D03*
-D11*
-G36*
-X41063327Y-31821034D02*
-G01*
-X41070608Y-31822114D01*
-X41077747Y-31823902D01*
-X41084677Y-31826382D01*
-X41091331Y-31829529D01*
-X41097644Y-31833313D01*
-X41103555Y-31837697D01*
-X41109009Y-31842640D01*
-X41215075Y-31948706D01*
-X41220018Y-31954160D01*
-X41224402Y-31960071D01*
-X41228186Y-31966384D01*
-X41231333Y-31973038D01*
-X41233813Y-31979968D01*
-X41235601Y-31987107D01*
-X41236681Y-31994388D01*
-X41237042Y-32001739D01*
-X41236681Y-32009090D01*
-X41235601Y-32016371D01*
-X41233813Y-32023510D01*
-X41231333Y-32030440D01*
-X41228186Y-32037094D01*
-X41224402Y-32043407D01*
-X41220018Y-32049318D01*
-X41215075Y-32054772D01*
-X40278159Y-32991688D01*
-X40272705Y-32996631D01*
-X40266794Y-33001015D01*
-X40260481Y-33004799D01*
-X40253827Y-33007946D01*
-X40246897Y-33010426D01*
-X40239758Y-33012214D01*
-X40232477Y-33013294D01*
-X40225126Y-33013655D01*
-X40217775Y-33013294D01*
-X40210494Y-33012214D01*
-X40203355Y-33010426D01*
-X40196425Y-33007946D01*
-X40189771Y-33004799D01*
-X40183458Y-33001015D01*
-X40177547Y-32996631D01*
-X40172093Y-32991688D01*
-X40066027Y-32885622D01*
-X40061084Y-32880168D01*
-X40056700Y-32874257D01*
-X40052916Y-32867944D01*
-X40049769Y-32861290D01*
-X40047289Y-32854360D01*
-X40045501Y-32847221D01*
-X40044421Y-32839940D01*
-X40044060Y-32832589D01*
-X40044421Y-32825238D01*
-X40045501Y-32817957D01*
-X40047289Y-32810818D01*
-X40049769Y-32803888D01*
-X40052916Y-32797234D01*
-X40056700Y-32790921D01*
-X40061084Y-32785010D01*
-X40066027Y-32779556D01*
-X41002943Y-31842640D01*
-X41008397Y-31837697D01*
-X41014308Y-31833313D01*
-X41020621Y-31829529D01*
-X41027275Y-31826382D01*
-X41034205Y-31823902D01*
-X41041344Y-31822114D01*
-X41048625Y-31821034D01*
-X41055976Y-31820673D01*
-X41063327Y-31821034D01*
-X41063327Y-31821034D01*
-G37*
-D16*
-X40640551Y-32417164D03*
-D11*
-G36*
-X41416881Y-32174588D02*
-G01*
-X41424162Y-32175668D01*
-X41431301Y-32177456D01*
-X41438231Y-32179936D01*
-X41444885Y-32183083D01*
-X41451198Y-32186867D01*
-X41457109Y-32191251D01*
-X41462563Y-32196194D01*
-X41568629Y-32302260D01*
-X41573572Y-32307714D01*
-X41577956Y-32313625D01*
-X41581740Y-32319938D01*
-X41584887Y-32326592D01*
-X41587367Y-32333522D01*
-X41589155Y-32340661D01*
-X41590235Y-32347942D01*
-X41590596Y-32355293D01*
-X41590235Y-32362644D01*
-X41589155Y-32369925D01*
-X41587367Y-32377064D01*
-X41584887Y-32383994D01*
-X41581740Y-32390648D01*
-X41577956Y-32396961D01*
-X41573572Y-32402872D01*
-X41568629Y-32408326D01*
-X40631713Y-33345242D01*
-X40626259Y-33350185D01*
-X40620348Y-33354569D01*
-X40614035Y-33358353D01*
-X40607381Y-33361500D01*
-X40600451Y-33363980D01*
-X40593312Y-33365768D01*
-X40586031Y-33366848D01*
-X40578680Y-33367209D01*
-X40571329Y-33366848D01*
-X40564048Y-33365768D01*
-X40556909Y-33363980D01*
-X40549979Y-33361500D01*
-X40543325Y-33358353D01*
-X40537012Y-33354569D01*
-X40531101Y-33350185D01*
-X40525647Y-33345242D01*
-X40419581Y-33239176D01*
-X40414638Y-33233722D01*
-X40410254Y-33227811D01*
-X40406470Y-33221498D01*
-X40403323Y-33214844D01*
-X40400843Y-33207914D01*
-X40399055Y-33200775D01*
-X40397975Y-33193494D01*
-X40397614Y-33186143D01*
-X40397975Y-33178792D01*
-X40399055Y-33171511D01*
-X40400843Y-33164372D01*
-X40403323Y-33157442D01*
-X40406470Y-33150788D01*
-X40410254Y-33144475D01*
-X40414638Y-33138564D01*
-X40419581Y-33133110D01*
-X41356497Y-32196194D01*
-X41361951Y-32191251D01*
-X41367862Y-32186867D01*
-X41374175Y-32183083D01*
-X41380829Y-32179936D01*
-X41387759Y-32177456D01*
-X41394898Y-32175668D01*
-X41402179Y-32174588D01*
-X41409530Y-32174227D01*
-X41416881Y-32174588D01*
-X41416881Y-32174588D01*
-G37*
-D16*
-X40994105Y-32770718D03*
-D11*
-G36*
-X41770434Y-32528141D02*
-G01*
-X41777715Y-32529221D01*
-X41784854Y-32531009D01*
-X41791784Y-32533489D01*
-X41798438Y-32536636D01*
-X41804751Y-32540420D01*
-X41810662Y-32544804D01*
-X41816116Y-32549747D01*
-X41922182Y-32655813D01*
-X41927125Y-32661267D01*
-X41931509Y-32667178D01*
-X41935293Y-32673491D01*
-X41938440Y-32680145D01*
-X41940920Y-32687075D01*
-X41942708Y-32694214D01*
-X41943788Y-32701495D01*
-X41944149Y-32708846D01*
-X41943788Y-32716197D01*
-X41942708Y-32723478D01*
-X41940920Y-32730617D01*
-X41938440Y-32737547D01*
-X41935293Y-32744201D01*
-X41931509Y-32750514D01*
-X41927125Y-32756425D01*
-X41922182Y-32761879D01*
-X40985266Y-33698795D01*
-X40979812Y-33703738D01*
-X40973901Y-33708122D01*
-X40967588Y-33711906D01*
-X40960934Y-33715053D01*
-X40954004Y-33717533D01*
-X40946865Y-33719321D01*
-X40939584Y-33720401D01*
-X40932233Y-33720762D01*
-X40924882Y-33720401D01*
-X40917601Y-33719321D01*
-X40910462Y-33717533D01*
-X40903532Y-33715053D01*
-X40896878Y-33711906D01*
-X40890565Y-33708122D01*
-X40884654Y-33703738D01*
-X40879200Y-33698795D01*
-X40773134Y-33592729D01*
-X40768191Y-33587275D01*
-X40763807Y-33581364D01*
-X40760023Y-33575051D01*
-X40756876Y-33568397D01*
-X40754396Y-33561467D01*
-X40752608Y-33554328D01*
-X40751528Y-33547047D01*
-X40751167Y-33539696D01*
-X40751528Y-33532345D01*
-X40752608Y-33525064D01*
-X40754396Y-33517925D01*
-X40756876Y-33510995D01*
-X40760023Y-33504341D01*
-X40763807Y-33498028D01*
-X40768191Y-33492117D01*
-X40773134Y-33486663D01*
-X41710050Y-32549747D01*
-X41715504Y-32544804D01*
-X41721415Y-32540420D01*
-X41727728Y-32536636D01*
-X41734382Y-32533489D01*
-X41741312Y-32531009D01*
-X41748451Y-32529221D01*
-X41755732Y-32528141D01*
-X41763083Y-32527780D01*
-X41770434Y-32528141D01*
-X41770434Y-32528141D01*
-G37*
-D16*
-X41347658Y-33124271D03*
-D11*
-G36*
-X42123988Y-32881695D02*
-G01*
-X42131269Y-32882775D01*
-X42138408Y-32884563D01*
-X42145338Y-32887043D01*
-X42151992Y-32890190D01*
-X42158305Y-32893974D01*
-X42164216Y-32898358D01*
-X42169670Y-32903301D01*
-X42275736Y-33009367D01*
-X42280679Y-33014821D01*
-X42285063Y-33020732D01*
-X42288847Y-33027045D01*
-X42291994Y-33033699D01*
-X42294474Y-33040629D01*
-X42296262Y-33047768D01*
-X42297342Y-33055049D01*
-X42297703Y-33062400D01*
-X42297342Y-33069751D01*
-X42296262Y-33077032D01*
-X42294474Y-33084171D01*
-X42291994Y-33091101D01*
-X42288847Y-33097755D01*
-X42285063Y-33104068D01*
-X42280679Y-33109979D01*
-X42275736Y-33115433D01*
-X41338820Y-34052349D01*
-X41333366Y-34057292D01*
-X41327455Y-34061676D01*
-X41321142Y-34065460D01*
-X41314488Y-34068607D01*
-X41307558Y-34071087D01*
-X41300419Y-34072875D01*
-X41293138Y-34073955D01*
-X41285787Y-34074316D01*
-X41278436Y-34073955D01*
-X41271155Y-34072875D01*
-X41264016Y-34071087D01*
-X41257086Y-34068607D01*
-X41250432Y-34065460D01*
-X41244119Y-34061676D01*
-X41238208Y-34057292D01*
-X41232754Y-34052349D01*
-X41126688Y-33946283D01*
-X41121745Y-33940829D01*
-X41117361Y-33934918D01*
-X41113577Y-33928605D01*
-X41110430Y-33921951D01*
-X41107950Y-33915021D01*
-X41106162Y-33907882D01*
-X41105082Y-33900601D01*
-X41104721Y-33893250D01*
-X41105082Y-33885899D01*
-X41106162Y-33878618D01*
-X41107950Y-33871479D01*
-X41110430Y-33864549D01*
-X41113577Y-33857895D01*
-X41117361Y-33851582D01*
-X41121745Y-33845671D01*
-X41126688Y-33840217D01*
-X42063604Y-32903301D01*
-X42069058Y-32898358D01*
-X42074969Y-32893974D01*
-X42081282Y-32890190D01*
-X42087936Y-32887043D01*
-X42094866Y-32884563D01*
-X42102005Y-32882775D01*
-X42109286Y-32881695D01*
-X42116637Y-32881334D01*
-X42123988Y-32881695D01*
-X42123988Y-32881695D01*
-G37*
-D16*
-X41701212Y-33477825D03*
-D11*
-G36*
-X43290714Y-32881695D02*
-G01*
-X43297995Y-32882775D01*
-X43305134Y-32884563D01*
-X43312064Y-32887043D01*
-X43318718Y-32890190D01*
-X43325031Y-32893974D01*
-X43330942Y-32898358D01*
-X43336396Y-32903301D01*
-X44273312Y-33840217D01*
-X44278255Y-33845671D01*
-X44282639Y-33851582D01*
-X44286423Y-33857895D01*
-X44289570Y-33864549D01*
-X44292050Y-33871479D01*
-X44293838Y-33878618D01*
-X44294918Y-33885899D01*
-X44295279Y-33893250D01*
-X44294918Y-33900601D01*
-X44293838Y-33907882D01*
-X44292050Y-33915021D01*
-X44289570Y-33921951D01*
-X44286423Y-33928605D01*
-X44282639Y-33934918D01*
-X44278255Y-33940829D01*
-X44273312Y-33946283D01*
-X44167246Y-34052349D01*
-X44161792Y-34057292D01*
-X44155881Y-34061676D01*
-X44149568Y-34065460D01*
-X44142914Y-34068607D01*
-X44135984Y-34071087D01*
-X44128845Y-34072875D01*
-X44121564Y-34073955D01*
-X44114213Y-34074316D01*
-X44106862Y-34073955D01*
-X44099581Y-34072875D01*
-X44092442Y-34071087D01*
-X44085512Y-34068607D01*
-X44078858Y-34065460D01*
-X44072545Y-34061676D01*
-X44066634Y-34057292D01*
-X44061180Y-34052349D01*
-X43124264Y-33115433D01*
-X43119321Y-33109979D01*
-X43114937Y-33104068D01*
-X43111153Y-33097755D01*
-X43108006Y-33091101D01*
-X43105526Y-33084171D01*
-X43103738Y-33077032D01*
-X43102658Y-33069751D01*
-X43102297Y-33062400D01*
-X43102658Y-33055049D01*
-X43103738Y-33047768D01*
-X43105526Y-33040629D01*
-X43108006Y-33033699D01*
-X43111153Y-33027045D01*
-X43114937Y-33020732D01*
-X43119321Y-33014821D01*
-X43124264Y-33009367D01*
-X43230330Y-32903301D01*
-X43235784Y-32898358D01*
-X43241695Y-32893974D01*
-X43248008Y-32890190D01*
-X43254662Y-32887043D01*
-X43261592Y-32884563D01*
-X43268731Y-32882775D01*
-X43276012Y-32881695D01*
-X43283363Y-32881334D01*
-X43290714Y-32881695D01*
-X43290714Y-32881695D01*
-G37*
-D16*
-X43698788Y-33477825D03*
-D11*
-G36*
-X43644268Y-32528141D02*
-G01*
-X43651549Y-32529221D01*
-X43658688Y-32531009D01*
-X43665618Y-32533489D01*
-X43672272Y-32536636D01*
-X43678585Y-32540420D01*
-X43684496Y-32544804D01*
-X43689950Y-32549747D01*
-X44626866Y-33486663D01*
-X44631809Y-33492117D01*
-X44636193Y-33498028D01*
-X44639977Y-33504341D01*
-X44643124Y-33510995D01*
-X44645604Y-33517925D01*
-X44647392Y-33525064D01*
-X44648472Y-33532345D01*
-X44648833Y-33539696D01*
-X44648472Y-33547047D01*
-X44647392Y-33554328D01*
-X44645604Y-33561467D01*
-X44643124Y-33568397D01*
-X44639977Y-33575051D01*
-X44636193Y-33581364D01*
-X44631809Y-33587275D01*
-X44626866Y-33592729D01*
-X44520800Y-33698795D01*
-X44515346Y-33703738D01*
-X44509435Y-33708122D01*
-X44503122Y-33711906D01*
-X44496468Y-33715053D01*
-X44489538Y-33717533D01*
-X44482399Y-33719321D01*
-X44475118Y-33720401D01*
-X44467767Y-33720762D01*
-X44460416Y-33720401D01*
-X44453135Y-33719321D01*
-X44445996Y-33717533D01*
-X44439066Y-33715053D01*
-X44432412Y-33711906D01*
-X44426099Y-33708122D01*
-X44420188Y-33703738D01*
-X44414734Y-33698795D01*
-X43477818Y-32761879D01*
-X43472875Y-32756425D01*
-X43468491Y-32750514D01*
-X43464707Y-32744201D01*
-X43461560Y-32737547D01*
-X43459080Y-32730617D01*
-X43457292Y-32723478D01*
-X43456212Y-32716197D01*
-X43455851Y-32708846D01*
-X43456212Y-32701495D01*
-X43457292Y-32694214D01*
-X43459080Y-32687075D01*
-X43461560Y-32680145D01*
-X43464707Y-32673491D01*
-X43468491Y-32667178D01*
-X43472875Y-32661267D01*
-X43477818Y-32655813D01*
-X43583884Y-32549747D01*
-X43589338Y-32544804D01*
-X43595249Y-32540420D01*
-X43601562Y-32536636D01*
-X43608216Y-32533489D01*
-X43615146Y-32531009D01*
-X43622285Y-32529221D01*
-X43629566Y-32528141D01*
-X43636917Y-32527780D01*
-X43644268Y-32528141D01*
-X43644268Y-32528141D01*
-G37*
-D16*
-X44052342Y-33124271D03*
-D11*
-G36*
-X43997821Y-32174588D02*
-G01*
-X44005102Y-32175668D01*
-X44012241Y-32177456D01*
-X44019171Y-32179936D01*
-X44025825Y-32183083D01*
-X44032138Y-32186867D01*
-X44038049Y-32191251D01*
-X44043503Y-32196194D01*
-X44980419Y-33133110D01*
-X44985362Y-33138564D01*
-X44989746Y-33144475D01*
-X44993530Y-33150788D01*
-X44996677Y-33157442D01*
-X44999157Y-33164372D01*
-X45000945Y-33171511D01*
-X45002025Y-33178792D01*
-X45002386Y-33186143D01*
-X45002025Y-33193494D01*
-X45000945Y-33200775D01*
-X44999157Y-33207914D01*
-X44996677Y-33214844D01*
-X44993530Y-33221498D01*
-X44989746Y-33227811D01*
-X44985362Y-33233722D01*
-X44980419Y-33239176D01*
-X44874353Y-33345242D01*
-X44868899Y-33350185D01*
-X44862988Y-33354569D01*
-X44856675Y-33358353D01*
-X44850021Y-33361500D01*
-X44843091Y-33363980D01*
-X44835952Y-33365768D01*
-X44828671Y-33366848D01*
-X44821320Y-33367209D01*
-X44813969Y-33366848D01*
-X44806688Y-33365768D01*
-X44799549Y-33363980D01*
-X44792619Y-33361500D01*
-X44785965Y-33358353D01*
-X44779652Y-33354569D01*
-X44773741Y-33350185D01*
-X44768287Y-33345242D01*
-X43831371Y-32408326D01*
-X43826428Y-32402872D01*
-X43822044Y-32396961D01*
-X43818260Y-32390648D01*
-X43815113Y-32383994D01*
-X43812633Y-32377064D01*
-X43810845Y-32369925D01*
-X43809765Y-32362644D01*
-X43809404Y-32355293D01*
-X43809765Y-32347942D01*
-X43810845Y-32340661D01*
-X43812633Y-32333522D01*
-X43815113Y-32326592D01*
-X43818260Y-32319938D01*
-X43822044Y-32313625D01*
-X43826428Y-32307714D01*
-X43831371Y-32302260D01*
-X43937437Y-32196194D01*
-X43942891Y-32191251D01*
-X43948802Y-32186867D01*
-X43955115Y-32183083D01*
-X43961769Y-32179936D01*
-X43968699Y-32177456D01*
-X43975838Y-32175668D01*
-X43983119Y-32174588D01*
-X43990470Y-32174227D01*
-X43997821Y-32174588D01*
-X43997821Y-32174588D01*
-G37*
-D16*
-X44405895Y-32770718D03*
-D11*
-G36*
-X44351375Y-31821034D02*
-G01*
-X44358656Y-31822114D01*
-X44365795Y-31823902D01*
-X44372725Y-31826382D01*
-X44379379Y-31829529D01*
-X44385692Y-31833313D01*
-X44391603Y-31837697D01*
-X44397057Y-31842640D01*
-X45333973Y-32779556D01*
-X45338916Y-32785010D01*
-X45343300Y-32790921D01*
-X45347084Y-32797234D01*
-X45350231Y-32803888D01*
-X45352711Y-32810818D01*
-X45354499Y-32817957D01*
-X45355579Y-32825238D01*
-X45355940Y-32832589D01*
-X45355579Y-32839940D01*
-X45354499Y-32847221D01*
-X45352711Y-32854360D01*
-X45350231Y-32861290D01*
-X45347084Y-32867944D01*
-X45343300Y-32874257D01*
-X45338916Y-32880168D01*
-X45333973Y-32885622D01*
-X45227907Y-32991688D01*
-X45222453Y-32996631D01*
-X45216542Y-33001015D01*
-X45210229Y-33004799D01*
-X45203575Y-33007946D01*
-X45196645Y-33010426D01*
-X45189506Y-33012214D01*
-X45182225Y-33013294D01*
-X45174874Y-33013655D01*
-X45167523Y-33013294D01*
-X45160242Y-33012214D01*
-X45153103Y-33010426D01*
-X45146173Y-33007946D01*
-X45139519Y-33004799D01*
-X45133206Y-33001015D01*
-X45127295Y-32996631D01*
-X45121841Y-32991688D01*
-X44184925Y-32054772D01*
-X44179982Y-32049318D01*
-X44175598Y-32043407D01*
-X44171814Y-32037094D01*
-X44168667Y-32030440D01*
-X44166187Y-32023510D01*
-X44164399Y-32016371D01*
-X44163319Y-32009090D01*
-X44162958Y-32001739D01*
-X44163319Y-31994388D01*
-X44164399Y-31987107D01*
-X44166187Y-31979968D01*
-X44168667Y-31973038D01*
-X44171814Y-31966384D01*
-X44175598Y-31960071D01*
-X44179982Y-31954160D01*
-X44184925Y-31948706D01*
-X44290991Y-31842640D01*
-X44296445Y-31837697D01*
-X44302356Y-31833313D01*
-X44308669Y-31829529D01*
-X44315323Y-31826382D01*
-X44322253Y-31823902D01*
-X44329392Y-31822114D01*
-X44336673Y-31821034D01*
-X44344024Y-31820673D01*
-X44351375Y-31821034D01*
-X44351375Y-31821034D01*
-G37*
-D16*
-X44759449Y-32417164D03*
-D11*
-G36*
-X44704928Y-31467481D02*
-G01*
-X44712209Y-31468561D01*
-X44719348Y-31470349D01*
-X44726278Y-31472829D01*
-X44732932Y-31475976D01*
-X44739245Y-31479760D01*
-X44745156Y-31484144D01*
-X44750610Y-31489087D01*
-X45687526Y-32426003D01*
-X45692469Y-32431457D01*
-X45696853Y-32437368D01*
-X45700637Y-32443681D01*
-X45703784Y-32450335D01*
-X45706264Y-32457265D01*
-X45708052Y-32464404D01*
-X45709132Y-32471685D01*
-X45709493Y-32479036D01*
-X45709132Y-32486387D01*
-X45708052Y-32493668D01*
-X45706264Y-32500807D01*
-X45703784Y-32507737D01*
-X45700637Y-32514391D01*
-X45696853Y-32520704D01*
-X45692469Y-32526615D01*
-X45687526Y-32532069D01*
-X45581460Y-32638135D01*
-X45576006Y-32643078D01*
-X45570095Y-32647462D01*
-X45563782Y-32651246D01*
-X45557128Y-32654393D01*
-X45550198Y-32656873D01*
-X45543059Y-32658661D01*
-X45535778Y-32659741D01*
-X45528427Y-32660102D01*
-X45521076Y-32659741D01*
-X45513795Y-32658661D01*
-X45506656Y-32656873D01*
-X45499726Y-32654393D01*
-X45493072Y-32651246D01*
-X45486759Y-32647462D01*
-X45480848Y-32643078D01*
-X45475394Y-32638135D01*
-X44538478Y-31701219D01*
-X44533535Y-31695765D01*
-X44529151Y-31689854D01*
-X44525367Y-31683541D01*
-X44522220Y-31676887D01*
-X44519740Y-31669957D01*
-X44517952Y-31662818D01*
-X44516872Y-31655537D01*
-X44516511Y-31648186D01*
-X44516872Y-31640835D01*
-X44517952Y-31633554D01*
-X44519740Y-31626415D01*
-X44522220Y-31619485D01*
-X44525367Y-31612831D01*
-X44529151Y-31606518D01*
-X44533535Y-31600607D01*
-X44538478Y-31595153D01*
-X44644544Y-31489087D01*
-X44649998Y-31484144D01*
-X44655909Y-31479760D01*
-X44662222Y-31475976D01*
-X44668876Y-31472829D01*
-X44675806Y-31470349D01*
-X44682945Y-31468561D01*
-X44690226Y-31467481D01*
-X44697577Y-31467120D01*
-X44704928Y-31467481D01*
-X44704928Y-31467481D01*
-G37*
-D16*
-X45113002Y-32063611D03*
-D11*
-G36*
-X45058481Y-31113928D02*
-G01*
-X45065762Y-31115008D01*
-X45072901Y-31116796D01*
-X45079831Y-31119276D01*
-X45086485Y-31122423D01*
-X45092798Y-31126207D01*
-X45098709Y-31130591D01*
-X45104163Y-31135534D01*
-X46041079Y-32072450D01*
-X46046022Y-32077904D01*
-X46050406Y-32083815D01*
-X46054190Y-32090128D01*
-X46057337Y-32096782D01*
-X46059817Y-32103712D01*
-X46061605Y-32110851D01*
-X46062685Y-32118132D01*
-X46063046Y-32125483D01*
-X46062685Y-32132834D01*
-X46061605Y-32140115D01*
-X46059817Y-32147254D01*
-X46057337Y-32154184D01*
-X46054190Y-32160838D01*
-X46050406Y-32167151D01*
-X46046022Y-32173062D01*
-X46041079Y-32178516D01*
-X45935013Y-32284582D01*
-X45929559Y-32289525D01*
-X45923648Y-32293909D01*
-X45917335Y-32297693D01*
-X45910681Y-32300840D01*
-X45903751Y-32303320D01*
-X45896612Y-32305108D01*
-X45889331Y-32306188D01*
-X45881980Y-32306549D01*
-X45874629Y-32306188D01*
-X45867348Y-32305108D01*
-X45860209Y-32303320D01*
-X45853279Y-32300840D01*
-X45846625Y-32297693D01*
-X45840312Y-32293909D01*
-X45834401Y-32289525D01*
-X45828947Y-32284582D01*
-X44892031Y-31347666D01*
-X44887088Y-31342212D01*
-X44882704Y-31336301D01*
-X44878920Y-31329988D01*
-X44875773Y-31323334D01*
-X44873293Y-31316404D01*
-X44871505Y-31309265D01*
-X44870425Y-31301984D01*
-X44870064Y-31294633D01*
-X44870425Y-31287282D01*
-X44871505Y-31280001D01*
-X44873293Y-31272862D01*
-X44875773Y-31265932D01*
-X44878920Y-31259278D01*
-X44882704Y-31252965D01*
-X44887088Y-31247054D01*
-X44892031Y-31241600D01*
-X44998097Y-31135534D01*
-X45003551Y-31130591D01*
-X45009462Y-31126207D01*
-X45015775Y-31122423D01*
-X45022429Y-31119276D01*
-X45029359Y-31116796D01*
-X45036498Y-31115008D01*
-X45043779Y-31113928D01*
-X45051130Y-31113567D01*
-X45058481Y-31113928D01*
-X45058481Y-31113928D01*
-G37*
-D16*
-X45466555Y-31710058D03*
-D11*
-G36*
-X45412035Y-30760374D02*
-G01*
-X45419316Y-30761454D01*
-X45426455Y-30763242D01*
-X45433385Y-30765722D01*
-X45440039Y-30768869D01*
-X45446352Y-30772653D01*
-X45452263Y-30777037D01*
-X45457717Y-30781980D01*
-X46394633Y-31718896D01*
-X46399576Y-31724350D01*
-X46403960Y-31730261D01*
-X46407744Y-31736574D01*
-X46410891Y-31743228D01*
-X46413371Y-31750158D01*
-X46415159Y-31757297D01*
-X46416239Y-31764578D01*
-X46416600Y-31771929D01*
-X46416239Y-31779280D01*
-X46415159Y-31786561D01*
-X46413371Y-31793700D01*
-X46410891Y-31800630D01*
-X46407744Y-31807284D01*
-X46403960Y-31813597D01*
-X46399576Y-31819508D01*
-X46394633Y-31824962D01*
-X46288567Y-31931028D01*
-X46283113Y-31935971D01*
-X46277202Y-31940355D01*
-X46270889Y-31944139D01*
-X46264235Y-31947286D01*
-X46257305Y-31949766D01*
-X46250166Y-31951554D01*
-X46242885Y-31952634D01*
-X46235534Y-31952995D01*
-X46228183Y-31952634D01*
-X46220902Y-31951554D01*
-X46213763Y-31949766D01*
-X46206833Y-31947286D01*
-X46200179Y-31944139D01*
-X46193866Y-31940355D01*
-X46187955Y-31935971D01*
-X46182501Y-31931028D01*
-X45245585Y-30994112D01*
-X45240642Y-30988658D01*
-X45236258Y-30982747D01*
-X45232474Y-30976434D01*
-X45229327Y-30969780D01*
-X45226847Y-30962850D01*
-X45225059Y-30955711D01*
-X45223979Y-30948430D01*
-X45223618Y-30941079D01*
-X45223979Y-30933728D01*
-X45225059Y-30926447D01*
-X45226847Y-30919308D01*
-X45229327Y-30912378D01*
-X45232474Y-30905724D01*
-X45236258Y-30899411D01*
-X45240642Y-30893500D01*
-X45245585Y-30888046D01*
-X45351651Y-30781980D01*
-X45357105Y-30777037D01*
-X45363016Y-30772653D01*
-X45369329Y-30768869D01*
-X45375983Y-30765722D01*
-X45382913Y-30763242D01*
-X45390052Y-30761454D01*
-X45397333Y-30760374D01*
-X45404684Y-30760013D01*
-X45412035Y-30760374D01*
-X45412035Y-30760374D01*
-G37*
-D16*
-X45820109Y-31356504D03*
-D11*
-G36*
-X45765588Y-30406821D02*
-G01*
-X45772869Y-30407901D01*
-X45780008Y-30409689D01*
-X45786938Y-30412169D01*
-X45793592Y-30415316D01*
-X45799905Y-30419100D01*
-X45805816Y-30423484D01*
-X45811270Y-30428427D01*
-X46748186Y-31365343D01*
-X46753129Y-31370797D01*
-X46757513Y-31376708D01*
-X46761297Y-31383021D01*
-X46764444Y-31389675D01*
-X46766924Y-31396605D01*
-X46768712Y-31403744D01*
-X46769792Y-31411025D01*
-X46770153Y-31418376D01*
-X46769792Y-31425727D01*
-X46768712Y-31433008D01*
-X46766924Y-31440147D01*
-X46764444Y-31447077D01*
-X46761297Y-31453731D01*
-X46757513Y-31460044D01*
-X46753129Y-31465955D01*
-X46748186Y-31471409D01*
-X46642120Y-31577475D01*
-X46636666Y-31582418D01*
-X46630755Y-31586802D01*
-X46624442Y-31590586D01*
-X46617788Y-31593733D01*
-X46610858Y-31596213D01*
-X46603719Y-31598001D01*
-X46596438Y-31599081D01*
-X46589087Y-31599442D01*
-X46581736Y-31599081D01*
-X46574455Y-31598001D01*
-X46567316Y-31596213D01*
-X46560386Y-31593733D01*
-X46553732Y-31590586D01*
-X46547419Y-31586802D01*
-X46541508Y-31582418D01*
-X46536054Y-31577475D01*
-X45599138Y-30640559D01*
-X45594195Y-30635105D01*
-X45589811Y-30629194D01*
-X45586027Y-30622881D01*
-X45582880Y-30616227D01*
-X45580400Y-30609297D01*
-X45578612Y-30602158D01*
-X45577532Y-30594877D01*
-X45577171Y-30587526D01*
-X45577532Y-30580175D01*
-X45578612Y-30572894D01*
-X45580400Y-30565755D01*
-X45582880Y-30558825D01*
-X45586027Y-30552171D01*
-X45589811Y-30545858D01*
-X45594195Y-30539947D01*
-X45599138Y-30534493D01*
-X45705204Y-30428427D01*
-X45710658Y-30423484D01*
-X45716569Y-30419100D01*
-X45722882Y-30415316D01*
-X45729536Y-30412169D01*
-X45736466Y-30409689D01*
-X45743605Y-30407901D01*
-X45750886Y-30406821D01*
-X45758237Y-30406460D01*
-X45765588Y-30406821D01*
-X45765588Y-30406821D01*
-G37*
-D16*
-X46173662Y-31002951D03*
-D11*
-G36*
-X46119141Y-30053268D02*
-G01*
-X46126422Y-30054348D01*
-X46133561Y-30056136D01*
-X46140491Y-30058616D01*
-X46147145Y-30061763D01*
-X46153458Y-30065547D01*
-X46159369Y-30069931D01*
-X46164823Y-30074874D01*
-X47101739Y-31011790D01*
-X47106682Y-31017244D01*
-X47111066Y-31023155D01*
-X47114850Y-31029468D01*
-X47117997Y-31036122D01*
-X47120477Y-31043052D01*
-X47122265Y-31050191D01*
-X47123345Y-31057472D01*
-X47123706Y-31064823D01*
-X47123345Y-31072174D01*
-X47122265Y-31079455D01*
-X47120477Y-31086594D01*
-X47117997Y-31093524D01*
-X47114850Y-31100178D01*
-X47111066Y-31106491D01*
-X47106682Y-31112402D01*
-X47101739Y-31117856D01*
-X46995673Y-31223922D01*
-X46990219Y-31228865D01*
-X46984308Y-31233249D01*
-X46977995Y-31237033D01*
-X46971341Y-31240180D01*
-X46964411Y-31242660D01*
-X46957272Y-31244448D01*
-X46949991Y-31245528D01*
-X46942640Y-31245889D01*
-X46935289Y-31245528D01*
-X46928008Y-31244448D01*
-X46920869Y-31242660D01*
-X46913939Y-31240180D01*
-X46907285Y-31237033D01*
-X46900972Y-31233249D01*
-X46895061Y-31228865D01*
-X46889607Y-31223922D01*
-X45952691Y-30287006D01*
-X45947748Y-30281552D01*
-X45943364Y-30275641D01*
-X45939580Y-30269328D01*
-X45936433Y-30262674D01*
-X45933953Y-30255744D01*
-X45932165Y-30248605D01*
-X45931085Y-30241324D01*
-X45930724Y-30233973D01*
-X45931085Y-30226622D01*
-X45932165Y-30219341D01*
-X45933953Y-30212202D01*
-X45936433Y-30205272D01*
-X45939580Y-30198618D01*
-X45943364Y-30192305D01*
-X45947748Y-30186394D01*
-X45952691Y-30180940D01*
-X46058757Y-30074874D01*
-X46064211Y-30069931D01*
-X46070122Y-30065547D01*
-X46076435Y-30061763D01*
-X46083089Y-30058616D01*
-X46090019Y-30056136D01*
-X46097158Y-30054348D01*
-X46104439Y-30053268D01*
-X46111790Y-30052907D01*
-X46119141Y-30053268D01*
-X46119141Y-30053268D01*
-G37*
-D16*
-X46527215Y-30649398D03*
-D11*
-G36*
-X46472695Y-29699714D02*
-G01*
-X46479976Y-29700794D01*
-X46487115Y-29702582D01*
-X46494045Y-29705062D01*
-X46500699Y-29708209D01*
-X46507012Y-29711993D01*
-X46512923Y-29716377D01*
-X46518377Y-29721320D01*
-X47455293Y-30658236D01*
-X47460236Y-30663690D01*
-X47464620Y-30669601D01*
-X47468404Y-30675914D01*
-X47471551Y-30682568D01*
-X47474031Y-30689498D01*
-X47475819Y-30696637D01*
-X47476899Y-30703918D01*
-X47477260Y-30711269D01*
-X47476899Y-30718620D01*
-X47475819Y-30725901D01*
-X47474031Y-30733040D01*
-X47471551Y-30739970D01*
-X47468404Y-30746624D01*
-X47464620Y-30752937D01*
-X47460236Y-30758848D01*
-X47455293Y-30764302D01*
-X47349227Y-30870368D01*
-X47343773Y-30875311D01*
-X47337862Y-30879695D01*
-X47331549Y-30883479D01*
-X47324895Y-30886626D01*
-X47317965Y-30889106D01*
-X47310826Y-30890894D01*
-X47303545Y-30891974D01*
-X47296194Y-30892335D01*
-X47288843Y-30891974D01*
-X47281562Y-30890894D01*
-X47274423Y-30889106D01*
-X47267493Y-30886626D01*
-X47260839Y-30883479D01*
-X47254526Y-30879695D01*
-X47248615Y-30875311D01*
-X47243161Y-30870368D01*
-X46306245Y-29933452D01*
-X46301302Y-29927998D01*
-X46296918Y-29922087D01*
-X46293134Y-29915774D01*
-X46289987Y-29909120D01*
-X46287507Y-29902190D01*
-X46285719Y-29895051D01*
-X46284639Y-29887770D01*
-X46284278Y-29880419D01*
-X46284639Y-29873068D01*
-X46285719Y-29865787D01*
-X46287507Y-29858648D01*
-X46289987Y-29851718D01*
-X46293134Y-29845064D01*
-X46296918Y-29838751D01*
-X46301302Y-29832840D01*
-X46306245Y-29827386D01*
-X46412311Y-29721320D01*
-X46417765Y-29716377D01*
-X46423676Y-29711993D01*
-X46429989Y-29708209D01*
-X46436643Y-29705062D01*
-X46443573Y-29702582D01*
-X46450712Y-29700794D01*
-X46457993Y-29699714D01*
-X46465344Y-29699353D01*
-X46472695Y-29699714D01*
-X46472695Y-29699714D01*
-G37*
-D16*
-X46880769Y-30295844D03*
-D11*
-G36*
-X46826248Y-29346161D02*
-G01*
-X46833529Y-29347241D01*
-X46840668Y-29349029D01*
-X46847598Y-29351509D01*
-X46854252Y-29354656D01*
-X46860565Y-29358440D01*
-X46866476Y-29362824D01*
-X46871930Y-29367767D01*
-X47808846Y-30304683D01*
-X47813789Y-30310137D01*
-X47818173Y-30316048D01*
-X47821957Y-30322361D01*
-X47825104Y-30329015D01*
-X47827584Y-30335945D01*
-X47829372Y-30343084D01*
-X47830452Y-30350365D01*
-X47830813Y-30357716D01*
-X47830452Y-30365067D01*
-X47829372Y-30372348D01*
-X47827584Y-30379487D01*
-X47825104Y-30386417D01*
-X47821957Y-30393071D01*
-X47818173Y-30399384D01*
-X47813789Y-30405295D01*
-X47808846Y-30410749D01*
-X47702780Y-30516815D01*
-X47697326Y-30521758D01*
-X47691415Y-30526142D01*
-X47685102Y-30529926D01*
-X47678448Y-30533073D01*
-X47671518Y-30535553D01*
-X47664379Y-30537341D01*
-X47657098Y-30538421D01*
-X47649747Y-30538782D01*
-X47642396Y-30538421D01*
-X47635115Y-30537341D01*
-X47627976Y-30535553D01*
-X47621046Y-30533073D01*
-X47614392Y-30529926D01*
-X47608079Y-30526142D01*
-X47602168Y-30521758D01*
-X47596714Y-30516815D01*
-X46659798Y-29579899D01*
-X46654855Y-29574445D01*
-X46650471Y-29568534D01*
-X46646687Y-29562221D01*
-X46643540Y-29555567D01*
-X46641060Y-29548637D01*
-X46639272Y-29541498D01*
-X46638192Y-29534217D01*
-X46637831Y-29526866D01*
-X46638192Y-29519515D01*
-X46639272Y-29512234D01*
-X46641060Y-29505095D01*
-X46643540Y-29498165D01*
-X46646687Y-29491511D01*
-X46650471Y-29485198D01*
-X46654855Y-29479287D01*
-X46659798Y-29473833D01*
-X46765864Y-29367767D01*
-X46771318Y-29362824D01*
-X46777229Y-29358440D01*
-X46783542Y-29354656D01*
-X46790196Y-29351509D01*
-X46797126Y-29349029D01*
-X46804265Y-29347241D01*
-X46811546Y-29346161D01*
-X46818897Y-29345800D01*
-X46826248Y-29346161D01*
-X46826248Y-29346161D01*
-G37*
-D16*
-X47234322Y-29942291D03*
-D11*
-G36*
-X47179802Y-28992607D02*
-G01*
-X47187083Y-28993687D01*
-X47194222Y-28995475D01*
-X47201152Y-28997955D01*
-X47207806Y-29001102D01*
-X47214119Y-29004886D01*
-X47220030Y-29009270D01*
-X47225484Y-29014213D01*
-X48162400Y-29951129D01*
-X48167343Y-29956583D01*
-X48171727Y-29962494D01*
-X48175511Y-29968807D01*
-X48178658Y-29975461D01*
-X48181138Y-29982391D01*
-X48182926Y-29989530D01*
-X48184006Y-29996811D01*
-X48184367Y-30004162D01*
-X48184006Y-30011513D01*
-X48182926Y-30018794D01*
-X48181138Y-30025933D01*
-X48178658Y-30032863D01*
-X48175511Y-30039517D01*
-X48171727Y-30045830D01*
-X48167343Y-30051741D01*
-X48162400Y-30057195D01*
-X48056334Y-30163261D01*
-X48050880Y-30168204D01*
-X48044969Y-30172588D01*
-X48038656Y-30176372D01*
-X48032002Y-30179519D01*
-X48025072Y-30181999D01*
-X48017933Y-30183787D01*
-X48010652Y-30184867D01*
-X48003301Y-30185228D01*
-X47995950Y-30184867D01*
-X47988669Y-30183787D01*
-X47981530Y-30181999D01*
-X47974600Y-30179519D01*
-X47967946Y-30176372D01*
-X47961633Y-30172588D01*
-X47955722Y-30168204D01*
-X47950268Y-30163261D01*
-X47013352Y-29226345D01*
-X47008409Y-29220891D01*
-X47004025Y-29214980D01*
-X47000241Y-29208667D01*
-X46997094Y-29202013D01*
-X46994614Y-29195083D01*
-X46992826Y-29187944D01*
-X46991746Y-29180663D01*
-X46991385Y-29173312D01*
-X46991746Y-29165961D01*
-X46992826Y-29158680D01*
-X46994614Y-29151541D01*
-X46997094Y-29144611D01*
-X47000241Y-29137957D01*
-X47004025Y-29131644D01*
-X47008409Y-29125733D01*
-X47013352Y-29120279D01*
-X47119418Y-29014213D01*
-X47124872Y-29009270D01*
-X47130783Y-29004886D01*
-X47137096Y-29001102D01*
-X47143750Y-28997955D01*
-X47150680Y-28995475D01*
-X47157819Y-28993687D01*
-X47165100Y-28992607D01*
-X47172451Y-28992246D01*
-X47179802Y-28992607D01*
-X47179802Y-28992607D01*
-G37*
-D16*
-X47587876Y-29588737D03*
-D17*
-X52625000Y-31437000D03*
-X56775000Y-31437000D03*
-X52625000Y-33587000D03*
-X56775000Y-33587000D03*
-D11*
-G36*
-X33786958Y-31590710D02*
-G01*
-X33801276Y-31592834D01*
-X33815317Y-31596351D01*
-X33828946Y-31601228D01*
-X33842031Y-31607417D01*
-X33854447Y-31614858D01*
-X33866073Y-31623481D01*
-X33876798Y-31633202D01*
-X33886519Y-31643927D01*
-X33895142Y-31655553D01*
-X33902583Y-31667969D01*
-X33908772Y-31681054D01*
-X33913649Y-31694683D01*
-X33917166Y-31708724D01*
-X33919290Y-31723042D01*
-X33920000Y-31737500D01*
-X33920000Y-32032500D01*
-X33919290Y-32046958D01*
-X33917166Y-32061276D01*
-X33913649Y-32075317D01*
-X33908772Y-32088946D01*
-X33902583Y-32102031D01*
-X33895142Y-32114447D01*
-X33886519Y-32126073D01*
-X33876798Y-32136798D01*
-X33866073Y-32146519D01*
-X33854447Y-32155142D01*
-X33842031Y-32162583D01*
-X33828946Y-32168772D01*
-X33815317Y-32173649D01*
-X33801276Y-32177166D01*
-X33786958Y-32179290D01*
-X33772500Y-32180000D01*
-X33427500Y-32180000D01*
-X33413042Y-32179290D01*
-X33398724Y-32177166D01*
-X33384683Y-32173649D01*
-X33371054Y-32168772D01*
-X33357969Y-32162583D01*
-X33345553Y-32155142D01*
-X33333927Y-32146519D01*
-X33323202Y-32136798D01*
-X33313481Y-32126073D01*
-X33304858Y-32114447D01*
-X33297417Y-32102031D01*
-X33291228Y-32088946D01*
-X33286351Y-32075317D01*
-X33282834Y-32061276D01*
-X33280710Y-32046958D01*
-X33280000Y-32032500D01*
-X33280000Y-31737500D01*
-X33280710Y-31723042D01*
-X33282834Y-31708724D01*
-X33286351Y-31694683D01*
-X33291228Y-31681054D01*
-X33297417Y-31667969D01*
-X33304858Y-31655553D01*
-X33313481Y-31643927D01*
-X33323202Y-31633202D01*
-X33333927Y-31623481D01*
-X33345553Y-31614858D01*
-X33357969Y-31607417D01*
-X33371054Y-31601228D01*
-X33384683Y-31596351D01*
-X33398724Y-31592834D01*
-X33413042Y-31590710D01*
-X33427500Y-31590000D01*
-X33772500Y-31590000D01*
-X33786958Y-31590710D01*
-X33786958Y-31590710D01*
-G37*
-D13*
-X33600000Y-31885000D03*
-D11*
-G36*
-X33786958Y-30620710D02*
-G01*
-X33801276Y-30622834D01*
-X33815317Y-30626351D01*
-X33828946Y-30631228D01*
-X33842031Y-30637417D01*
-X33854447Y-30644858D01*
-X33866073Y-30653481D01*
-X33876798Y-30663202D01*
-X33886519Y-30673927D01*
-X33895142Y-30685553D01*
-X33902583Y-30697969D01*
-X33908772Y-30711054D01*
-X33913649Y-30724683D01*
-X33917166Y-30738724D01*
-X33919290Y-30753042D01*
-X33920000Y-30767500D01*
-X33920000Y-31062500D01*
-X33919290Y-31076958D01*
-X33917166Y-31091276D01*
-X33913649Y-31105317D01*
-X33908772Y-31118946D01*
-X33902583Y-31132031D01*
-X33895142Y-31144447D01*
-X33886519Y-31156073D01*
-X33876798Y-31166798D01*
-X33866073Y-31176519D01*
-X33854447Y-31185142D01*
-X33842031Y-31192583D01*
-X33828946Y-31198772D01*
-X33815317Y-31203649D01*
-X33801276Y-31207166D01*
-X33786958Y-31209290D01*
-X33772500Y-31210000D01*
-X33427500Y-31210000D01*
-X33413042Y-31209290D01*
-X33398724Y-31207166D01*
-X33384683Y-31203649D01*
-X33371054Y-31198772D01*
-X33357969Y-31192583D01*
-X33345553Y-31185142D01*
-X33333927Y-31176519D01*
-X33323202Y-31166798D01*
-X33313481Y-31156073D01*
-X33304858Y-31144447D01*
-X33297417Y-31132031D01*
-X33291228Y-31118946D01*
-X33286351Y-31105317D01*
-X33282834Y-31091276D01*
-X33280710Y-31076958D01*
-X33280000Y-31062500D01*
-X33280000Y-30767500D01*
-X33280710Y-30753042D01*
-X33282834Y-30738724D01*
-X33286351Y-30724683D01*
-X33291228Y-30711054D01*
-X33297417Y-30697969D01*
-X33304858Y-30685553D01*
-X33313481Y-30673927D01*
-X33323202Y-30663202D01*
-X33333927Y-30653481D01*
-X33345553Y-30644858D01*
-X33357969Y-30637417D01*
-X33371054Y-30631228D01*
-X33384683Y-30626351D01*
-X33398724Y-30622834D01*
-X33413042Y-30620710D01*
-X33427500Y-30620000D01*
-X33772500Y-30620000D01*
-X33786958Y-30620710D01*
-X33786958Y-30620710D01*
-G37*
-D13*
-X33600000Y-30915000D03*
-D11*
-G36*
-X46475083Y-23769883D02*
-G01*
-X46489401Y-23772007D01*
-X46503442Y-23775524D01*
-X46517071Y-23780401D01*
-X46530156Y-23786590D01*
-X46542572Y-23794031D01*
-X46554198Y-23802654D01*
-X46564923Y-23812375D01*
-X46773519Y-24020971D01*
-X46783240Y-24031696D01*
-X46791863Y-24043322D01*
-X46799304Y-24055738D01*
-X46805493Y-24068823D01*
-X46810370Y-24082452D01*
-X46813887Y-24096493D01*
-X46816011Y-24110811D01*
-X46816721Y-24125269D01*
-X46816011Y-24139727D01*
-X46813887Y-24154045D01*
-X46810370Y-24168086D01*
-X46805493Y-24181715D01*
-X46799304Y-24194800D01*
-X46791863Y-24207216D01*
-X46783240Y-24218842D01*
-X46773519Y-24229567D01*
-X46529567Y-24473519D01*
-X46518842Y-24483240D01*
-X46507216Y-24491863D01*
-X46494800Y-24499304D01*
-X46481715Y-24505493D01*
-X46468086Y-24510370D01*
-X46454045Y-24513887D01*
-X46439727Y-24516011D01*
-X46425269Y-24516721D01*
-X46410811Y-24516011D01*
-X46396493Y-24513887D01*
-X46382452Y-24510370D01*
-X46368823Y-24505493D01*
-X46355738Y-24499304D01*
-X46343322Y-24491863D01*
-X46331696Y-24483240D01*
-X46320971Y-24473519D01*
-X46112375Y-24264923D01*
-X46102654Y-24254198D01*
-X46094031Y-24242572D01*
-X46086590Y-24230156D01*
-X46080401Y-24217071D01*
-X46075524Y-24203442D01*
-X46072007Y-24189401D01*
-X46069883Y-24175083D01*
-X46069173Y-24160625D01*
-X46069883Y-24146167D01*
-X46072007Y-24131849D01*
-X46075524Y-24117808D01*
-X46080401Y-24104179D01*
-X46086590Y-24091094D01*
-X46094031Y-24078678D01*
-X46102654Y-24067052D01*
-X46112375Y-24056327D01*
-X46356327Y-23812375D01*
-X46367052Y-23802654D01*
-X46378678Y-23794031D01*
-X46391094Y-23786590D01*
-X46404179Y-23780401D01*
-X46417808Y-23775524D01*
-X46431849Y-23772007D01*
-X46446167Y-23769883D01*
-X46460625Y-23769173D01*
-X46475083Y-23769883D01*
-X46475083Y-23769883D01*
-G37*
-D13*
-X46442947Y-24142947D03*
+X149575000Y-71500000D03*
+X147825000Y-71500000D03*
D11*
-G36*
-X45789189Y-23083989D02*
-G01*
-X45803507Y-23086113D01*
-X45817548Y-23089630D01*
-X45831177Y-23094507D01*
-X45844262Y-23100696D01*
-X45856678Y-23108137D01*
-X45868304Y-23116760D01*
-X45879029Y-23126481D01*
-X46087625Y-23335077D01*
-X46097346Y-23345802D01*
-X46105969Y-23357428D01*
-X46113410Y-23369844D01*
-X46119599Y-23382929D01*
-X46124476Y-23396558D01*
-X46127993Y-23410599D01*
-X46130117Y-23424917D01*
-X46130827Y-23439375D01*
-X46130117Y-23453833D01*
-X46127993Y-23468151D01*
-X46124476Y-23482192D01*
-X46119599Y-23495821D01*
-X46113410Y-23508906D01*
-X46105969Y-23521322D01*
-X46097346Y-23532948D01*
-X46087625Y-23543673D01*
-X45843673Y-23787625D01*
-X45832948Y-23797346D01*
-X45821322Y-23805969D01*
-X45808906Y-23813410D01*
-X45795821Y-23819599D01*
-X45782192Y-23824476D01*
-X45768151Y-23827993D01*
-X45753833Y-23830117D01*
-X45739375Y-23830827D01*
-X45724917Y-23830117D01*
-X45710599Y-23827993D01*
-X45696558Y-23824476D01*
-X45682929Y-23819599D01*
-X45669844Y-23813410D01*
-X45657428Y-23805969D01*
-X45645802Y-23797346D01*
-X45635077Y-23787625D01*
-X45426481Y-23579029D01*
-X45416760Y-23568304D01*
-X45408137Y-23556678D01*
-X45400696Y-23544262D01*
-X45394507Y-23531177D01*
-X45389630Y-23517548D01*
-X45386113Y-23503507D01*
-X45383989Y-23489189D01*
-X45383279Y-23474731D01*
-X45383989Y-23460273D01*
-X45386113Y-23445955D01*
-X45389630Y-23431914D01*
-X45394507Y-23418285D01*
-X45400696Y-23405200D01*
-X45408137Y-23392784D01*
-X45416760Y-23381158D01*
-X45426481Y-23370433D01*
-X45670433Y-23126481D01*
-X45681158Y-23116760D01*
-X45692784Y-23108137D01*
-X45705200Y-23100696D01*
-X45718285Y-23094507D01*
-X45731914Y-23089630D01*
-X45745955Y-23086113D01*
-X45760273Y-23083989D01*
-X45774731Y-23083279D01*
-X45789189Y-23083989D01*
-X45789189Y-23083989D01*
-G37*
-D13*
-X45757053Y-23457053D03*
-D11*
-G36*
-X34786958Y-31590710D02*
-G01*
-X34801276Y-31592834D01*
-X34815317Y-31596351D01*
-X34828946Y-31601228D01*
-X34842031Y-31607417D01*
-X34854447Y-31614858D01*
-X34866073Y-31623481D01*
-X34876798Y-31633202D01*
-X34886519Y-31643927D01*
-X34895142Y-31655553D01*
-X34902583Y-31667969D01*
-X34908772Y-31681054D01*
-X34913649Y-31694683D01*
-X34917166Y-31708724D01*
-X34919290Y-31723042D01*
-X34920000Y-31737500D01*
-X34920000Y-32032500D01*
-X34919290Y-32046958D01*
-X34917166Y-32061276D01*
-X34913649Y-32075317D01*
-X34908772Y-32088946D01*
-X34902583Y-32102031D01*
-X34895142Y-32114447D01*
-X34886519Y-32126073D01*
-X34876798Y-32136798D01*
-X34866073Y-32146519D01*
-X34854447Y-32155142D01*
-X34842031Y-32162583D01*
-X34828946Y-32168772D01*
-X34815317Y-32173649D01*
-X34801276Y-32177166D01*
-X34786958Y-32179290D01*
-X34772500Y-32180000D01*
-X34427500Y-32180000D01*
-X34413042Y-32179290D01*
-X34398724Y-32177166D01*
-X34384683Y-32173649D01*
-X34371054Y-32168772D01*
-X34357969Y-32162583D01*
-X34345553Y-32155142D01*
-X34333927Y-32146519D01*
-X34323202Y-32136798D01*
-X34313481Y-32126073D01*
-X34304858Y-32114447D01*
-X34297417Y-32102031D01*
-X34291228Y-32088946D01*
-X34286351Y-32075317D01*
-X34282834Y-32061276D01*
-X34280710Y-32046958D01*
-X34280000Y-32032500D01*
-X34280000Y-31737500D01*
-X34280710Y-31723042D01*
-X34282834Y-31708724D01*
-X34286351Y-31694683D01*
-X34291228Y-31681054D01*
-X34297417Y-31667969D01*
-X34304858Y-31655553D01*
-X34313481Y-31643927D01*
-X34323202Y-31633202D01*
-X34333927Y-31623481D01*
-X34345553Y-31614858D01*
-X34357969Y-31607417D01*
-X34371054Y-31601228D01*
-X34384683Y-31596351D01*
-X34398724Y-31592834D01*
-X34413042Y-31590710D01*
-X34427500Y-31590000D01*
-X34772500Y-31590000D01*
-X34786958Y-31590710D01*
-X34786958Y-31590710D01*
-G37*
-D13*
-X34600000Y-31885000D03*
-D11*
-G36*
-X34786958Y-30620710D02*
-G01*
-X34801276Y-30622834D01*
-X34815317Y-30626351D01*
-X34828946Y-30631228D01*
-X34842031Y-30637417D01*
-X34854447Y-30644858D01*
-X34866073Y-30653481D01*
-X34876798Y-30663202D01*
-X34886519Y-30673927D01*
-X34895142Y-30685553D01*
-X34902583Y-30697969D01*
-X34908772Y-30711054D01*
-X34913649Y-30724683D01*
-X34917166Y-30738724D01*
-X34919290Y-30753042D01*
-X34920000Y-30767500D01*
-X34920000Y-31062500D01*
-X34919290Y-31076958D01*
-X34917166Y-31091276D01*
-X34913649Y-31105317D01*
-X34908772Y-31118946D01*
-X34902583Y-31132031D01*
-X34895142Y-31144447D01*
-X34886519Y-31156073D01*
-X34876798Y-31166798D01*
-X34866073Y-31176519D01*
-X34854447Y-31185142D01*
-X34842031Y-31192583D01*
-X34828946Y-31198772D01*
-X34815317Y-31203649D01*
-X34801276Y-31207166D01*
-X34786958Y-31209290D01*
-X34772500Y-31210000D01*
-X34427500Y-31210000D01*
-X34413042Y-31209290D01*
-X34398724Y-31207166D01*
-X34384683Y-31203649D01*
-X34371054Y-31198772D01*
-X34357969Y-31192583D01*
-X34345553Y-31185142D01*
-X34333927Y-31176519D01*
-X34323202Y-31166798D01*
-X34313481Y-31156073D01*
-X34304858Y-31144447D01*
-X34297417Y-31132031D01*
-X34291228Y-31118946D01*
-X34286351Y-31105317D01*
-X34282834Y-31091276D01*
-X34280710Y-31076958D01*
-X34280000Y-31062500D01*
-X34280000Y-30767500D01*
-X34280710Y-30753042D01*
-X34282834Y-30738724D01*
-X34286351Y-30724683D01*
-X34291228Y-30711054D01*
-X34297417Y-30697969D01*
-X34304858Y-30685553D01*
-X34313481Y-30673927D01*
-X34323202Y-30663202D01*
-X34333927Y-30653481D01*
-X34345553Y-30644858D01*
-X34357969Y-30637417D01*
-X34371054Y-30631228D01*
-X34384683Y-30626351D01*
-X34398724Y-30622834D01*
-X34413042Y-30620710D01*
-X34427500Y-30620000D01*
-X34772500Y-30620000D01*
-X34786958Y-30620710D01*
-X34786958Y-30620710D01*
-G37*
-D13*
-X34600000Y-30915000D03*
-D11*
-G36*
-X36656958Y-27890710D02*
-G01*
-X36671276Y-27892834D01*
-X36685317Y-27896351D01*
-X36698946Y-27901228D01*
-X36712031Y-27907417D01*
-X36724447Y-27914858D01*
-X36736073Y-27923481D01*
-X36746798Y-27933202D01*
-X36756519Y-27943927D01*
-X36765142Y-27955553D01*
-X36772583Y-27967969D01*
-X36778772Y-27981054D01*
-X36783649Y-27994683D01*
-X36787166Y-28008724D01*
-X36789290Y-28023042D01*
-X36790000Y-28037500D01*
-X36790000Y-28332500D01*
-X36789290Y-28346958D01*
-X36787166Y-28361276D01*
-X36783649Y-28375317D01*
-X36778772Y-28388946D01*
-X36772583Y-28402031D01*
-X36765142Y-28414447D01*
-X36756519Y-28426073D01*
-X36746798Y-28436798D01*
-X36736073Y-28446519D01*
-X36724447Y-28455142D01*
-X36712031Y-28462583D01*
-X36698946Y-28468772D01*
-X36685317Y-28473649D01*
-X36671276Y-28477166D01*
-X36656958Y-28479290D01*
-X36642500Y-28480000D01*
-X36297500Y-28480000D01*
-X36283042Y-28479290D01*
-X36268724Y-28477166D01*
-X36254683Y-28473649D01*
-X36241054Y-28468772D01*
-X36227969Y-28462583D01*
-X36215553Y-28455142D01*
-X36203927Y-28446519D01*
-X36193202Y-28436798D01*
-X36183481Y-28426073D01*
-X36174858Y-28414447D01*
-X36167417Y-28402031D01*
-X36161228Y-28388946D01*
-X36156351Y-28375317D01*
-X36152834Y-28361276D01*
-X36150710Y-28346958D01*
-X36150000Y-28332500D01*
-X36150000Y-28037500D01*
-X36150710Y-28023042D01*
-X36152834Y-28008724D01*
-X36156351Y-27994683D01*
-X36161228Y-27981054D01*
-X36167417Y-27967969D01*
-X36174858Y-27955553D01*
-X36183481Y-27943927D01*
-X36193202Y-27933202D01*
-X36203927Y-27923481D01*
-X36215553Y-27914858D01*
-X36227969Y-27907417D01*
-X36241054Y-27901228D01*
-X36254683Y-27896351D01*
-X36268724Y-27892834D01*
-X36283042Y-27890710D01*
-X36297500Y-27890000D01*
-X36642500Y-27890000D01*
-X36656958Y-27890710D01*
-X36656958Y-27890710D01*
-G37*
-D13*
-X36470000Y-28185000D03*
-D11*
-G36*
-X36656958Y-26920710D02*
-G01*
-X36671276Y-26922834D01*
-X36685317Y-26926351D01*
-X36698946Y-26931228D01*
-X36712031Y-26937417D01*
-X36724447Y-26944858D01*
-X36736073Y-26953481D01*
-X36746798Y-26963202D01*
-X36756519Y-26973927D01*
-X36765142Y-26985553D01*
-X36772583Y-26997969D01*
-X36778772Y-27011054D01*
-X36783649Y-27024683D01*
-X36787166Y-27038724D01*
-X36789290Y-27053042D01*
-X36790000Y-27067500D01*
-X36790000Y-27362500D01*
-X36789290Y-27376958D01*
-X36787166Y-27391276D01*
-X36783649Y-27405317D01*
-X36778772Y-27418946D01*
-X36772583Y-27432031D01*
-X36765142Y-27444447D01*
-X36756519Y-27456073D01*
-X36746798Y-27466798D01*
-X36736073Y-27476519D01*
-X36724447Y-27485142D01*
-X36712031Y-27492583D01*
-X36698946Y-27498772D01*
-X36685317Y-27503649D01*
-X36671276Y-27507166D01*
-X36656958Y-27509290D01*
-X36642500Y-27510000D01*
-X36297500Y-27510000D01*
-X36283042Y-27509290D01*
-X36268724Y-27507166D01*
-X36254683Y-27503649D01*
-X36241054Y-27498772D01*
-X36227969Y-27492583D01*
-X36215553Y-27485142D01*
-X36203927Y-27476519D01*
-X36193202Y-27466798D01*
-X36183481Y-27456073D01*
-X36174858Y-27444447D01*
-X36167417Y-27432031D01*
-X36161228Y-27418946D01*
-X36156351Y-27405317D01*
-X36152834Y-27391276D01*
-X36150710Y-27376958D01*
-X36150000Y-27362500D01*
-X36150000Y-27067500D01*
-X36150710Y-27053042D01*
-X36152834Y-27038724D01*
-X36156351Y-27024683D01*
-X36161228Y-27011054D01*
-X36167417Y-26997969D01*
-X36174858Y-26985553D01*
-X36183481Y-26973927D01*
-X36193202Y-26963202D01*
-X36203927Y-26953481D01*
-X36215553Y-26944858D01*
-X36227969Y-26937417D01*
-X36241054Y-26931228D01*
-X36254683Y-26926351D01*
-X36268724Y-26922834D01*
-X36283042Y-26920710D01*
-X36297500Y-26920000D01*
-X36642500Y-26920000D01*
-X36656958Y-26920710D01*
-X36656958Y-26920710D01*
-G37*
-D13*
-X36470000Y-27215000D03*
-D11*
-G36*
-X49106958Y-30445710D02*
-G01*
-X49121276Y-30447834D01*
-X49135317Y-30451351D01*
-X49148946Y-30456228D01*
-X49162031Y-30462417D01*
-X49174447Y-30469858D01*
-X49186073Y-30478481D01*
-X49196798Y-30488202D01*
-X49206519Y-30498927D01*
-X49215142Y-30510553D01*
-X49222583Y-30522969D01*
-X49228772Y-30536054D01*
-X49233649Y-30549683D01*
-X49237166Y-30563724D01*
-X49239290Y-30578042D01*
-X49240000Y-30592500D01*
-X49240000Y-30887500D01*
-X49239290Y-30901958D01*
-X49237166Y-30916276D01*
-X49233649Y-30930317D01*
-X49228772Y-30943946D01*
-X49222583Y-30957031D01*
-X49215142Y-30969447D01*
-X49206519Y-30981073D01*
-X49196798Y-30991798D01*
-X49186073Y-31001519D01*
-X49174447Y-31010142D01*
-X49162031Y-31017583D01*
-X49148946Y-31023772D01*
-X49135317Y-31028649D01*
-X49121276Y-31032166D01*
-X49106958Y-31034290D01*
-X49092500Y-31035000D01*
-X48747500Y-31035000D01*
-X48733042Y-31034290D01*
-X48718724Y-31032166D01*
-X48704683Y-31028649D01*
-X48691054Y-31023772D01*
-X48677969Y-31017583D01*
-X48665553Y-31010142D01*
-X48653927Y-31001519D01*
-X48643202Y-30991798D01*
-X48633481Y-30981073D01*
-X48624858Y-30969447D01*
-X48617417Y-30957031D01*
-X48611228Y-30943946D01*
-X48606351Y-30930317D01*
-X48602834Y-30916276D01*
-X48600710Y-30901958D01*
-X48600000Y-30887500D01*
-X48600000Y-30592500D01*
-X48600710Y-30578042D01*
-X48602834Y-30563724D01*
-X48606351Y-30549683D01*
-X48611228Y-30536054D01*
-X48617417Y-30522969D01*
-X48624858Y-30510553D01*
-X48633481Y-30498927D01*
-X48643202Y-30488202D01*
-X48653927Y-30478481D01*
-X48665553Y-30469858D01*
-X48677969Y-30462417D01*
-X48691054Y-30456228D01*
-X48704683Y-30451351D01*
-X48718724Y-30447834D01*
-X48733042Y-30445710D01*
-X48747500Y-30445000D01*
-X49092500Y-30445000D01*
-X49106958Y-30445710D01*
-X49106958Y-30445710D01*
-G37*
-D13*
-X48920000Y-30740000D03*
-D11*
-G36*
-X49106958Y-29475710D02*
-G01*
-X49121276Y-29477834D01*
-X49135317Y-29481351D01*
-X49148946Y-29486228D01*
-X49162031Y-29492417D01*
-X49174447Y-29499858D01*
-X49186073Y-29508481D01*
-X49196798Y-29518202D01*
-X49206519Y-29528927D01*
-X49215142Y-29540553D01*
-X49222583Y-29552969D01*
-X49228772Y-29566054D01*
-X49233649Y-29579683D01*
-X49237166Y-29593724D01*
-X49239290Y-29608042D01*
-X49240000Y-29622500D01*
-X49240000Y-29917500D01*
-X49239290Y-29931958D01*
-X49237166Y-29946276D01*
-X49233649Y-29960317D01*
-X49228772Y-29973946D01*
-X49222583Y-29987031D01*
-X49215142Y-29999447D01*
-X49206519Y-30011073D01*
-X49196798Y-30021798D01*
-X49186073Y-30031519D01*
-X49174447Y-30040142D01*
-X49162031Y-30047583D01*
-X49148946Y-30053772D01*
-X49135317Y-30058649D01*
-X49121276Y-30062166D01*
-X49106958Y-30064290D01*
-X49092500Y-30065000D01*
-X48747500Y-30065000D01*
-X48733042Y-30064290D01*
-X48718724Y-30062166D01*
-X48704683Y-30058649D01*
-X48691054Y-30053772D01*
-X48677969Y-30047583D01*
-X48665553Y-30040142D01*
-X48653927Y-30031519D01*
-X48643202Y-30021798D01*
-X48633481Y-30011073D01*
-X48624858Y-29999447D01*
-X48617417Y-29987031D01*
-X48611228Y-29973946D01*
-X48606351Y-29960317D01*
-X48602834Y-29946276D01*
-X48600710Y-29931958D01*
-X48600000Y-29917500D01*
-X48600000Y-29622500D01*
-X48600710Y-29608042D01*
-X48602834Y-29593724D01*
-X48606351Y-29579683D01*
-X48611228Y-29566054D01*
-X48617417Y-29552969D01*
-X48624858Y-29540553D01*
-X48633481Y-29528927D01*
-X48643202Y-29518202D01*
-X48653927Y-29508481D01*
-X48665553Y-29499858D01*
-X48677969Y-29492417D01*
-X48691054Y-29486228D01*
-X48704683Y-29481351D01*
-X48718724Y-29477834D01*
-X48733042Y-29475710D01*
-X48747500Y-29475000D01*
-X49092500Y-29475000D01*
-X49106958Y-29475710D01*
-X49106958Y-29475710D01*
-G37*
-D13*
-X48920000Y-29770000D03*
-D11*
-G36*
-X50116958Y-31190710D02*
-G01*
-X50131276Y-31192834D01*
-X50145317Y-31196351D01*
-X50158946Y-31201228D01*
-X50172031Y-31207417D01*
-X50184447Y-31214858D01*
-X50196073Y-31223481D01*
-X50206798Y-31233202D01*
-X50216519Y-31243927D01*
-X50225142Y-31255553D01*
-X50232583Y-31267969D01*
-X50238772Y-31281054D01*
-X50243649Y-31294683D01*
-X50247166Y-31308724D01*
-X50249290Y-31323042D01*
-X50250000Y-31337500D01*
-X50250000Y-31632500D01*
-X50249290Y-31646958D01*
-X50247166Y-31661276D01*
-X50243649Y-31675317D01*
-X50238772Y-31688946D01*
-X50232583Y-31702031D01*
-X50225142Y-31714447D01*
-X50216519Y-31726073D01*
-X50206798Y-31736798D01*
-X50196073Y-31746519D01*
-X50184447Y-31755142D01*
-X50172031Y-31762583D01*
-X50158946Y-31768772D01*
-X50145317Y-31773649D01*
-X50131276Y-31777166D01*
-X50116958Y-31779290D01*
-X50102500Y-31780000D01*
-X49757500Y-31780000D01*
-X49743042Y-31779290D01*
-X49728724Y-31777166D01*
-X49714683Y-31773649D01*
-X49701054Y-31768772D01*
-X49687969Y-31762583D01*
-X49675553Y-31755142D01*
-X49663927Y-31746519D01*
-X49653202Y-31736798D01*
-X49643481Y-31726073D01*
-X49634858Y-31714447D01*
-X49627417Y-31702031D01*
-X49621228Y-31688946D01*
-X49616351Y-31675317D01*
-X49612834Y-31661276D01*
-X49610710Y-31646958D01*
-X49610000Y-31632500D01*
-X49610000Y-31337500D01*
-X49610710Y-31323042D01*
-X49612834Y-31308724D01*
-X49616351Y-31294683D01*
-X49621228Y-31281054D01*
-X49627417Y-31267969D01*
-X49634858Y-31255553D01*
-X49643481Y-31243927D01*
-X49653202Y-31233202D01*
-X49663927Y-31223481D01*
-X49675553Y-31214858D01*
-X49687969Y-31207417D01*
-X49701054Y-31201228D01*
-X49714683Y-31196351D01*
-X49728724Y-31192834D01*
-X49743042Y-31190710D01*
-X49757500Y-31190000D01*
-X50102500Y-31190000D01*
-X50116958Y-31190710D01*
-X50116958Y-31190710D01*
-G37*
-D13*
-X49930000Y-31485000D03*
-D11*
-G36*
-X50116958Y-30220710D02*
-G01*
-X50131276Y-30222834D01*
-X50145317Y-30226351D01*
-X50158946Y-30231228D01*
-X50172031Y-30237417D01*
-X50184447Y-30244858D01*
-X50196073Y-30253481D01*
-X50206798Y-30263202D01*
-X50216519Y-30273927D01*
-X50225142Y-30285553D01*
-X50232583Y-30297969D01*
-X50238772Y-30311054D01*
-X50243649Y-30324683D01*
-X50247166Y-30338724D01*
-X50249290Y-30353042D01*
-X50250000Y-30367500D01*
-X50250000Y-30662500D01*
-X50249290Y-30676958D01*
-X50247166Y-30691276D01*
-X50243649Y-30705317D01*
-X50238772Y-30718946D01*
-X50232583Y-30732031D01*
-X50225142Y-30744447D01*
-X50216519Y-30756073D01*
-X50206798Y-30766798D01*
-X50196073Y-30776519D01*
-X50184447Y-30785142D01*
-X50172031Y-30792583D01*
-X50158946Y-30798772D01*
-X50145317Y-30803649D01*
-X50131276Y-30807166D01*
-X50116958Y-30809290D01*
-X50102500Y-30810000D01*
-X49757500Y-30810000D01*
-X49743042Y-30809290D01*
-X49728724Y-30807166D01*
-X49714683Y-30803649D01*
-X49701054Y-30798772D01*
-X49687969Y-30792583D01*
-X49675553Y-30785142D01*
-X49663927Y-30776519D01*
-X49653202Y-30766798D01*
-X49643481Y-30756073D01*
-X49634858Y-30744447D01*
-X49627417Y-30732031D01*
-X49621228Y-30718946D01*
-X49616351Y-30705317D01*
-X49612834Y-30691276D01*
-X49610710Y-30676958D01*
-X49610000Y-30662500D01*
-X49610000Y-30367500D01*
-X49610710Y-30353042D01*
-X49612834Y-30338724D01*
-X49616351Y-30324683D01*
-X49621228Y-30311054D01*
-X49627417Y-30297969D01*
-X49634858Y-30285553D01*
-X49643481Y-30273927D01*
-X49653202Y-30263202D01*
-X49663927Y-30253481D01*
-X49675553Y-30244858D01*
-X49687969Y-30237417D01*
-X49701054Y-30231228D01*
-X49714683Y-30226351D01*
-X49728724Y-30222834D01*
-X49743042Y-30220710D01*
-X49757500Y-30220000D01*
-X50102500Y-30220000D01*
-X50116958Y-30220710D01*
-X50116958Y-30220710D01*
-G37*
-D13*
-X49930000Y-30515000D03*
-D11*
-G36*
-X53906958Y-24060710D02*
-G01*
-X53921276Y-24062834D01*
-X53935317Y-24066351D01*
-X53948946Y-24071228D01*
-X53962031Y-24077417D01*
-X53974447Y-24084858D01*
-X53986073Y-24093481D01*
-X53996798Y-24103202D01*
-X54006519Y-24113927D01*
-X54015142Y-24125553D01*
-X54022583Y-24137969D01*
-X54028772Y-24151054D01*
-X54033649Y-24164683D01*
-X54037166Y-24178724D01*
-X54039290Y-24193042D01*
-X54040000Y-24207500D01*
-X54040000Y-24502500D01*
-X54039290Y-24516958D01*
-X54037166Y-24531276D01*
-X54033649Y-24545317D01*
-X54028772Y-24558946D01*
-X54022583Y-24572031D01*
-X54015142Y-24584447D01*
-X54006519Y-24596073D01*
-X53996798Y-24606798D01*
-X53986073Y-24616519D01*
-X53974447Y-24625142D01*
-X53962031Y-24632583D01*
-X53948946Y-24638772D01*
-X53935317Y-24643649D01*
-X53921276Y-24647166D01*
-X53906958Y-24649290D01*
-X53892500Y-24650000D01*
-X53547500Y-24650000D01*
-X53533042Y-24649290D01*
-X53518724Y-24647166D01*
-X53504683Y-24643649D01*
-X53491054Y-24638772D01*
-X53477969Y-24632583D01*
-X53465553Y-24625142D01*
-X53453927Y-24616519D01*
-X53443202Y-24606798D01*
-X53433481Y-24596073D01*
-X53424858Y-24584447D01*
-X53417417Y-24572031D01*
-X53411228Y-24558946D01*
-X53406351Y-24545317D01*
-X53402834Y-24531276D01*
-X53400710Y-24516958D01*
-X53400000Y-24502500D01*
-X53400000Y-24207500D01*
-X53400710Y-24193042D01*
-X53402834Y-24178724D01*
-X53406351Y-24164683D01*
-X53411228Y-24151054D01*
-X53417417Y-24137969D01*
-X53424858Y-24125553D01*
-X53433481Y-24113927D01*
-X53443202Y-24103202D01*
-X53453927Y-24093481D01*
-X53465553Y-24084858D01*
-X53477969Y-24077417D01*
-X53491054Y-24071228D01*
-X53504683Y-24066351D01*
-X53518724Y-24062834D01*
-X53533042Y-24060710D01*
-X53547500Y-24060000D01*
-X53892500Y-24060000D01*
-X53906958Y-24060710D01*
-X53906958Y-24060710D01*
-G37*
-D13*
-X53720000Y-24355000D03*
-D11*
-G36*
-X53906958Y-23090710D02*
-G01*
-X53921276Y-23092834D01*
-X53935317Y-23096351D01*
-X53948946Y-23101228D01*
-X53962031Y-23107417D01*
-X53974447Y-23114858D01*
-X53986073Y-23123481D01*
-X53996798Y-23133202D01*
-X54006519Y-23143927D01*
-X54015142Y-23155553D01*
-X54022583Y-23167969D01*
-X54028772Y-23181054D01*
-X54033649Y-23194683D01*
-X54037166Y-23208724D01*
-X54039290Y-23223042D01*
-X54040000Y-23237500D01*
-X54040000Y-23532500D01*
-X54039290Y-23546958D01*
-X54037166Y-23561276D01*
-X54033649Y-23575317D01*
-X54028772Y-23588946D01*
-X54022583Y-23602031D01*
-X54015142Y-23614447D01*
-X54006519Y-23626073D01*
-X53996798Y-23636798D01*
-X53986073Y-23646519D01*
-X53974447Y-23655142D01*
-X53962031Y-23662583D01*
-X53948946Y-23668772D01*
-X53935317Y-23673649D01*
-X53921276Y-23677166D01*
-X53906958Y-23679290D01*
-X53892500Y-23680000D01*
-X53547500Y-23680000D01*
-X53533042Y-23679290D01*
-X53518724Y-23677166D01*
-X53504683Y-23673649D01*
-X53491054Y-23668772D01*
-X53477969Y-23662583D01*
-X53465553Y-23655142D01*
-X53453927Y-23646519D01*
-X53443202Y-23636798D01*
-X53433481Y-23626073D01*
-X53424858Y-23614447D01*
-X53417417Y-23602031D01*
-X53411228Y-23588946D01*
-X53406351Y-23575317D01*
-X53402834Y-23561276D01*
-X53400710Y-23546958D01*
-X53400000Y-23532500D01*
-X53400000Y-23237500D01*
-X53400710Y-23223042D01*
-X53402834Y-23208724D01*
-X53406351Y-23194683D01*
-X53411228Y-23181054D01*
-X53417417Y-23167969D01*
-X53424858Y-23155553D01*
-X53433481Y-23143927D01*
-X53443202Y-23133202D01*
-X53453927Y-23123481D01*
-X53465553Y-23114858D01*
-X53477969Y-23107417D01*
-X53491054Y-23101228D01*
-X53504683Y-23096351D01*
-X53518724Y-23092834D01*
-X53533042Y-23090710D01*
-X53547500Y-23090000D01*
-X53892500Y-23090000D01*
-X53906958Y-23090710D01*
-X53906958Y-23090710D01*
-G37*
-D13*
-X53720000Y-23385000D03*
-D11*
-G36*
-X36876958Y-24990711D02*
-G01*
-X36891276Y-24992835D01*
-X36905317Y-24996352D01*
-X36918946Y-25001229D01*
-X36932031Y-25007418D01*
-X36944447Y-25014859D01*
-X36956073Y-25023482D01*
-X36966798Y-25033203D01*
-X36976519Y-25043928D01*
-X36985142Y-25055554D01*
-X36992583Y-25067970D01*
-X36998772Y-25081055D01*
-X37003649Y-25094684D01*
-X37007166Y-25108725D01*
-X37009290Y-25123043D01*
-X37010000Y-25137501D01*
-X37010000Y-25432501D01*
-X37009290Y-25446959D01*
-X37007166Y-25461277D01*
-X37003649Y-25475318D01*
-X36998772Y-25488947D01*
-X36992583Y-25502032D01*
-X36985142Y-25514448D01*
-X36976519Y-25526074D01*
-X36966798Y-25536799D01*
-X36956073Y-25546520D01*
-X36944447Y-25555143D01*
-X36932031Y-25562584D01*
-X36918946Y-25568773D01*
-X36905317Y-25573650D01*
-X36891276Y-25577167D01*
-X36876958Y-25579291D01*
-X36862500Y-25580001D01*
-X36517500Y-25580001D01*
-X36503042Y-25579291D01*
-X36488724Y-25577167D01*
-X36474683Y-25573650D01*
-X36461054Y-25568773D01*
-X36447969Y-25562584D01*
-X36435553Y-25555143D01*
-X36423927Y-25546520D01*
-X36413202Y-25536799D01*
-X36403481Y-25526074D01*
-X36394858Y-25514448D01*
-X36387417Y-25502032D01*
-X36381228Y-25488947D01*
-X36376351Y-25475318D01*
-X36372834Y-25461277D01*
-X36370710Y-25446959D01*
-X36370000Y-25432501D01*
-X36370000Y-25137501D01*
-X36370710Y-25123043D01*
-X36372834Y-25108725D01*
-X36376351Y-25094684D01*
-X36381228Y-25081055D01*
-X36387417Y-25067970D01*
-X36394858Y-25055554D01*
-X36403481Y-25043928D01*
-X36413202Y-25033203D01*
-X36423927Y-25023482D01*
-X36435553Y-25014859D01*
-X36447969Y-25007418D01*
-X36461054Y-25001229D01*
-X36474683Y-24996352D01*
-X36488724Y-24992835D01*
-X36503042Y-24990711D01*
-X36517500Y-24990001D01*
-X36862500Y-24990001D01*
-X36876958Y-24990711D01*
-X36876958Y-24990711D01*
-G37*
-D13*
-X36690000Y-25285001D03*
-D11*
-G36*
-X36876958Y-25960711D02*
-G01*
-X36891276Y-25962835D01*
-X36905317Y-25966352D01*
-X36918946Y-25971229D01*
-X36932031Y-25977418D01*
-X36944447Y-25984859D01*
-X36956073Y-25993482D01*
-X36966798Y-26003203D01*
-X36976519Y-26013928D01*
-X36985142Y-26025554D01*
-X36992583Y-26037970D01*
-X36998772Y-26051055D01*
-X37003649Y-26064684D01*
-X37007166Y-26078725D01*
-X37009290Y-26093043D01*
-X37010000Y-26107501D01*
-X37010000Y-26402501D01*
-X37009290Y-26416959D01*
-X37007166Y-26431277D01*
-X37003649Y-26445318D01*
-X36998772Y-26458947D01*
-X36992583Y-26472032D01*
-X36985142Y-26484448D01*
-X36976519Y-26496074D01*
-X36966798Y-26506799D01*
-X36956073Y-26516520D01*
-X36944447Y-26525143D01*
-X36932031Y-26532584D01*
-X36918946Y-26538773D01*
-X36905317Y-26543650D01*
-X36891276Y-26547167D01*
-X36876958Y-26549291D01*
-X36862500Y-26550001D01*
-X36517500Y-26550001D01*
-X36503042Y-26549291D01*
-X36488724Y-26547167D01*
-X36474683Y-26543650D01*
-X36461054Y-26538773D01*
-X36447969Y-26532584D01*
-X36435553Y-26525143D01*
-X36423927Y-26516520D01*
-X36413202Y-26506799D01*
-X36403481Y-26496074D01*
-X36394858Y-26484448D01*
-X36387417Y-26472032D01*
-X36381228Y-26458947D01*
-X36376351Y-26445318D01*
-X36372834Y-26431277D01*
-X36370710Y-26416959D01*
-X36370000Y-26402501D01*
-X36370000Y-26107501D01*
-X36370710Y-26093043D01*
-X36372834Y-26078725D01*
-X36376351Y-26064684D01*
-X36381228Y-26051055D01*
-X36387417Y-26037970D01*
-X36394858Y-26025554D01*
-X36403481Y-26013928D01*
-X36413202Y-26003203D01*
-X36423927Y-25993482D01*
-X36435553Y-25984859D01*
-X36447969Y-25977418D01*
-X36461054Y-25971229D01*
-X36474683Y-25966352D01*
-X36488724Y-25962835D01*
-X36503042Y-25960711D01*
-X36517500Y-25960001D01*
-X36862500Y-25960001D01*
-X36876958Y-25960711D01*
-X36876958Y-25960711D01*
-G37*
-D13*
-X36690000Y-26255001D03*
-D11*
-G36*
-X35876958Y-24990711D02*
-G01*
-X35891276Y-24992835D01*
-X35905317Y-24996352D01*
-X35918946Y-25001229D01*
-X35932031Y-25007418D01*
-X35944447Y-25014859D01*
-X35956073Y-25023482D01*
-X35966798Y-25033203D01*
-X35976519Y-25043928D01*
-X35985142Y-25055554D01*
-X35992583Y-25067970D01*
-X35998772Y-25081055D01*
-X36003649Y-25094684D01*
-X36007166Y-25108725D01*
-X36009290Y-25123043D01*
-X36010000Y-25137501D01*
-X36010000Y-25432501D01*
-X36009290Y-25446959D01*
-X36007166Y-25461277D01*
-X36003649Y-25475318D01*
-X35998772Y-25488947D01*
-X35992583Y-25502032D01*
-X35985142Y-25514448D01*
-X35976519Y-25526074D01*
-X35966798Y-25536799D01*
-X35956073Y-25546520D01*
-X35944447Y-25555143D01*
-X35932031Y-25562584D01*
-X35918946Y-25568773D01*
-X35905317Y-25573650D01*
-X35891276Y-25577167D01*
-X35876958Y-25579291D01*
-X35862500Y-25580001D01*
-X35517500Y-25580001D01*
-X35503042Y-25579291D01*
-X35488724Y-25577167D01*
-X35474683Y-25573650D01*
-X35461054Y-25568773D01*
-X35447969Y-25562584D01*
-X35435553Y-25555143D01*
-X35423927Y-25546520D01*
-X35413202Y-25536799D01*
-X35403481Y-25526074D01*
-X35394858Y-25514448D01*
-X35387417Y-25502032D01*
-X35381228Y-25488947D01*
-X35376351Y-25475318D01*
-X35372834Y-25461277D01*
-X35370710Y-25446959D01*
-X35370000Y-25432501D01*
-X35370000Y-25137501D01*
-X35370710Y-25123043D01*
-X35372834Y-25108725D01*
-X35376351Y-25094684D01*
-X35381228Y-25081055D01*
-X35387417Y-25067970D01*
-X35394858Y-25055554D01*
-X35403481Y-25043928D01*
-X35413202Y-25033203D01*
-X35423927Y-25023482D01*
-X35435553Y-25014859D01*
-X35447969Y-25007418D01*
-X35461054Y-25001229D01*
-X35474683Y-24996352D01*
-X35488724Y-24992835D01*
-X35503042Y-24990711D01*
-X35517500Y-24990001D01*
-X35862500Y-24990001D01*
-X35876958Y-24990711D01*
-X35876958Y-24990711D01*
-G37*
-D13*
-X35690000Y-25285001D03*
-D11*
-G36*
-X35876958Y-25960711D02*
-G01*
-X35891276Y-25962835D01*
-X35905317Y-25966352D01*
-X35918946Y-25971229D01*
-X35932031Y-25977418D01*
-X35944447Y-25984859D01*
-X35956073Y-25993482D01*
-X35966798Y-26003203D01*
-X35976519Y-26013928D01*
-X35985142Y-26025554D01*
-X35992583Y-26037970D01*
-X35998772Y-26051055D01*
-X36003649Y-26064684D01*
-X36007166Y-26078725D01*
-X36009290Y-26093043D01*
-X36010000Y-26107501D01*
-X36010000Y-26402501D01*
-X36009290Y-26416959D01*
-X36007166Y-26431277D01*
-X36003649Y-26445318D01*
-X35998772Y-26458947D01*
-X35992583Y-26472032D01*
-X35985142Y-26484448D01*
-X35976519Y-26496074D01*
-X35966798Y-26506799D01*
-X35956073Y-26516520D01*
-X35944447Y-26525143D01*
-X35932031Y-26532584D01*
-X35918946Y-26538773D01*
-X35905317Y-26543650D01*
-X35891276Y-26547167D01*
-X35876958Y-26549291D01*
-X35862500Y-26550001D01*
-X35517500Y-26550001D01*
-X35503042Y-26549291D01*
-X35488724Y-26547167D01*
-X35474683Y-26543650D01*
-X35461054Y-26538773D01*
-X35447969Y-26532584D01*
-X35435553Y-26525143D01*
-X35423927Y-26516520D01*
-X35413202Y-26506799D01*
-X35403481Y-26496074D01*
-X35394858Y-26484448D01*
-X35387417Y-26472032D01*
-X35381228Y-26458947D01*
-X35376351Y-26445318D01*
-X35372834Y-26431277D01*
-X35370710Y-26416959D01*
-X35370000Y-26402501D01*
-X35370000Y-26107501D01*
-X35370710Y-26093043D01*
-X35372834Y-26078725D01*
-X35376351Y-26064684D01*
-X35381228Y-26051055D01*
-X35387417Y-26037970D01*
-X35394858Y-26025554D01*
-X35403481Y-26013928D01*
-X35413202Y-26003203D01*
-X35423927Y-25993482D01*
-X35435553Y-25984859D01*
-X35447969Y-25977418D01*
-X35461054Y-25971229D01*
-X35474683Y-25966352D01*
-X35488724Y-25962835D01*
-X35503042Y-25960711D01*
-X35517500Y-25960001D01*
-X35862500Y-25960001D01*
-X35876958Y-25960711D01*
-X35876958Y-25960711D01*
-G37*
-D13*
-X35690000Y-26255001D03*
-D11*
-G36*
-X32246958Y-30680710D02*
-G01*
-X32261276Y-30682834D01*
-X32275317Y-30686351D01*
-X32288946Y-30691228D01*
-X32302031Y-30697417D01*
-X32314447Y-30704858D01*
-X32326073Y-30713481D01*
-X32336798Y-30723202D01*
-X32346519Y-30733927D01*
-X32355142Y-30745553D01*
-X32362583Y-30757969D01*
-X32368772Y-30771054D01*
-X32373649Y-30784683D01*
-X32377166Y-30798724D01*
-X32379290Y-30813042D01*
-X32380000Y-30827500D01*
-X32380000Y-31172500D01*
-X32379290Y-31186958D01*
-X32377166Y-31201276D01*
-X32373649Y-31215317D01*
-X32368772Y-31228946D01*
-X32362583Y-31242031D01*
-X32355142Y-31254447D01*
-X32346519Y-31266073D01*
-X32336798Y-31276798D01*
-X32326073Y-31286519D01*
-X32314447Y-31295142D01*
-X32302031Y-31302583D01*
-X32288946Y-31308772D01*
-X32275317Y-31313649D01*
-X32261276Y-31317166D01*
-X32246958Y-31319290D01*
-X32232500Y-31320000D01*
-X31937500Y-31320000D01*
-X31923042Y-31319290D01*
-X31908724Y-31317166D01*
-X31894683Y-31313649D01*
-X31881054Y-31308772D01*
-X31867969Y-31302583D01*
-X31855553Y-31295142D01*
-X31843927Y-31286519D01*
-X31833202Y-31276798D01*
-X31823481Y-31266073D01*
-X31814858Y-31254447D01*
-X31807417Y-31242031D01*
-X31801228Y-31228946D01*
-X31796351Y-31215317D01*
-X31792834Y-31201276D01*
-X31790710Y-31186958D01*
-X31790000Y-31172500D01*
-X31790000Y-30827500D01*
-X31790710Y-30813042D01*
-X31792834Y-30798724D01*
-X31796351Y-30784683D01*
-X31801228Y-30771054D01*
-X31807417Y-30757969D01*
-X31814858Y-30745553D01*
-X31823481Y-30733927D01*
-X31833202Y-30723202D01*
-X31843927Y-30713481D01*
-X31855553Y-30704858D01*
-X31867969Y-30697417D01*
-X31881054Y-30691228D01*
-X31894683Y-30686351D01*
-X31908724Y-30682834D01*
-X31923042Y-30680710D01*
-X31937500Y-30680000D01*
-X32232500Y-30680000D01*
-X32246958Y-30680710D01*
-X32246958Y-30680710D01*
-G37*
-D13*
-X32085000Y-31000000D03*
-D11*
-G36*
-X31276958Y-30680710D02*
-G01*
-X31291276Y-30682834D01*
-X31305317Y-30686351D01*
-X31318946Y-30691228D01*
-X31332031Y-30697417D01*
-X31344447Y-30704858D01*
-X31356073Y-30713481D01*
-X31366798Y-30723202D01*
-X31376519Y-30733927D01*
-X31385142Y-30745553D01*
-X31392583Y-30757969D01*
-X31398772Y-30771054D01*
-X31403649Y-30784683D01*
-X31407166Y-30798724D01*
-X31409290Y-30813042D01*
-X31410000Y-30827500D01*
-X31410000Y-31172500D01*
-X31409290Y-31186958D01*
-X31407166Y-31201276D01*
-X31403649Y-31215317D01*
-X31398772Y-31228946D01*
-X31392583Y-31242031D01*
-X31385142Y-31254447D01*
-X31376519Y-31266073D01*
-X31366798Y-31276798D01*
-X31356073Y-31286519D01*
-X31344447Y-31295142D01*
-X31332031Y-31302583D01*
-X31318946Y-31308772D01*
-X31305317Y-31313649D01*
-X31291276Y-31317166D01*
-X31276958Y-31319290D01*
-X31262500Y-31320000D01*
-X30967500Y-31320000D01*
-X30953042Y-31319290D01*
-X30938724Y-31317166D01*
-X30924683Y-31313649D01*
-X30911054Y-31308772D01*
-X30897969Y-31302583D01*
-X30885553Y-31295142D01*
-X30873927Y-31286519D01*
-X30863202Y-31276798D01*
-X30853481Y-31266073D01*
-X30844858Y-31254447D01*
-X30837417Y-31242031D01*
-X30831228Y-31228946D01*
-X30826351Y-31215317D01*
-X30822834Y-31201276D01*
-X30820710Y-31186958D01*
-X30820000Y-31172500D01*
-X30820000Y-30827500D01*
-X30820710Y-30813042D01*
-X30822834Y-30798724D01*
-X30826351Y-30784683D01*
-X30831228Y-30771054D01*
-X30837417Y-30757969D01*
-X30844858Y-30745553D01*
-X30853481Y-30733927D01*
-X30863202Y-30723202D01*
-X30873927Y-30713481D01*
-X30885553Y-30704858D01*
-X30897969Y-30697417D01*
-X30911054Y-30691228D01*
-X30924683Y-30686351D01*
-X30938724Y-30682834D01*
-X30953042Y-30680710D01*
-X30967500Y-30680000D01*
-X31262500Y-30680000D01*
-X31276958Y-30680710D01*
-X31276958Y-30680710D01*
-G37*
-D13*
-X31115000Y-31000000D03*
-D11*
-G36*
-X32246958Y-25680710D02*
-G01*
-X32261276Y-25682834D01*
-X32275317Y-25686351D01*
-X32288946Y-25691228D01*
-X32302031Y-25697417D01*
-X32314447Y-25704858D01*
-X32326073Y-25713481D01*
-X32336798Y-25723202D01*
-X32346519Y-25733927D01*
-X32355142Y-25745553D01*
-X32362583Y-25757969D01*
-X32368772Y-25771054D01*
-X32373649Y-25784683D01*
-X32377166Y-25798724D01*
-X32379290Y-25813042D01*
-X32380000Y-25827500D01*
-X32380000Y-26172500D01*
-X32379290Y-26186958D01*
-X32377166Y-26201276D01*
-X32373649Y-26215317D01*
-X32368772Y-26228946D01*
-X32362583Y-26242031D01*
-X32355142Y-26254447D01*
-X32346519Y-26266073D01*
-X32336798Y-26276798D01*
-X32326073Y-26286519D01*
-X32314447Y-26295142D01*
-X32302031Y-26302583D01*
-X32288946Y-26308772D01*
-X32275317Y-26313649D01*
-X32261276Y-26317166D01*
-X32246958Y-26319290D01*
-X32232500Y-26320000D01*
-X31937500Y-26320000D01*
-X31923042Y-26319290D01*
-X31908724Y-26317166D01*
-X31894683Y-26313649D01*
-X31881054Y-26308772D01*
-X31867969Y-26302583D01*
-X31855553Y-26295142D01*
-X31843927Y-26286519D01*
-X31833202Y-26276798D01*
-X31823481Y-26266073D01*
-X31814858Y-26254447D01*
-X31807417Y-26242031D01*
-X31801228Y-26228946D01*
-X31796351Y-26215317D01*
-X31792834Y-26201276D01*
-X31790710Y-26186958D01*
-X31790000Y-26172500D01*
-X31790000Y-25827500D01*
-X31790710Y-25813042D01*
-X31792834Y-25798724D01*
-X31796351Y-25784683D01*
-X31801228Y-25771054D01*
-X31807417Y-25757969D01*
-X31814858Y-25745553D01*
-X31823481Y-25733927D01*
-X31833202Y-25723202D01*
-X31843927Y-25713481D01*
-X31855553Y-25704858D01*
-X31867969Y-25697417D01*
-X31881054Y-25691228D01*
-X31894683Y-25686351D01*
-X31908724Y-25682834D01*
-X31923042Y-25680710D01*
-X31937500Y-25680000D01*
-X32232500Y-25680000D01*
-X32246958Y-25680710D01*
-X32246958Y-25680710D01*
-G37*
-D13*
-X32085000Y-26000000D03*
-D11*
-G36*
-X31276958Y-25680710D02*
-G01*
-X31291276Y-25682834D01*
-X31305317Y-25686351D01*
-X31318946Y-25691228D01*
-X31332031Y-25697417D01*
-X31344447Y-25704858D01*
-X31356073Y-25713481D01*
-X31366798Y-25723202D01*
-X31376519Y-25733927D01*
-X31385142Y-25745553D01*
-X31392583Y-25757969D01*
-X31398772Y-25771054D01*
-X31403649Y-25784683D01*
-X31407166Y-25798724D01*
-X31409290Y-25813042D01*
-X31410000Y-25827500D01*
-X31410000Y-26172500D01*
-X31409290Y-26186958D01*
-X31407166Y-26201276D01*
-X31403649Y-26215317D01*
-X31398772Y-26228946D01*
-X31392583Y-26242031D01*
-X31385142Y-26254447D01*
-X31376519Y-26266073D01*
-X31366798Y-26276798D01*
-X31356073Y-26286519D01*
-X31344447Y-26295142D01*
-X31332031Y-26302583D01*
-X31318946Y-26308772D01*
-X31305317Y-26313649D01*
-X31291276Y-26317166D01*
-X31276958Y-26319290D01*
-X31262500Y-26320000D01*
-X30967500Y-26320000D01*
-X30953042Y-26319290D01*
-X30938724Y-26317166D01*
-X30924683Y-26313649D01*
-X30911054Y-26308772D01*
-X30897969Y-26302583D01*
-X30885553Y-26295142D01*
-X30873927Y-26286519D01*
-X30863202Y-26276798D01*
-X30853481Y-26266073D01*
-X30844858Y-26254447D01*
-X30837417Y-26242031D01*
-X30831228Y-26228946D01*
-X30826351Y-26215317D01*
-X30822834Y-26201276D01*
-X30820710Y-26186958D01*
-X30820000Y-26172500D01*
-X30820000Y-25827500D01*
-X30820710Y-25813042D01*
-X30822834Y-25798724D01*
-X30826351Y-25784683D01*
-X30831228Y-25771054D01*
-X30837417Y-25757969D01*
-X30844858Y-25745553D01*
-X30853481Y-25733927D01*
-X30863202Y-25723202D01*
-X30873927Y-25713481D01*
-X30885553Y-25704858D01*
-X30897969Y-25697417D01*
-X30911054Y-25691228D01*
-X30924683Y-25686351D01*
-X30938724Y-25682834D01*
-X30953042Y-25680710D01*
-X30967500Y-25680000D01*
-X31262500Y-25680000D01*
-X31276958Y-25680710D01*
-X31276958Y-25680710D01*
-G37*
-D13*
-X31115000Y-26000000D03*
-D11*
-G36*
-X49786958Y-26305710D02*
-G01*
-X49801276Y-26307834D01*
-X49815317Y-26311351D01*
-X49828946Y-26316228D01*
-X49842031Y-26322417D01*
-X49854447Y-26329858D01*
-X49866073Y-26338481D01*
-X49876798Y-26348202D01*
-X49886519Y-26358927D01*
-X49895142Y-26370553D01*
-X49902583Y-26382969D01*
-X49908772Y-26396054D01*
-X49913649Y-26409683D01*
-X49917166Y-26423724D01*
-X49919290Y-26438042D01*
-X49920000Y-26452500D01*
-X49920000Y-26747500D01*
-X49919290Y-26761958D01*
-X49917166Y-26776276D01*
-X49913649Y-26790317D01*
-X49908772Y-26803946D01*
-X49902583Y-26817031D01*
-X49895142Y-26829447D01*
-X49886519Y-26841073D01*
-X49876798Y-26851798D01*
-X49866073Y-26861519D01*
-X49854447Y-26870142D01*
-X49842031Y-26877583D01*
-X49828946Y-26883772D01*
-X49815317Y-26888649D01*
-X49801276Y-26892166D01*
-X49786958Y-26894290D01*
-X49772500Y-26895000D01*
-X49427500Y-26895000D01*
-X49413042Y-26894290D01*
-X49398724Y-26892166D01*
-X49384683Y-26888649D01*
-X49371054Y-26883772D01*
-X49357969Y-26877583D01*
-X49345553Y-26870142D01*
-X49333927Y-26861519D01*
-X49323202Y-26851798D01*
-X49313481Y-26841073D01*
-X49304858Y-26829447D01*
-X49297417Y-26817031D01*
-X49291228Y-26803946D01*
-X49286351Y-26790317D01*
-X49282834Y-26776276D01*
-X49280710Y-26761958D01*
-X49280000Y-26747500D01*
-X49280000Y-26452500D01*
-X49280710Y-26438042D01*
-X49282834Y-26423724D01*
-X49286351Y-26409683D01*
-X49291228Y-26396054D01*
-X49297417Y-26382969D01*
-X49304858Y-26370553D01*
-X49313481Y-26358927D01*
-X49323202Y-26348202D01*
-X49333927Y-26338481D01*
-X49345553Y-26329858D01*
-X49357969Y-26322417D01*
-X49371054Y-26316228D01*
-X49384683Y-26311351D01*
-X49398724Y-26307834D01*
-X49413042Y-26305710D01*
-X49427500Y-26305000D01*
-X49772500Y-26305000D01*
-X49786958Y-26305710D01*
-X49786958Y-26305710D01*
-G37*
-D13*
-X49600000Y-26600000D03*
-D11*
-G36*
-X49786958Y-27275710D02*
-G01*
-X49801276Y-27277834D01*
-X49815317Y-27281351D01*
-X49828946Y-27286228D01*
-X49842031Y-27292417D01*
-X49854447Y-27299858D01*
-X49866073Y-27308481D01*
-X49876798Y-27318202D01*
-X49886519Y-27328927D01*
-X49895142Y-27340553D01*
-X49902583Y-27352969D01*
-X49908772Y-27366054D01*
-X49913649Y-27379683D01*
-X49917166Y-27393724D01*
-X49919290Y-27408042D01*
-X49920000Y-27422500D01*
-X49920000Y-27717500D01*
-X49919290Y-27731958D01*
-X49917166Y-27746276D01*
-X49913649Y-27760317D01*
-X49908772Y-27773946D01*
-X49902583Y-27787031D01*
-X49895142Y-27799447D01*
-X49886519Y-27811073D01*
-X49876798Y-27821798D01*
-X49866073Y-27831519D01*
-X49854447Y-27840142D01*
-X49842031Y-27847583D01*
-X49828946Y-27853772D01*
-X49815317Y-27858649D01*
-X49801276Y-27862166D01*
-X49786958Y-27864290D01*
-X49772500Y-27865000D01*
-X49427500Y-27865000D01*
-X49413042Y-27864290D01*
-X49398724Y-27862166D01*
-X49384683Y-27858649D01*
-X49371054Y-27853772D01*
-X49357969Y-27847583D01*
-X49345553Y-27840142D01*
-X49333927Y-27831519D01*
-X49323202Y-27821798D01*
-X49313481Y-27811073D01*
-X49304858Y-27799447D01*
-X49297417Y-27787031D01*
-X49291228Y-27773946D01*
-X49286351Y-27760317D01*
-X49282834Y-27746276D01*
-X49280710Y-27731958D01*
-X49280000Y-27717500D01*
-X49280000Y-27422500D01*
-X49280710Y-27408042D01*
-X49282834Y-27393724D01*
-X49286351Y-27379683D01*
-X49291228Y-27366054D01*
-X49297417Y-27352969D01*
-X49304858Y-27340553D01*
-X49313481Y-27328927D01*
-X49323202Y-27318202D01*
-X49333927Y-27308481D01*
-X49345553Y-27299858D01*
-X49357969Y-27292417D01*
-X49371054Y-27286228D01*
-X49384683Y-27281351D01*
-X49398724Y-27277834D01*
-X49413042Y-27275710D01*
-X49427500Y-27275000D01*
-X49772500Y-27275000D01*
-X49786958Y-27275710D01*
-X49786958Y-27275710D01*
-G37*
-D13*
-X49600000Y-27570000D03*
-D11*
-G36*
-X50116958Y-28210710D02*
-G01*
-X50131276Y-28212834D01*
-X50145317Y-28216351D01*
-X50158946Y-28221228D01*
-X50172031Y-28227417D01*
-X50184447Y-28234858D01*
-X50196073Y-28243481D01*
-X50206798Y-28253202D01*
-X50216519Y-28263927D01*
-X50225142Y-28275553D01*
-X50232583Y-28287969D01*
-X50238772Y-28301054D01*
-X50243649Y-28314683D01*
-X50247166Y-28328724D01*
-X50249290Y-28343042D01*
-X50250000Y-28357500D01*
-X50250000Y-28652500D01*
-X50249290Y-28666958D01*
-X50247166Y-28681276D01*
-X50243649Y-28695317D01*
-X50238772Y-28708946D01*
-X50232583Y-28722031D01*
-X50225142Y-28734447D01*
-X50216519Y-28746073D01*
-X50206798Y-28756798D01*
-X50196073Y-28766519D01*
-X50184447Y-28775142D01*
-X50172031Y-28782583D01*
-X50158946Y-28788772D01*
-X50145317Y-28793649D01*
-X50131276Y-28797166D01*
-X50116958Y-28799290D01*
-X50102500Y-28800000D01*
-X49757500Y-28800000D01*
-X49743042Y-28799290D01*
-X49728724Y-28797166D01*
-X49714683Y-28793649D01*
-X49701054Y-28788772D01*
-X49687969Y-28782583D01*
-X49675553Y-28775142D01*
-X49663927Y-28766519D01*
-X49653202Y-28756798D01*
-X49643481Y-28746073D01*
-X49634858Y-28734447D01*
-X49627417Y-28722031D01*
-X49621228Y-28708946D01*
-X49616351Y-28695317D01*
-X49612834Y-28681276D01*
-X49610710Y-28666958D01*
-X49610000Y-28652500D01*
-X49610000Y-28357500D01*
-X49610710Y-28343042D01*
-X49612834Y-28328724D01*
-X49616351Y-28314683D01*
-X49621228Y-28301054D01*
-X49627417Y-28287969D01*
-X49634858Y-28275553D01*
-X49643481Y-28263927D01*
-X49653202Y-28253202D01*
-X49663927Y-28243481D01*
-X49675553Y-28234858D01*
-X49687969Y-28227417D01*
-X49701054Y-28221228D01*
-X49714683Y-28216351D01*
-X49728724Y-28212834D01*
-X49743042Y-28210710D01*
-X49757500Y-28210000D01*
-X50102500Y-28210000D01*
-X50116958Y-28210710D01*
-X50116958Y-28210710D01*
-G37*
-D13*
-X49930000Y-28505000D03*
-D11*
-G36*
-X50116958Y-29180710D02*
-G01*
-X50131276Y-29182834D01*
-X50145317Y-29186351D01*
-X50158946Y-29191228D01*
-X50172031Y-29197417D01*
-X50184447Y-29204858D01*
-X50196073Y-29213481D01*
-X50206798Y-29223202D01*
-X50216519Y-29233927D01*
-X50225142Y-29245553D01*
-X50232583Y-29257969D01*
-X50238772Y-29271054D01*
-X50243649Y-29284683D01*
-X50247166Y-29298724D01*
-X50249290Y-29313042D01*
-X50250000Y-29327500D01*
-X50250000Y-29622500D01*
-X50249290Y-29636958D01*
-X50247166Y-29651276D01*
-X50243649Y-29665317D01*
-X50238772Y-29678946D01*
-X50232583Y-29692031D01*
-X50225142Y-29704447D01*
-X50216519Y-29716073D01*
-X50206798Y-29726798D01*
-X50196073Y-29736519D01*
-X50184447Y-29745142D01*
-X50172031Y-29752583D01*
-X50158946Y-29758772D01*
-X50145317Y-29763649D01*
-X50131276Y-29767166D01*
-X50116958Y-29769290D01*
-X50102500Y-29770000D01*
-X49757500Y-29770000D01*
-X49743042Y-29769290D01*
-X49728724Y-29767166D01*
-X49714683Y-29763649D01*
-X49701054Y-29758772D01*
-X49687969Y-29752583D01*
-X49675553Y-29745142D01*
-X49663927Y-29736519D01*
-X49653202Y-29726798D01*
-X49643481Y-29716073D01*
-X49634858Y-29704447D01*
-X49627417Y-29692031D01*
-X49621228Y-29678946D01*
-X49616351Y-29665317D01*
-X49612834Y-29651276D01*
-X49610710Y-29636958D01*
-X49610000Y-29622500D01*
-X49610000Y-29327500D01*
-X49610710Y-29313042D01*
-X49612834Y-29298724D01*
-X49616351Y-29284683D01*
-X49621228Y-29271054D01*
-X49627417Y-29257969D01*
-X49634858Y-29245553D01*
-X49643481Y-29233927D01*
-X49653202Y-29223202D01*
-X49663927Y-29213481D01*
-X49675553Y-29204858D01*
-X49687969Y-29197417D01*
-X49701054Y-29191228D01*
-X49714683Y-29186351D01*
-X49728724Y-29182834D01*
-X49743042Y-29180710D01*
-X49757500Y-29180000D01*
-X50102500Y-29180000D01*
-X50116958Y-29180710D01*
-X50116958Y-29180710D01*
-G37*
-D13*
-X49930000Y-29475000D03*
-D11*
-G36*
-X48986958Y-32315710D02*
-G01*
-X49001276Y-32317834D01*
-X49015317Y-32321351D01*
-X49028946Y-32326228D01*
-X49042031Y-32332417D01*
-X49054447Y-32339858D01*
-X49066073Y-32348481D01*
-X49076798Y-32358202D01*
-X49086519Y-32368927D01*
-X49095142Y-32380553D01*
-X49102583Y-32392969D01*
-X49108772Y-32406054D01*
-X49113649Y-32419683D01*
-X49117166Y-32433724D01*
-X49119290Y-32448042D01*
-X49120000Y-32462500D01*
-X49120000Y-32757500D01*
-X49119290Y-32771958D01*
-X49117166Y-32786276D01*
-X49113649Y-32800317D01*
-X49108772Y-32813946D01*
-X49102583Y-32827031D01*
-X49095142Y-32839447D01*
-X49086519Y-32851073D01*
-X49076798Y-32861798D01*
-X49066073Y-32871519D01*
-X49054447Y-32880142D01*
-X49042031Y-32887583D01*
-X49028946Y-32893772D01*
-X49015317Y-32898649D01*
-X49001276Y-32902166D01*
-X48986958Y-32904290D01*
-X48972500Y-32905000D01*
-X48627500Y-32905000D01*
-X48613042Y-32904290D01*
-X48598724Y-32902166D01*
-X48584683Y-32898649D01*
-X48571054Y-32893772D01*
-X48557969Y-32887583D01*
-X48545553Y-32880142D01*
-X48533927Y-32871519D01*
-X48523202Y-32861798D01*
-X48513481Y-32851073D01*
-X48504858Y-32839447D01*
-X48497417Y-32827031D01*
-X48491228Y-32813946D01*
-X48486351Y-32800317D01*
-X48482834Y-32786276D01*
-X48480710Y-32771958D01*
-X48480000Y-32757500D01*
-X48480000Y-32462500D01*
-X48480710Y-32448042D01*
-X48482834Y-32433724D01*
-X48486351Y-32419683D01*
-X48491228Y-32406054D01*
-X48497417Y-32392969D01*
-X48504858Y-32380553D01*
-X48513481Y-32368927D01*
-X48523202Y-32358202D01*
-X48533927Y-32348481D01*
-X48545553Y-32339858D01*
-X48557969Y-32332417D01*
-X48571054Y-32326228D01*
-X48584683Y-32321351D01*
-X48598724Y-32317834D01*
-X48613042Y-32315710D01*
-X48627500Y-32315000D01*
-X48972500Y-32315000D01*
-X48986958Y-32315710D01*
-X48986958Y-32315710D01*
-G37*
-D13*
-X48800000Y-32610000D03*
-D11*
-G36*
-X48986958Y-33285710D02*
-G01*
-X49001276Y-33287834D01*
-X49015317Y-33291351D01*
-X49028946Y-33296228D01*
-X49042031Y-33302417D01*
-X49054447Y-33309858D01*
-X49066073Y-33318481D01*
-X49076798Y-33328202D01*
-X49086519Y-33338927D01*
-X49095142Y-33350553D01*
-X49102583Y-33362969D01*
-X49108772Y-33376054D01*
-X49113649Y-33389683D01*
-X49117166Y-33403724D01*
-X49119290Y-33418042D01*
-X49120000Y-33432500D01*
-X49120000Y-33727500D01*
-X49119290Y-33741958D01*
-X49117166Y-33756276D01*
-X49113649Y-33770317D01*
-X49108772Y-33783946D01*
-X49102583Y-33797031D01*
-X49095142Y-33809447D01*
-X49086519Y-33821073D01*
-X49076798Y-33831798D01*
-X49066073Y-33841519D01*
-X49054447Y-33850142D01*
-X49042031Y-33857583D01*
-X49028946Y-33863772D01*
-X49015317Y-33868649D01*
-X49001276Y-33872166D01*
-X48986958Y-33874290D01*
-X48972500Y-33875000D01*
-X48627500Y-33875000D01*
-X48613042Y-33874290D01*
-X48598724Y-33872166D01*
-X48584683Y-33868649D01*
-X48571054Y-33863772D01*
-X48557969Y-33857583D01*
-X48545553Y-33850142D01*
-X48533927Y-33841519D01*
-X48523202Y-33831798D01*
-X48513481Y-33821073D01*
-X48504858Y-33809447D01*
-X48497417Y-33797031D01*
-X48491228Y-33783946D01*
-X48486351Y-33770317D01*
-X48482834Y-33756276D01*
-X48480710Y-33741958D01*
-X48480000Y-33727500D01*
-X48480000Y-33432500D01*
-X48480710Y-33418042D01*
-X48482834Y-33403724D01*
-X48486351Y-33389683D01*
-X48491228Y-33376054D01*
-X48497417Y-33362969D01*
-X48504858Y-33350553D01*
-X48513481Y-33338927D01*
-X48523202Y-33328202D01*
-X48533927Y-33318481D01*
-X48545553Y-33309858D01*
-X48557969Y-33302417D01*
-X48571054Y-33296228D01*
-X48584683Y-33291351D01*
-X48598724Y-33287834D01*
-X48613042Y-33285710D01*
-X48627500Y-33285000D01*
-X48972500Y-33285000D01*
-X48986958Y-33285710D01*
-X48986958Y-33285710D01*
-G37*
-D13*
-X48800000Y-33580000D03*
-D11*
-G36*
-X29646958Y-31880710D02*
-G01*
-X29661276Y-31882834D01*
-X29675317Y-31886351D01*
-X29688946Y-31891228D01*
-X29702031Y-31897417D01*
-X29714447Y-31904858D01*
-X29726073Y-31913481D01*
-X29736798Y-31923202D01*
-X29746519Y-31933927D01*
-X29755142Y-31945553D01*
-X29762583Y-31957969D01*
-X29768772Y-31971054D01*
-X29773649Y-31984683D01*
-X29777166Y-31998724D01*
-X29779290Y-32013042D01*
-X29780000Y-32027500D01*
-X29780000Y-32372500D01*
-X29779290Y-32386958D01*
-X29777166Y-32401276D01*
-X29773649Y-32415317D01*
-X29768772Y-32428946D01*
-X29762583Y-32442031D01*
-X29755142Y-32454447D01*
-X29746519Y-32466073D01*
-X29736798Y-32476798D01*
-X29726073Y-32486519D01*
-X29714447Y-32495142D01*
-X29702031Y-32502583D01*
-X29688946Y-32508772D01*
-X29675317Y-32513649D01*
-X29661276Y-32517166D01*
-X29646958Y-32519290D01*
-X29632500Y-32520000D01*
-X29337500Y-32520000D01*
-X29323042Y-32519290D01*
-X29308724Y-32517166D01*
-X29294683Y-32513649D01*
-X29281054Y-32508772D01*
-X29267969Y-32502583D01*
-X29255553Y-32495142D01*
-X29243927Y-32486519D01*
-X29233202Y-32476798D01*
-X29223481Y-32466073D01*
-X29214858Y-32454447D01*
-X29207417Y-32442031D01*
-X29201228Y-32428946D01*
-X29196351Y-32415317D01*
-X29192834Y-32401276D01*
-X29190710Y-32386958D01*
-X29190000Y-32372500D01*
-X29190000Y-32027500D01*
-X29190710Y-32013042D01*
-X29192834Y-31998724D01*
-X29196351Y-31984683D01*
-X29201228Y-31971054D01*
-X29207417Y-31957969D01*
-X29214858Y-31945553D01*
-X29223481Y-31933927D01*
-X29233202Y-31923202D01*
-X29243927Y-31913481D01*
-X29255553Y-31904858D01*
-X29267969Y-31897417D01*
-X29281054Y-31891228D01*
-X29294683Y-31886351D01*
-X29308724Y-31882834D01*
-X29323042Y-31880710D01*
-X29337500Y-31880000D01*
-X29632500Y-31880000D01*
-X29646958Y-31880710D01*
-X29646958Y-31880710D01*
-G37*
-D13*
-X29485000Y-32200000D03*
-D11*
-G36*
-X28676958Y-31880710D02*
-G01*
-X28691276Y-31882834D01*
-X28705317Y-31886351D01*
-X28718946Y-31891228D01*
-X28732031Y-31897417D01*
-X28744447Y-31904858D01*
-X28756073Y-31913481D01*
-X28766798Y-31923202D01*
-X28776519Y-31933927D01*
-X28785142Y-31945553D01*
-X28792583Y-31957969D01*
-X28798772Y-31971054D01*
-X28803649Y-31984683D01*
-X28807166Y-31998724D01*
-X28809290Y-32013042D01*
-X28810000Y-32027500D01*
-X28810000Y-32372500D01*
-X28809290Y-32386958D01*
-X28807166Y-32401276D01*
-X28803649Y-32415317D01*
-X28798772Y-32428946D01*
-X28792583Y-32442031D01*
-X28785142Y-32454447D01*
-X28776519Y-32466073D01*
-X28766798Y-32476798D01*
-X28756073Y-32486519D01*
-X28744447Y-32495142D01*
-X28732031Y-32502583D01*
-X28718946Y-32508772D01*
-X28705317Y-32513649D01*
-X28691276Y-32517166D01*
-X28676958Y-32519290D01*
-X28662500Y-32520000D01*
-X28367500Y-32520000D01*
-X28353042Y-32519290D01*
-X28338724Y-32517166D01*
-X28324683Y-32513649D01*
-X28311054Y-32508772D01*
-X28297969Y-32502583D01*
-X28285553Y-32495142D01*
-X28273927Y-32486519D01*
-X28263202Y-32476798D01*
-X28253481Y-32466073D01*
-X28244858Y-32454447D01*
-X28237417Y-32442031D01*
-X28231228Y-32428946D01*
-X28226351Y-32415317D01*
-X28222834Y-32401276D01*
-X28220710Y-32386958D01*
-X28220000Y-32372500D01*
-X28220000Y-32027500D01*
-X28220710Y-32013042D01*
-X28222834Y-31998724D01*
-X28226351Y-31984683D01*
-X28231228Y-31971054D01*
-X28237417Y-31957969D01*
-X28244858Y-31945553D01*
-X28253481Y-31933927D01*
-X28263202Y-31923202D01*
-X28273927Y-31913481D01*
-X28285553Y-31904858D01*
-X28297969Y-31897417D01*
-X28311054Y-31891228D01*
-X28324683Y-31886351D01*
-X28338724Y-31882834D01*
-X28353042Y-31880710D01*
-X28367500Y-31880000D01*
-X28662500Y-31880000D01*
-X28676958Y-31880710D01*
-X28676958Y-31880710D01*
-G37*
-D13*
-X28515000Y-32200000D03*
-D11*
-G36*
-X29846958Y-24580710D02*
-G01*
-X29861276Y-24582834D01*
-X29875317Y-24586351D01*
-X29888946Y-24591228D01*
-X29902031Y-24597417D01*
-X29914447Y-24604858D01*
-X29926073Y-24613481D01*
-X29936798Y-24623202D01*
-X29946519Y-24633927D01*
-X29955142Y-24645553D01*
-X29962583Y-24657969D01*
-X29968772Y-24671054D01*
-X29973649Y-24684683D01*
-X29977166Y-24698724D01*
-X29979290Y-24713042D01*
-X29980000Y-24727500D01*
-X29980000Y-25072500D01*
-X29979290Y-25086958D01*
-X29977166Y-25101276D01*
-X29973649Y-25115317D01*
-X29968772Y-25128946D01*
-X29962583Y-25142031D01*
-X29955142Y-25154447D01*
-X29946519Y-25166073D01*
-X29936798Y-25176798D01*
-X29926073Y-25186519D01*
-X29914447Y-25195142D01*
-X29902031Y-25202583D01*
-X29888946Y-25208772D01*
-X29875317Y-25213649D01*
-X29861276Y-25217166D01*
-X29846958Y-25219290D01*
-X29832500Y-25220000D01*
-X29537500Y-25220000D01*
-X29523042Y-25219290D01*
-X29508724Y-25217166D01*
-X29494683Y-25213649D01*
-X29481054Y-25208772D01*
-X29467969Y-25202583D01*
-X29455553Y-25195142D01*
-X29443927Y-25186519D01*
-X29433202Y-25176798D01*
-X29423481Y-25166073D01*
-X29414858Y-25154447D01*
-X29407417Y-25142031D01*
-X29401228Y-25128946D01*
-X29396351Y-25115317D01*
-X29392834Y-25101276D01*
-X29390710Y-25086958D01*
-X29390000Y-25072500D01*
-X29390000Y-24727500D01*
-X29390710Y-24713042D01*
-X29392834Y-24698724D01*
-X29396351Y-24684683D01*
-X29401228Y-24671054D01*
-X29407417Y-24657969D01*
-X29414858Y-24645553D01*
-X29423481Y-24633927D01*
-X29433202Y-24623202D01*
-X29443927Y-24613481D01*
-X29455553Y-24604858D01*
-X29467969Y-24597417D01*
-X29481054Y-24591228D01*
-X29494683Y-24586351D01*
-X29508724Y-24582834D01*
-X29523042Y-24580710D01*
-X29537500Y-24580000D01*
-X29832500Y-24580000D01*
-X29846958Y-24580710D01*
-X29846958Y-24580710D01*
-G37*
-D13*
-X29685000Y-24900000D03*
-D11*
-G36*
-X28876958Y-24580710D02*
-G01*
-X28891276Y-24582834D01*
-X28905317Y-24586351D01*
-X28918946Y-24591228D01*
-X28932031Y-24597417D01*
-X28944447Y-24604858D01*
-X28956073Y-24613481D01*
-X28966798Y-24623202D01*
-X28976519Y-24633927D01*
-X28985142Y-24645553D01*
-X28992583Y-24657969D01*
-X28998772Y-24671054D01*
-X29003649Y-24684683D01*
-X29007166Y-24698724D01*
-X29009290Y-24713042D01*
-X29010000Y-24727500D01*
-X29010000Y-25072500D01*
-X29009290Y-25086958D01*
-X29007166Y-25101276D01*
-X29003649Y-25115317D01*
-X28998772Y-25128946D01*
-X28992583Y-25142031D01*
-X28985142Y-25154447D01*
-X28976519Y-25166073D01*
-X28966798Y-25176798D01*
-X28956073Y-25186519D01*
-X28944447Y-25195142D01*
-X28932031Y-25202583D01*
-X28918946Y-25208772D01*
-X28905317Y-25213649D01*
-X28891276Y-25217166D01*
-X28876958Y-25219290D01*
-X28862500Y-25220000D01*
-X28567500Y-25220000D01*
-X28553042Y-25219290D01*
-X28538724Y-25217166D01*
-X28524683Y-25213649D01*
-X28511054Y-25208772D01*
-X28497969Y-25202583D01*
-X28485553Y-25195142D01*
-X28473927Y-25186519D01*
-X28463202Y-25176798D01*
-X28453481Y-25166073D01*
-X28444858Y-25154447D01*
-X28437417Y-25142031D01*
-X28431228Y-25128946D01*
-X28426351Y-25115317D01*
-X28422834Y-25101276D01*
-X28420710Y-25086958D01*
-X28420000Y-25072500D01*
-X28420000Y-24727500D01*
-X28420710Y-24713042D01*
-X28422834Y-24698724D01*
-X28426351Y-24684683D01*
-X28431228Y-24671054D01*
-X28437417Y-24657969D01*
-X28444858Y-24645553D01*
-X28453481Y-24633927D01*
-X28463202Y-24623202D01*
-X28473927Y-24613481D01*
-X28485553Y-24604858D01*
-X28497969Y-24597417D01*
-X28511054Y-24591228D01*
-X28524683Y-24586351D01*
-X28538724Y-24582834D01*
-X28553042Y-24580710D01*
-X28567500Y-24580000D01*
-X28862500Y-24580000D01*
-X28876958Y-24580710D01*
-X28876958Y-24580710D01*
-G37*
-D13*
-X28715000Y-24900000D03*
-D11*
-G36*
-X28876958Y-25680710D02*
-G01*
-X28891276Y-25682834D01*
-X28905317Y-25686351D01*
-X28918946Y-25691228D01*
-X28932031Y-25697417D01*
-X28944447Y-25704858D01*
-X28956073Y-25713481D01*
-X28966798Y-25723202D01*
-X28976519Y-25733927D01*
-X28985142Y-25745553D01*
-X28992583Y-25757969D01*
-X28998772Y-25771054D01*
-X29003649Y-25784683D01*
-X29007166Y-25798724D01*
-X29009290Y-25813042D01*
-X29010000Y-25827500D01*
-X29010000Y-26172500D01*
-X29009290Y-26186958D01*
-X29007166Y-26201276D01*
-X29003649Y-26215317D01*
-X28998772Y-26228946D01*
-X28992583Y-26242031D01*
-X28985142Y-26254447D01*
-X28976519Y-26266073D01*
-X28966798Y-26276798D01*
-X28956073Y-26286519D01*
-X28944447Y-26295142D01*
-X28932031Y-26302583D01*
-X28918946Y-26308772D01*
-X28905317Y-26313649D01*
-X28891276Y-26317166D01*
-X28876958Y-26319290D01*
-X28862500Y-26320000D01*
-X28567500Y-26320000D01*
-X28553042Y-26319290D01*
-X28538724Y-26317166D01*
-X28524683Y-26313649D01*
-X28511054Y-26308772D01*
-X28497969Y-26302583D01*
-X28485553Y-26295142D01*
-X28473927Y-26286519D01*
-X28463202Y-26276798D01*
-X28453481Y-26266073D01*
-X28444858Y-26254447D01*
-X28437417Y-26242031D01*
-X28431228Y-26228946D01*
-X28426351Y-26215317D01*
-X28422834Y-26201276D01*
-X28420710Y-26186958D01*
-X28420000Y-26172500D01*
-X28420000Y-25827500D01*
-X28420710Y-25813042D01*
-X28422834Y-25798724D01*
-X28426351Y-25784683D01*
-X28431228Y-25771054D01*
-X28437417Y-25757969D01*
-X28444858Y-25745553D01*
-X28453481Y-25733927D01*
-X28463202Y-25723202D01*
-X28473927Y-25713481D01*
-X28485553Y-25704858D01*
-X28497969Y-25697417D01*
-X28511054Y-25691228D01*
-X28524683Y-25686351D01*
-X28538724Y-25682834D01*
-X28553042Y-25680710D01*
-X28567500Y-25680000D01*
-X28862500Y-25680000D01*
-X28876958Y-25680710D01*
-X28876958Y-25680710D01*
-G37*
-D13*
-X28715000Y-26000000D03*
-D11*
-G36*
-X29846958Y-25680710D02*
-G01*
-X29861276Y-25682834D01*
-X29875317Y-25686351D01*
-X29888946Y-25691228D01*
-X29902031Y-25697417D01*
-X29914447Y-25704858D01*
-X29926073Y-25713481D01*
-X29936798Y-25723202D01*
-X29946519Y-25733927D01*
-X29955142Y-25745553D01*
-X29962583Y-25757969D01*
-X29968772Y-25771054D01*
-X29973649Y-25784683D01*
-X29977166Y-25798724D01*
-X29979290Y-25813042D01*
-X29980000Y-25827500D01*
-X29980000Y-26172500D01*
-X29979290Y-26186958D01*
-X29977166Y-26201276D01*
-X29973649Y-26215317D01*
-X29968772Y-26228946D01*
-X29962583Y-26242031D01*
-X29955142Y-26254447D01*
-X29946519Y-26266073D01*
-X29936798Y-26276798D01*
-X29926073Y-26286519D01*
-X29914447Y-26295142D01*
-X29902031Y-26302583D01*
-X29888946Y-26308772D01*
-X29875317Y-26313649D01*
-X29861276Y-26317166D01*
-X29846958Y-26319290D01*
-X29832500Y-26320000D01*
-X29537500Y-26320000D01*
-X29523042Y-26319290D01*
-X29508724Y-26317166D01*
-X29494683Y-26313649D01*
-X29481054Y-26308772D01*
-X29467969Y-26302583D01*
-X29455553Y-26295142D01*
-X29443927Y-26286519D01*
-X29433202Y-26276798D01*
-X29423481Y-26266073D01*
-X29414858Y-26254447D01*
-X29407417Y-26242031D01*
-X29401228Y-26228946D01*
-X29396351Y-26215317D01*
-X29392834Y-26201276D01*
-X29390710Y-26186958D01*
-X29390000Y-26172500D01*
-X29390000Y-25827500D01*
-X29390710Y-25813042D01*
-X29392834Y-25798724D01*
-X29396351Y-25784683D01*
-X29401228Y-25771054D01*
-X29407417Y-25757969D01*
-X29414858Y-25745553D01*
-X29423481Y-25733927D01*
-X29433202Y-25723202D01*
-X29443927Y-25713481D01*
-X29455553Y-25704858D01*
-X29467969Y-25697417D01*
-X29481054Y-25691228D01*
-X29494683Y-25686351D01*
-X29508724Y-25682834D01*
-X29523042Y-25680710D01*
-X29537500Y-25680000D01*
-X29832500Y-25680000D01*
-X29846958Y-25680710D01*
-X29846958Y-25680710D01*
-G37*
-D13*
-X29685000Y-26000000D03*
-D11*
-G36*
-X28676958Y-30680710D02*
-G01*
-X28691276Y-30682834D01*
-X28705317Y-30686351D01*
-X28718946Y-30691228D01*
-X28732031Y-30697417D01*
-X28744447Y-30704858D01*
-X28756073Y-30713481D01*
-X28766798Y-30723202D01*
-X28776519Y-30733927D01*
-X28785142Y-30745553D01*
-X28792583Y-30757969D01*
-X28798772Y-30771054D01*
-X28803649Y-30784683D01*
-X28807166Y-30798724D01*
-X28809290Y-30813042D01*
-X28810000Y-30827500D01*
-X28810000Y-31172500D01*
-X28809290Y-31186958D01*
-X28807166Y-31201276D01*
-X28803649Y-31215317D01*
-X28798772Y-31228946D01*
-X28792583Y-31242031D01*
-X28785142Y-31254447D01*
-X28776519Y-31266073D01*
-X28766798Y-31276798D01*
-X28756073Y-31286519D01*
-X28744447Y-31295142D01*
-X28732031Y-31302583D01*
-X28718946Y-31308772D01*
-X28705317Y-31313649D01*
-X28691276Y-31317166D01*
-X28676958Y-31319290D01*
-X28662500Y-31320000D01*
-X28367500Y-31320000D01*
-X28353042Y-31319290D01*
-X28338724Y-31317166D01*
-X28324683Y-31313649D01*
-X28311054Y-31308772D01*
-X28297969Y-31302583D01*
-X28285553Y-31295142D01*
-X28273927Y-31286519D01*
-X28263202Y-31276798D01*
-X28253481Y-31266073D01*
-X28244858Y-31254447D01*
-X28237417Y-31242031D01*
-X28231228Y-31228946D01*
-X28226351Y-31215317D01*
-X28222834Y-31201276D01*
-X28220710Y-31186958D01*
-X28220000Y-31172500D01*
-X28220000Y-30827500D01*
-X28220710Y-30813042D01*
-X28222834Y-30798724D01*
-X28226351Y-30784683D01*
-X28231228Y-30771054D01*
-X28237417Y-30757969D01*
-X28244858Y-30745553D01*
-X28253481Y-30733927D01*
-X28263202Y-30723202D01*
-X28273927Y-30713481D01*
-X28285553Y-30704858D01*
-X28297969Y-30697417D01*
-X28311054Y-30691228D01*
-X28324683Y-30686351D01*
-X28338724Y-30682834D01*
-X28353042Y-30680710D01*
-X28367500Y-30680000D01*
-X28662500Y-30680000D01*
-X28676958Y-30680710D01*
-X28676958Y-30680710D01*
-G37*
-D13*
-X28515000Y-31000000D03*
-D11*
-G36*
-X29646958Y-30680710D02*
-G01*
-X29661276Y-30682834D01*
-X29675317Y-30686351D01*
-X29688946Y-30691228D01*
-X29702031Y-30697417D01*
-X29714447Y-30704858D01*
-X29726073Y-30713481D01*
-X29736798Y-30723202D01*
-X29746519Y-30733927D01*
-X29755142Y-30745553D01*
-X29762583Y-30757969D01*
-X29768772Y-30771054D01*
-X29773649Y-30784683D01*
-X29777166Y-30798724D01*
-X29779290Y-30813042D01*
-X29780000Y-30827500D01*
-X29780000Y-31172500D01*
-X29779290Y-31186958D01*
-X29777166Y-31201276D01*
-X29773649Y-31215317D01*
-X29768772Y-31228946D01*
-X29762583Y-31242031D01*
-X29755142Y-31254447D01*
-X29746519Y-31266073D01*
-X29736798Y-31276798D01*
-X29726073Y-31286519D01*
-X29714447Y-31295142D01*
-X29702031Y-31302583D01*
-X29688946Y-31308772D01*
-X29675317Y-31313649D01*
-X29661276Y-31317166D01*
-X29646958Y-31319290D01*
-X29632500Y-31320000D01*
-X29337500Y-31320000D01*
-X29323042Y-31319290D01*
-X29308724Y-31317166D01*
-X29294683Y-31313649D01*
-X29281054Y-31308772D01*
-X29267969Y-31302583D01*
-X29255553Y-31295142D01*
-X29243927Y-31286519D01*
-X29233202Y-31276798D01*
-X29223481Y-31266073D01*
-X29214858Y-31254447D01*
-X29207417Y-31242031D01*
-X29201228Y-31228946D01*
-X29196351Y-31215317D01*
-X29192834Y-31201276D01*
-X29190710Y-31186958D01*
-X29190000Y-31172500D01*
-X29190000Y-30827500D01*
-X29190710Y-30813042D01*
-X29192834Y-30798724D01*
-X29196351Y-30784683D01*
-X29201228Y-30771054D01*
-X29207417Y-30757969D01*
-X29214858Y-30745553D01*
-X29223481Y-30733927D01*
-X29233202Y-30723202D01*
-X29243927Y-30713481D01*
-X29255553Y-30704858D01*
-X29267969Y-30697417D01*
-X29281054Y-30691228D01*
-X29294683Y-30686351D01*
-X29308724Y-30682834D01*
-X29323042Y-30680710D01*
-X29337500Y-30680000D01*
-X29632500Y-30680000D01*
-X29646958Y-30680710D01*
-X29646958Y-30680710D01*
-G37*
-D13*
-X29485000Y-31000000D03*
-D11*
-G36*
-X33018626Y-29125301D02*
-G01*
-X33024693Y-29126201D01*
-X33030643Y-29127691D01*
-X33036418Y-29129758D01*
-X33041962Y-29132380D01*
-X33047223Y-29135533D01*
-X33052150Y-29139187D01*
-X33056694Y-29143306D01*
-X33060813Y-29147850D01*
-X33064467Y-29152777D01*
-X33067620Y-29158038D01*
-X33070242Y-29163582D01*
-X33072309Y-29169357D01*
-X33073799Y-29175307D01*
-X33074699Y-29181374D01*
-X33075000Y-29187500D01*
-X33075000Y-29312500D01*
-X33074699Y-29318626D01*
-X33073799Y-29324693D01*
-X33072309Y-29330643D01*
-X33070242Y-29336418D01*
-X33067620Y-29341962D01*
-X33064467Y-29347223D01*
-X33060813Y-29352150D01*
-X33056694Y-29356694D01*
-X33052150Y-29360813D01*
-X33047223Y-29364467D01*
-X33041962Y-29367620D01*
-X33036418Y-29370242D01*
-X33030643Y-29372309D01*
-X33024693Y-29373799D01*
-X33018626Y-29374699D01*
-X33012500Y-29375000D01*
-X32462500Y-29375000D01*
-X32456374Y-29374699D01*
-X32450307Y-29373799D01*
-X32444357Y-29372309D01*
-X32438582Y-29370242D01*
-X32433038Y-29367620D01*
-X32427777Y-29364467D01*
-X32422850Y-29360813D01*
-X32418306Y-29356694D01*
-X32414187Y-29352150D01*
-X32410533Y-29347223D01*
-X32407380Y-29341962D01*
-X32404758Y-29336418D01*
-X32402691Y-29330643D01*
-X32401201Y-29324693D01*
-X32400301Y-29318626D01*
-X32400000Y-29312500D01*
-X32400000Y-29187500D01*
-X32400301Y-29181374D01*
-X32401201Y-29175307D01*
-X32402691Y-29169357D01*
-X32404758Y-29163582D01*
-X32407380Y-29158038D01*
-X32410533Y-29152777D01*
-X32414187Y-29147850D01*
-X32418306Y-29143306D01*
-X32422850Y-29139187D01*
-X32427777Y-29135533D01*
-X32433038Y-29132380D01*
-X32438582Y-29129758D01*
-X32444357Y-29127691D01*
-X32450307Y-29126201D01*
-X32456374Y-29125301D01*
-X32462500Y-29125000D01*
-X33012500Y-29125000D01*
-X33018626Y-29125301D01*
-X33018626Y-29125301D01*
-G37*
-D18*
-X32737500Y-29250000D03*
-D11*
-G36*
-X33018626Y-28625301D02*
-G01*
-X33024693Y-28626201D01*
-X33030643Y-28627691D01*
-X33036418Y-28629758D01*
-X33041962Y-28632380D01*
-X33047223Y-28635533D01*
-X33052150Y-28639187D01*
-X33056694Y-28643306D01*
-X33060813Y-28647850D01*
-X33064467Y-28652777D01*
-X33067620Y-28658038D01*
-X33070242Y-28663582D01*
-X33072309Y-28669357D01*
-X33073799Y-28675307D01*
-X33074699Y-28681374D01*
-X33075000Y-28687500D01*
-X33075000Y-28812500D01*
-X33074699Y-28818626D01*
-X33073799Y-28824693D01*
-X33072309Y-28830643D01*
-X33070242Y-28836418D01*
-X33067620Y-28841962D01*
-X33064467Y-28847223D01*
-X33060813Y-28852150D01*
-X33056694Y-28856694D01*
-X33052150Y-28860813D01*
-X33047223Y-28864467D01*
-X33041962Y-28867620D01*
-X33036418Y-28870242D01*
-X33030643Y-28872309D01*
-X33024693Y-28873799D01*
-X33018626Y-28874699D01*
-X33012500Y-28875000D01*
-X32462500Y-28875000D01*
-X32456374Y-28874699D01*
-X32450307Y-28873799D01*
-X32444357Y-28872309D01*
-X32438582Y-28870242D01*
-X32433038Y-28867620D01*
-X32427777Y-28864467D01*
-X32422850Y-28860813D01*
-X32418306Y-28856694D01*
-X32414187Y-28852150D01*
-X32410533Y-28847223D01*
-X32407380Y-28841962D01*
-X32404758Y-28836418D01*
-X32402691Y-28830643D01*
-X32401201Y-28824693D01*
-X32400301Y-28818626D01*
-X32400000Y-28812500D01*
-X32400000Y-28687500D01*
-X32400301Y-28681374D01*
-X32401201Y-28675307D01*
-X32402691Y-28669357D01*
-X32404758Y-28663582D01*
-X32407380Y-28658038D01*
-X32410533Y-28652777D01*
-X32414187Y-28647850D01*
-X32418306Y-28643306D01*
-X32422850Y-28639187D01*
-X32427777Y-28635533D01*
-X32433038Y-28632380D01*
-X32438582Y-28629758D01*
-X32444357Y-28627691D01*
-X32450307Y-28626201D01*
-X32456374Y-28625301D01*
-X32462500Y-28625000D01*
-X33012500Y-28625000D01*
-X33018626Y-28625301D01*
-X33018626Y-28625301D01*
-G37*
-D18*
-X32737500Y-28750000D03*
-D11*
-G36*
-X33018626Y-28125301D02*
-G01*
-X33024693Y-28126201D01*
-X33030643Y-28127691D01*
-X33036418Y-28129758D01*
-X33041962Y-28132380D01*
-X33047223Y-28135533D01*
-X33052150Y-28139187D01*
-X33056694Y-28143306D01*
-X33060813Y-28147850D01*
-X33064467Y-28152777D01*
-X33067620Y-28158038D01*
-X33070242Y-28163582D01*
-X33072309Y-28169357D01*
-X33073799Y-28175307D01*
-X33074699Y-28181374D01*
-X33075000Y-28187500D01*
-X33075000Y-28312500D01*
-X33074699Y-28318626D01*
-X33073799Y-28324693D01*
-X33072309Y-28330643D01*
-X33070242Y-28336418D01*
-X33067620Y-28341962D01*
-X33064467Y-28347223D01*
-X33060813Y-28352150D01*
-X33056694Y-28356694D01*
-X33052150Y-28360813D01*
-X33047223Y-28364467D01*
-X33041962Y-28367620D01*
-X33036418Y-28370242D01*
-X33030643Y-28372309D01*
-X33024693Y-28373799D01*
-X33018626Y-28374699D01*
-X33012500Y-28375000D01*
-X32462500Y-28375000D01*
-X32456374Y-28374699D01*
-X32450307Y-28373799D01*
-X32444357Y-28372309D01*
-X32438582Y-28370242D01*
-X32433038Y-28367620D01*
-X32427777Y-28364467D01*
-X32422850Y-28360813D01*
-X32418306Y-28356694D01*
-X32414187Y-28352150D01*
-X32410533Y-28347223D01*
-X32407380Y-28341962D01*
-X32404758Y-28336418D01*
-X32402691Y-28330643D01*
-X32401201Y-28324693D01*
-X32400301Y-28318626D01*
-X32400000Y-28312500D01*
-X32400000Y-28187500D01*
-X32400301Y-28181374D01*
-X32401201Y-28175307D01*
-X32402691Y-28169357D01*
-X32404758Y-28163582D01*
-X32407380Y-28158038D01*
-X32410533Y-28152777D01*
-X32414187Y-28147850D01*
-X32418306Y-28143306D01*
-X32422850Y-28139187D01*
-X32427777Y-28135533D01*
-X32433038Y-28132380D01*
-X32438582Y-28129758D01*
-X32444357Y-28127691D01*
-X32450307Y-28126201D01*
-X32456374Y-28125301D01*
-X32462500Y-28125000D01*
-X33012500Y-28125000D01*
-X33018626Y-28125301D01*
-X33018626Y-28125301D01*
-G37*
-D18*
-X32737500Y-28250000D03*
-D11*
-G36*
-X33018626Y-27625301D02*
-G01*
-X33024693Y-27626201D01*
-X33030643Y-27627691D01*
-X33036418Y-27629758D01*
-X33041962Y-27632380D01*
-X33047223Y-27635533D01*
-X33052150Y-27639187D01*
-X33056694Y-27643306D01*
-X33060813Y-27647850D01*
-X33064467Y-27652777D01*
-X33067620Y-27658038D01*
-X33070242Y-27663582D01*
-X33072309Y-27669357D01*
-X33073799Y-27675307D01*
-X33074699Y-27681374D01*
-X33075000Y-27687500D01*
-X33075000Y-27812500D01*
-X33074699Y-27818626D01*
-X33073799Y-27824693D01*
-X33072309Y-27830643D01*
-X33070242Y-27836418D01*
-X33067620Y-27841962D01*
-X33064467Y-27847223D01*
-X33060813Y-27852150D01*
-X33056694Y-27856694D01*
-X33052150Y-27860813D01*
-X33047223Y-27864467D01*
-X33041962Y-27867620D01*
-X33036418Y-27870242D01*
-X33030643Y-27872309D01*
-X33024693Y-27873799D01*
-X33018626Y-27874699D01*
-X33012500Y-27875000D01*
-X32462500Y-27875000D01*
-X32456374Y-27874699D01*
-X32450307Y-27873799D01*
-X32444357Y-27872309D01*
-X32438582Y-27870242D01*
-X32433038Y-27867620D01*
-X32427777Y-27864467D01*
-X32422850Y-27860813D01*
-X32418306Y-27856694D01*
-X32414187Y-27852150D01*
-X32410533Y-27847223D01*
-X32407380Y-27841962D01*
-X32404758Y-27836418D01*
-X32402691Y-27830643D01*
-X32401201Y-27824693D01*
-X32400301Y-27818626D01*
-X32400000Y-27812500D01*
-X32400000Y-27687500D01*
-X32400301Y-27681374D01*
-X32401201Y-27675307D01*
-X32402691Y-27669357D01*
-X32404758Y-27663582D01*
-X32407380Y-27658038D01*
-X32410533Y-27652777D01*
-X32414187Y-27647850D01*
-X32418306Y-27643306D01*
-X32422850Y-27639187D01*
-X32427777Y-27635533D01*
-X32433038Y-27632380D01*
-X32438582Y-27629758D01*
-X32444357Y-27627691D01*
-X32450307Y-27626201D01*
-X32456374Y-27625301D01*
-X32462500Y-27625000D01*
-X33012500Y-27625000D01*
-X33018626Y-27625301D01*
-X33018626Y-27625301D01*
-G37*
-D18*
-X32737500Y-27750000D03*
-D11*
-G36*
-X33568626Y-26900301D02*
-G01*
-X33574693Y-26901201D01*
-X33580643Y-26902691D01*
-X33586418Y-26904758D01*
-X33591962Y-26907380D01*
-X33597223Y-26910533D01*
-X33602150Y-26914187D01*
-X33606694Y-26918306D01*
-X33610813Y-26922850D01*
-X33614467Y-26927777D01*
-X33617620Y-26933038D01*
-X33620242Y-26938582D01*
-X33622309Y-26944357D01*
-X33623799Y-26950307D01*
-X33624699Y-26956374D01*
-X33625000Y-26962500D01*
-X33625000Y-27512500D01*
-X33624699Y-27518626D01*
-X33623799Y-27524693D01*
-X33622309Y-27530643D01*
-X33620242Y-27536418D01*
-X33617620Y-27541962D01*
-X33614467Y-27547223D01*
-X33610813Y-27552150D01*
-X33606694Y-27556694D01*
-X33602150Y-27560813D01*
-X33597223Y-27564467D01*
-X33591962Y-27567620D01*
-X33586418Y-27570242D01*
-X33580643Y-27572309D01*
-X33574693Y-27573799D01*
-X33568626Y-27574699D01*
-X33562500Y-27575000D01*
-X33437500Y-27575000D01*
-X33431374Y-27574699D01*
-X33425307Y-27573799D01*
-X33419357Y-27572309D01*
-X33413582Y-27570242D01*
-X33408038Y-27567620D01*
-X33402777Y-27564467D01*
-X33397850Y-27560813D01*
-X33393306Y-27556694D01*
-X33389187Y-27552150D01*
-X33385533Y-27547223D01*
-X33382380Y-27541962D01*
-X33379758Y-27536418D01*
-X33377691Y-27530643D01*
-X33376201Y-27524693D01*
-X33375301Y-27518626D01*
-X33375000Y-27512500D01*
-X33375000Y-26962500D01*
-X33375301Y-26956374D01*
-X33376201Y-26950307D01*
-X33377691Y-26944357D01*
-X33379758Y-26938582D01*
-X33382380Y-26933038D01*
-X33385533Y-26927777D01*
-X33389187Y-26922850D01*
-X33393306Y-26918306D01*
-X33397850Y-26914187D01*
-X33402777Y-26910533D01*
-X33408038Y-26907380D01*
-X33413582Y-26904758D01*
-X33419357Y-26902691D01*
-X33425307Y-26901201D01*
-X33431374Y-26900301D01*
-X33437500Y-26900000D01*
-X33562500Y-26900000D01*
-X33568626Y-26900301D01*
-X33568626Y-26900301D01*
-G37*
-D18*
-X33500000Y-27237500D03*
-D11*
-G36*
-X34068626Y-26900301D02*
-G01*
-X34074693Y-26901201D01*
-X34080643Y-26902691D01*
-X34086418Y-26904758D01*
-X34091962Y-26907380D01*
-X34097223Y-26910533D01*
-X34102150Y-26914187D01*
-X34106694Y-26918306D01*
-X34110813Y-26922850D01*
-X34114467Y-26927777D01*
-X34117620Y-26933038D01*
-X34120242Y-26938582D01*
-X34122309Y-26944357D01*
-X34123799Y-26950307D01*
-X34124699Y-26956374D01*
-X34125000Y-26962500D01*
-X34125000Y-27512500D01*
-X34124699Y-27518626D01*
-X34123799Y-27524693D01*
-X34122309Y-27530643D01*
-X34120242Y-27536418D01*
-X34117620Y-27541962D01*
-X34114467Y-27547223D01*
-X34110813Y-27552150D01*
-X34106694Y-27556694D01*
-X34102150Y-27560813D01*
-X34097223Y-27564467D01*
-X34091962Y-27567620D01*
-X34086418Y-27570242D01*
-X34080643Y-27572309D01*
-X34074693Y-27573799D01*
-X34068626Y-27574699D01*
-X34062500Y-27575000D01*
-X33937500Y-27575000D01*
-X33931374Y-27574699D01*
-X33925307Y-27573799D01*
-X33919357Y-27572309D01*
-X33913582Y-27570242D01*
-X33908038Y-27567620D01*
-X33902777Y-27564467D01*
-X33897850Y-27560813D01*
-X33893306Y-27556694D01*
-X33889187Y-27552150D01*
-X33885533Y-27547223D01*
-X33882380Y-27541962D01*
-X33879758Y-27536418D01*
-X33877691Y-27530643D01*
-X33876201Y-27524693D01*
-X33875301Y-27518626D01*
-X33875000Y-27512500D01*
-X33875000Y-26962500D01*
-X33875301Y-26956374D01*
-X33876201Y-26950307D01*
-X33877691Y-26944357D01*
-X33879758Y-26938582D01*
-X33882380Y-26933038D01*
-X33885533Y-26927777D01*
-X33889187Y-26922850D01*
-X33893306Y-26918306D01*
-X33897850Y-26914187D01*
-X33902777Y-26910533D01*
-X33908038Y-26907380D01*
-X33913582Y-26904758D01*
-X33919357Y-26902691D01*
-X33925307Y-26901201D01*
-X33931374Y-26900301D01*
-X33937500Y-26900000D01*
-X34062500Y-26900000D01*
-X34068626Y-26900301D01*
-X34068626Y-26900301D01*
-G37*
-D18*
-X34000000Y-27237500D03*
-D11*
-G36*
-X34568626Y-26900301D02*
-G01*
-X34574693Y-26901201D01*
-X34580643Y-26902691D01*
-X34586418Y-26904758D01*
-X34591962Y-26907380D01*
-X34597223Y-26910533D01*
-X34602150Y-26914187D01*
-X34606694Y-26918306D01*
-X34610813Y-26922850D01*
-X34614467Y-26927777D01*
-X34617620Y-26933038D01*
-X34620242Y-26938582D01*
-X34622309Y-26944357D01*
-X34623799Y-26950307D01*
-X34624699Y-26956374D01*
-X34625000Y-26962500D01*
-X34625000Y-27512500D01*
-X34624699Y-27518626D01*
-X34623799Y-27524693D01*
-X34622309Y-27530643D01*
-X34620242Y-27536418D01*
-X34617620Y-27541962D01*
-X34614467Y-27547223D01*
-X34610813Y-27552150D01*
-X34606694Y-27556694D01*
-X34602150Y-27560813D01*
-X34597223Y-27564467D01*
-X34591962Y-27567620D01*
-X34586418Y-27570242D01*
-X34580643Y-27572309D01*
-X34574693Y-27573799D01*
-X34568626Y-27574699D01*
-X34562500Y-27575000D01*
-X34437500Y-27575000D01*
-X34431374Y-27574699D01*
-X34425307Y-27573799D01*
-X34419357Y-27572309D01*
-X34413582Y-27570242D01*
-X34408038Y-27567620D01*
-X34402777Y-27564467D01*
-X34397850Y-27560813D01*
-X34393306Y-27556694D01*
-X34389187Y-27552150D01*
-X34385533Y-27547223D01*
-X34382380Y-27541962D01*
-X34379758Y-27536418D01*
-X34377691Y-27530643D01*
-X34376201Y-27524693D01*
-X34375301Y-27518626D01*
-X34375000Y-27512500D01*
-X34375000Y-26962500D01*
-X34375301Y-26956374D01*
-X34376201Y-26950307D01*
-X34377691Y-26944357D01*
-X34379758Y-26938582D01*
-X34382380Y-26933038D01*
-X34385533Y-26927777D01*
-X34389187Y-26922850D01*
-X34393306Y-26918306D01*
-X34397850Y-26914187D01*
-X34402777Y-26910533D01*
-X34408038Y-26907380D01*
-X34413582Y-26904758D01*
-X34419357Y-26902691D01*
-X34425307Y-26901201D01*
-X34431374Y-26900301D01*
-X34437500Y-26900000D01*
-X34562500Y-26900000D01*
-X34568626Y-26900301D01*
-X34568626Y-26900301D01*
-G37*
-D18*
-X34500000Y-27237500D03*
-D11*
-G36*
-X35543626Y-27625301D02*
-G01*
-X35549693Y-27626201D01*
-X35555643Y-27627691D01*
-X35561418Y-27629758D01*
-X35566962Y-27632380D01*
-X35572223Y-27635533D01*
-X35577150Y-27639187D01*
-X35581694Y-27643306D01*
-X35585813Y-27647850D01*
-X35589467Y-27652777D01*
-X35592620Y-27658038D01*
-X35595242Y-27663582D01*
-X35597309Y-27669357D01*
-X35598799Y-27675307D01*
-X35599699Y-27681374D01*
-X35600000Y-27687500D01*
-X35600000Y-27812500D01*
-X35599699Y-27818626D01*
-X35598799Y-27824693D01*
-X35597309Y-27830643D01*
-X35595242Y-27836418D01*
-X35592620Y-27841962D01*
-X35589467Y-27847223D01*
-X35585813Y-27852150D01*
-X35581694Y-27856694D01*
-X35577150Y-27860813D01*
-X35572223Y-27864467D01*
-X35566962Y-27867620D01*
-X35561418Y-27870242D01*
-X35555643Y-27872309D01*
-X35549693Y-27873799D01*
-X35543626Y-27874699D01*
-X35537500Y-27875000D01*
-X34987500Y-27875000D01*
-X34981374Y-27874699D01*
-X34975307Y-27873799D01*
-X34969357Y-27872309D01*
-X34963582Y-27870242D01*
-X34958038Y-27867620D01*
-X34952777Y-27864467D01*
-X34947850Y-27860813D01*
-X34943306Y-27856694D01*
-X34939187Y-27852150D01*
-X34935533Y-27847223D01*
-X34932380Y-27841962D01*
-X34929758Y-27836418D01*
-X34927691Y-27830643D01*
-X34926201Y-27824693D01*
-X34925301Y-27818626D01*
-X34925000Y-27812500D01*
-X34925000Y-27687500D01*
-X34925301Y-27681374D01*
-X34926201Y-27675307D01*
-X34927691Y-27669357D01*
-X34929758Y-27663582D01*
-X34932380Y-27658038D01*
-X34935533Y-27652777D01*
-X34939187Y-27647850D01*
-X34943306Y-27643306D01*
-X34947850Y-27639187D01*
-X34952777Y-27635533D01*
-X34958038Y-27632380D01*
-X34963582Y-27629758D01*
-X34969357Y-27627691D01*
-X34975307Y-27626201D01*
-X34981374Y-27625301D01*
-X34987500Y-27625000D01*
-X35537500Y-27625000D01*
-X35543626Y-27625301D01*
-X35543626Y-27625301D01*
-G37*
-D18*
-X35262500Y-27750000D03*
-D11*
-G36*
-X35543626Y-28125301D02*
-G01*
-X35549693Y-28126201D01*
-X35555643Y-28127691D01*
-X35561418Y-28129758D01*
-X35566962Y-28132380D01*
-X35572223Y-28135533D01*
-X35577150Y-28139187D01*
-X35581694Y-28143306D01*
-X35585813Y-28147850D01*
-X35589467Y-28152777D01*
-X35592620Y-28158038D01*
-X35595242Y-28163582D01*
-X35597309Y-28169357D01*
-X35598799Y-28175307D01*
-X35599699Y-28181374D01*
-X35600000Y-28187500D01*
-X35600000Y-28312500D01*
-X35599699Y-28318626D01*
-X35598799Y-28324693D01*
-X35597309Y-28330643D01*
-X35595242Y-28336418D01*
-X35592620Y-28341962D01*
-X35589467Y-28347223D01*
-X35585813Y-28352150D01*
-X35581694Y-28356694D01*
-X35577150Y-28360813D01*
-X35572223Y-28364467D01*
-X35566962Y-28367620D01*
-X35561418Y-28370242D01*
-X35555643Y-28372309D01*
-X35549693Y-28373799D01*
-X35543626Y-28374699D01*
-X35537500Y-28375000D01*
-X34987500Y-28375000D01*
-X34981374Y-28374699D01*
-X34975307Y-28373799D01*
-X34969357Y-28372309D01*
-X34963582Y-28370242D01*
-X34958038Y-28367620D01*
-X34952777Y-28364467D01*
-X34947850Y-28360813D01*
-X34943306Y-28356694D01*
-X34939187Y-28352150D01*
-X34935533Y-28347223D01*
-X34932380Y-28341962D01*
-X34929758Y-28336418D01*
-X34927691Y-28330643D01*
-X34926201Y-28324693D01*
-X34925301Y-28318626D01*
-X34925000Y-28312500D01*
-X34925000Y-28187500D01*
-X34925301Y-28181374D01*
-X34926201Y-28175307D01*
-X34927691Y-28169357D01*
-X34929758Y-28163582D01*
-X34932380Y-28158038D01*
-X34935533Y-28152777D01*
-X34939187Y-28147850D01*
-X34943306Y-28143306D01*
-X34947850Y-28139187D01*
-X34952777Y-28135533D01*
-X34958038Y-28132380D01*
-X34963582Y-28129758D01*
-X34969357Y-28127691D01*
-X34975307Y-28126201D01*
-X34981374Y-28125301D01*
-X34987500Y-28125000D01*
-X35537500Y-28125000D01*
-X35543626Y-28125301D01*
-X35543626Y-28125301D01*
-G37*
-D18*
-X35262500Y-28250000D03*
-D11*
-G36*
-X35543626Y-28625301D02*
-G01*
-X35549693Y-28626201D01*
-X35555643Y-28627691D01*
-X35561418Y-28629758D01*
-X35566962Y-28632380D01*
-X35572223Y-28635533D01*
-X35577150Y-28639187D01*
-X35581694Y-28643306D01*
-X35585813Y-28647850D01*
-X35589467Y-28652777D01*
-X35592620Y-28658038D01*
-X35595242Y-28663582D01*
-X35597309Y-28669357D01*
-X35598799Y-28675307D01*
-X35599699Y-28681374D01*
-X35600000Y-28687500D01*
-X35600000Y-28812500D01*
-X35599699Y-28818626D01*
-X35598799Y-28824693D01*
-X35597309Y-28830643D01*
-X35595242Y-28836418D01*
-X35592620Y-28841962D01*
-X35589467Y-28847223D01*
-X35585813Y-28852150D01*
-X35581694Y-28856694D01*
-X35577150Y-28860813D01*
-X35572223Y-28864467D01*
-X35566962Y-28867620D01*
-X35561418Y-28870242D01*
-X35555643Y-28872309D01*
-X35549693Y-28873799D01*
-X35543626Y-28874699D01*
-X35537500Y-28875000D01*
-X34987500Y-28875000D01*
-X34981374Y-28874699D01*
-X34975307Y-28873799D01*
-X34969357Y-28872309D01*
-X34963582Y-28870242D01*
-X34958038Y-28867620D01*
-X34952777Y-28864467D01*
-X34947850Y-28860813D01*
-X34943306Y-28856694D01*
-X34939187Y-28852150D01*
-X34935533Y-28847223D01*
-X34932380Y-28841962D01*
-X34929758Y-28836418D01*
-X34927691Y-28830643D01*
-X34926201Y-28824693D01*
-X34925301Y-28818626D01*
-X34925000Y-28812500D01*
-X34925000Y-28687500D01*
-X34925301Y-28681374D01*
-X34926201Y-28675307D01*
-X34927691Y-28669357D01*
-X34929758Y-28663582D01*
-X34932380Y-28658038D01*
-X34935533Y-28652777D01*
-X34939187Y-28647850D01*
-X34943306Y-28643306D01*
-X34947850Y-28639187D01*
-X34952777Y-28635533D01*
-X34958038Y-28632380D01*
-X34963582Y-28629758D01*
-X34969357Y-28627691D01*
-X34975307Y-28626201D01*
-X34981374Y-28625301D01*
-X34987500Y-28625000D01*
-X35537500Y-28625000D01*
-X35543626Y-28625301D01*
-X35543626Y-28625301D01*
-G37*
-D18*
-X35262500Y-28750000D03*
-D11*
-G36*
-X35543626Y-29125301D02*
-G01*
-X35549693Y-29126201D01*
-X35555643Y-29127691D01*
-X35561418Y-29129758D01*
-X35566962Y-29132380D01*
-X35572223Y-29135533D01*
-X35577150Y-29139187D01*
-X35581694Y-29143306D01*
-X35585813Y-29147850D01*
-X35589467Y-29152777D01*
-X35592620Y-29158038D01*
-X35595242Y-29163582D01*
-X35597309Y-29169357D01*
-X35598799Y-29175307D01*
-X35599699Y-29181374D01*
-X35600000Y-29187500D01*
-X35600000Y-29312500D01*
-X35599699Y-29318626D01*
-X35598799Y-29324693D01*
-X35597309Y-29330643D01*
-X35595242Y-29336418D01*
-X35592620Y-29341962D01*
-X35589467Y-29347223D01*
-X35585813Y-29352150D01*
-X35581694Y-29356694D01*
-X35577150Y-29360813D01*
-X35572223Y-29364467D01*
-X35566962Y-29367620D01*
-X35561418Y-29370242D01*
-X35555643Y-29372309D01*
-X35549693Y-29373799D01*
-X35543626Y-29374699D01*
-X35537500Y-29375000D01*
-X34987500Y-29375000D01*
-X34981374Y-29374699D01*
-X34975307Y-29373799D01*
-X34969357Y-29372309D01*
-X34963582Y-29370242D01*
-X34958038Y-29367620D01*
-X34952777Y-29364467D01*
-X34947850Y-29360813D01*
-X34943306Y-29356694D01*
-X34939187Y-29352150D01*
-X34935533Y-29347223D01*
-X34932380Y-29341962D01*
-X34929758Y-29336418D01*
-X34927691Y-29330643D01*
-X34926201Y-29324693D01*
-X34925301Y-29318626D01*
-X34925000Y-29312500D01*
-X34925000Y-29187500D01*
-X34925301Y-29181374D01*
-X34926201Y-29175307D01*
-X34927691Y-29169357D01*
-X34929758Y-29163582D01*
-X34932380Y-29158038D01*
-X34935533Y-29152777D01*
-X34939187Y-29147850D01*
-X34943306Y-29143306D01*
-X34947850Y-29139187D01*
-X34952777Y-29135533D01*
-X34958038Y-29132380D01*
-X34963582Y-29129758D01*
-X34969357Y-29127691D01*
-X34975307Y-29126201D01*
-X34981374Y-29125301D01*
-X34987500Y-29125000D01*
-X35537500Y-29125000D01*
-X35543626Y-29125301D01*
-X35543626Y-29125301D01*
-G37*
-D18*
-X35262500Y-29250000D03*
-D11*
-G36*
-X34568626Y-29425301D02*
-G01*
-X34574693Y-29426201D01*
-X34580643Y-29427691D01*
-X34586418Y-29429758D01*
-X34591962Y-29432380D01*
-X34597223Y-29435533D01*
-X34602150Y-29439187D01*
-X34606694Y-29443306D01*
-X34610813Y-29447850D01*
-X34614467Y-29452777D01*
-X34617620Y-29458038D01*
-X34620242Y-29463582D01*
-X34622309Y-29469357D01*
-X34623799Y-29475307D01*
-X34624699Y-29481374D01*
-X34625000Y-29487500D01*
-X34625000Y-30037500D01*
-X34624699Y-30043626D01*
-X34623799Y-30049693D01*
-X34622309Y-30055643D01*
-X34620242Y-30061418D01*
-X34617620Y-30066962D01*
-X34614467Y-30072223D01*
-X34610813Y-30077150D01*
-X34606694Y-30081694D01*
-X34602150Y-30085813D01*
-X34597223Y-30089467D01*
-X34591962Y-30092620D01*
-X34586418Y-30095242D01*
-X34580643Y-30097309D01*
-X34574693Y-30098799D01*
-X34568626Y-30099699D01*
-X34562500Y-30100000D01*
-X34437500Y-30100000D01*
-X34431374Y-30099699D01*
-X34425307Y-30098799D01*
-X34419357Y-30097309D01*
-X34413582Y-30095242D01*
-X34408038Y-30092620D01*
-X34402777Y-30089467D01*
-X34397850Y-30085813D01*
-X34393306Y-30081694D01*
-X34389187Y-30077150D01*
-X34385533Y-30072223D01*
-X34382380Y-30066962D01*
-X34379758Y-30061418D01*
-X34377691Y-30055643D01*
-X34376201Y-30049693D01*
-X34375301Y-30043626D01*
-X34375000Y-30037500D01*
-X34375000Y-29487500D01*
-X34375301Y-29481374D01*
-X34376201Y-29475307D01*
-X34377691Y-29469357D01*
-X34379758Y-29463582D01*
-X34382380Y-29458038D01*
-X34385533Y-29452777D01*
-X34389187Y-29447850D01*
-X34393306Y-29443306D01*
-X34397850Y-29439187D01*
-X34402777Y-29435533D01*
-X34408038Y-29432380D01*
-X34413582Y-29429758D01*
-X34419357Y-29427691D01*
-X34425307Y-29426201D01*
-X34431374Y-29425301D01*
-X34437500Y-29425000D01*
-X34562500Y-29425000D01*
-X34568626Y-29425301D01*
-X34568626Y-29425301D01*
-G37*
-D18*
-X34500000Y-29762500D03*
-D11*
-G36*
-X34068626Y-29425301D02*
-G01*
-X34074693Y-29426201D01*
-X34080643Y-29427691D01*
-X34086418Y-29429758D01*
-X34091962Y-29432380D01*
-X34097223Y-29435533D01*
-X34102150Y-29439187D01*
-X34106694Y-29443306D01*
-X34110813Y-29447850D01*
-X34114467Y-29452777D01*
-X34117620Y-29458038D01*
-X34120242Y-29463582D01*
-X34122309Y-29469357D01*
-X34123799Y-29475307D01*
-X34124699Y-29481374D01*
-X34125000Y-29487500D01*
-X34125000Y-30037500D01*
-X34124699Y-30043626D01*
-X34123799Y-30049693D01*
-X34122309Y-30055643D01*
-X34120242Y-30061418D01*
-X34117620Y-30066962D01*
-X34114467Y-30072223D01*
-X34110813Y-30077150D01*
-X34106694Y-30081694D01*
-X34102150Y-30085813D01*
-X34097223Y-30089467D01*
-X34091962Y-30092620D01*
-X34086418Y-30095242D01*
-X34080643Y-30097309D01*
-X34074693Y-30098799D01*
-X34068626Y-30099699D01*
-X34062500Y-30100000D01*
-X33937500Y-30100000D01*
-X33931374Y-30099699D01*
-X33925307Y-30098799D01*
-X33919357Y-30097309D01*
-X33913582Y-30095242D01*
-X33908038Y-30092620D01*
-X33902777Y-30089467D01*
-X33897850Y-30085813D01*
-X33893306Y-30081694D01*
-X33889187Y-30077150D01*
-X33885533Y-30072223D01*
-X33882380Y-30066962D01*
-X33879758Y-30061418D01*
-X33877691Y-30055643D01*
-X33876201Y-30049693D01*
-X33875301Y-30043626D01*
-X33875000Y-30037500D01*
-X33875000Y-29487500D01*
-X33875301Y-29481374D01*
-X33876201Y-29475307D01*
-X33877691Y-29469357D01*
-X33879758Y-29463582D01*
-X33882380Y-29458038D01*
-X33885533Y-29452777D01*
-X33889187Y-29447850D01*
-X33893306Y-29443306D01*
-X33897850Y-29439187D01*
-X33902777Y-29435533D01*
-X33908038Y-29432380D01*
-X33913582Y-29429758D01*
-X33919357Y-29427691D01*
-X33925307Y-29426201D01*
-X33931374Y-29425301D01*
-X33937500Y-29425000D01*
-X34062500Y-29425000D01*
-X34068626Y-29425301D01*
-X34068626Y-29425301D01*
-G37*
-D18*
-X34000000Y-29762500D03*
-D11*
-G36*
-X33568626Y-29425301D02*
-G01*
-X33574693Y-29426201D01*
-X33580643Y-29427691D01*
-X33586418Y-29429758D01*
-X33591962Y-29432380D01*
-X33597223Y-29435533D01*
-X33602150Y-29439187D01*
-X33606694Y-29443306D01*
-X33610813Y-29447850D01*
-X33614467Y-29452777D01*
-X33617620Y-29458038D01*
-X33620242Y-29463582D01*
-X33622309Y-29469357D01*
-X33623799Y-29475307D01*
-X33624699Y-29481374D01*
-X33625000Y-29487500D01*
-X33625000Y-30037500D01*
-X33624699Y-30043626D01*
-X33623799Y-30049693D01*
-X33622309Y-30055643D01*
-X33620242Y-30061418D01*
-X33617620Y-30066962D01*
-X33614467Y-30072223D01*
-X33610813Y-30077150D01*
-X33606694Y-30081694D01*
-X33602150Y-30085813D01*
-X33597223Y-30089467D01*
-X33591962Y-30092620D01*
-X33586418Y-30095242D01*
-X33580643Y-30097309D01*
-X33574693Y-30098799D01*
-X33568626Y-30099699D01*
-X33562500Y-30100000D01*
-X33437500Y-30100000D01*
-X33431374Y-30099699D01*
-X33425307Y-30098799D01*
-X33419357Y-30097309D01*
-X33413582Y-30095242D01*
-X33408038Y-30092620D01*
-X33402777Y-30089467D01*
-X33397850Y-30085813D01*
-X33393306Y-30081694D01*
-X33389187Y-30077150D01*
-X33385533Y-30072223D01*
-X33382380Y-30066962D01*
-X33379758Y-30061418D01*
-X33377691Y-30055643D01*
-X33376201Y-30049693D01*
-X33375301Y-30043626D01*
-X33375000Y-30037500D01*
-X33375000Y-29487500D01*
-X33375301Y-29481374D01*
-X33376201Y-29475307D01*
-X33377691Y-29469357D01*
-X33379758Y-29463582D01*
-X33382380Y-29458038D01*
-X33385533Y-29452777D01*
-X33389187Y-29447850D01*
-X33393306Y-29443306D01*
-X33397850Y-29439187D01*
-X33402777Y-29435533D01*
-X33408038Y-29432380D01*
-X33413582Y-29429758D01*
-X33419357Y-29427691D01*
-X33425307Y-29426201D01*
-X33431374Y-29425301D01*
-X33437500Y-29425000D01*
-X33562500Y-29425000D01*
-X33568626Y-29425301D01*
-X33568626Y-29425301D01*
-G37*
-D18*
-X33500000Y-29762500D03*
-D11*
-G36*
-X34519212Y-27850698D02*
-G01*
-X34533288Y-27852786D01*
-X34547091Y-27856244D01*
-X34560489Y-27861037D01*
-X34573353Y-27867121D01*
-X34585558Y-27874437D01*
-X34596987Y-27882913D01*
-X34607530Y-27892470D01*
-X34617087Y-27903013D01*
-X34625563Y-27914442D01*
-X34632879Y-27926647D01*
-X34638963Y-27939511D01*
-X34643756Y-27952909D01*
-X34647214Y-27966712D01*
-X34649302Y-27980788D01*
-X34650000Y-27995000D01*
-X34650000Y-28285000D01*
-X34649302Y-28299212D01*
-X34647214Y-28313288D01*
-X34643756Y-28327091D01*
-X34638963Y-28340489D01*
-X34632879Y-28353353D01*
-X34625563Y-28365558D01*
-X34617087Y-28376987D01*
-X34607530Y-28387530D01*
-X34596987Y-28397087D01*
-X34585558Y-28405563D01*
-X34573353Y-28412879D01*
-X34560489Y-28418963D01*
-X34547091Y-28423756D01*
-X34533288Y-28427214D01*
-X34519212Y-28429302D01*
-X34505000Y-28430000D01*
-X34215000Y-28430000D01*
-X34200788Y-28429302D01*
-X34186712Y-28427214D01*
-X34172909Y-28423756D01*
-X34159511Y-28418963D01*
-X34146647Y-28412879D01*
-X34134442Y-28405563D01*
-X34123013Y-28397087D01*
-X34112470Y-28387530D01*
-X34102913Y-28376987D01*
-X34094437Y-28365558D01*
-X34087121Y-28353353D01*
-X34081037Y-28340489D01*
-X34076244Y-28327091D01*
-X34072786Y-28313288D01*
-X34070698Y-28299212D01*
-X34070000Y-28285000D01*
-X34070000Y-27995000D01*
-X34070698Y-27980788D01*
-X34072786Y-27966712D01*
-X34076244Y-27952909D01*
-X34081037Y-27939511D01*
-X34087121Y-27926647D01*
-X34094437Y-27914442D01*
-X34102913Y-27903013D01*
-X34112470Y-27892470D01*
-X34123013Y-27882913D01*
-X34134442Y-27874437D01*
-X34146647Y-27867121D01*
-X34159511Y-27861037D01*
-X34172909Y-27856244D01*
-X34186712Y-27852786D01*
-X34200788Y-27850698D01*
-X34215000Y-27850000D01*
-X34505000Y-27850000D01*
-X34519212Y-27850698D01*
-X34519212Y-27850698D01*
-G37*
-D19*
-X34360000Y-28140000D03*
-D11*
-G36*
-X33799212Y-27850698D02*
-G01*
-X33813288Y-27852786D01*
-X33827091Y-27856244D01*
-X33840489Y-27861037D01*
-X33853353Y-27867121D01*
-X33865558Y-27874437D01*
-X33876987Y-27882913D01*
-X33887530Y-27892470D01*
-X33897087Y-27903013D01*
-X33905563Y-27914442D01*
-X33912879Y-27926647D01*
-X33918963Y-27939511D01*
-X33923756Y-27952909D01*
-X33927214Y-27966712D01*
-X33929302Y-27980788D01*
-X33930000Y-27995000D01*
-X33930000Y-28285000D01*
-X33929302Y-28299212D01*
-X33927214Y-28313288D01*
-X33923756Y-28327091D01*
-X33918963Y-28340489D01*
-X33912879Y-28353353D01*
-X33905563Y-28365558D01*
-X33897087Y-28376987D01*
-X33887530Y-28387530D01*
-X33876987Y-28397087D01*
-X33865558Y-28405563D01*
-X33853353Y-28412879D01*
-X33840489Y-28418963D01*
-X33827091Y-28423756D01*
-X33813288Y-28427214D01*
-X33799212Y-28429302D01*
-X33785000Y-28430000D01*
-X33495000Y-28430000D01*
-X33480788Y-28429302D01*
-X33466712Y-28427214D01*
-X33452909Y-28423756D01*
-X33439511Y-28418963D01*
-X33426647Y-28412879D01*
-X33414442Y-28405563D01*
-X33403013Y-28397087D01*
-X33392470Y-28387530D01*
-X33382913Y-28376987D01*
-X33374437Y-28365558D01*
-X33367121Y-28353353D01*
-X33361037Y-28340489D01*
-X33356244Y-28327091D01*
-X33352786Y-28313288D01*
-X33350698Y-28299212D01*
-X33350000Y-28285000D01*
-X33350000Y-27995000D01*
-X33350698Y-27980788D01*
-X33352786Y-27966712D01*
-X33356244Y-27952909D01*
-X33361037Y-27939511D01*
-X33367121Y-27926647D01*
-X33374437Y-27914442D01*
-X33382913Y-27903013D01*
-X33392470Y-27892470D01*
-X33403013Y-27882913D01*
-X33414442Y-27874437D01*
-X33426647Y-27867121D01*
-X33439511Y-27861037D01*
-X33452909Y-27856244D01*
-X33466712Y-27852786D01*
-X33480788Y-27850698D01*
-X33495000Y-27850000D01*
-X33785000Y-27850000D01*
-X33799212Y-27850698D01*
-X33799212Y-27850698D01*
-G37*
-D19*
-X33640000Y-28140000D03*
-D11*
-G36*
-X34519212Y-28570698D02*
-G01*
-X34533288Y-28572786D01*
-X34547091Y-28576244D01*
-X34560489Y-28581037D01*
-X34573353Y-28587121D01*
-X34585558Y-28594437D01*
-X34596987Y-28602913D01*
-X34607530Y-28612470D01*
-X34617087Y-28623013D01*
-X34625563Y-28634442D01*
-X34632879Y-28646647D01*
-X34638963Y-28659511D01*
-X34643756Y-28672909D01*
-X34647214Y-28686712D01*
-X34649302Y-28700788D01*
-X34650000Y-28715000D01*
-X34650000Y-29005000D01*
-X34649302Y-29019212D01*
-X34647214Y-29033288D01*
-X34643756Y-29047091D01*
-X34638963Y-29060489D01*
-X34632879Y-29073353D01*
-X34625563Y-29085558D01*
-X34617087Y-29096987D01*
-X34607530Y-29107530D01*
-X34596987Y-29117087D01*
-X34585558Y-29125563D01*
-X34573353Y-29132879D01*
-X34560489Y-29138963D01*
-X34547091Y-29143756D01*
-X34533288Y-29147214D01*
-X34519212Y-29149302D01*
-X34505000Y-29150000D01*
-X34215000Y-29150000D01*
-X34200788Y-29149302D01*
-X34186712Y-29147214D01*
-X34172909Y-29143756D01*
-X34159511Y-29138963D01*
-X34146647Y-29132879D01*
-X34134442Y-29125563D01*
-X34123013Y-29117087D01*
-X34112470Y-29107530D01*
-X34102913Y-29096987D01*
-X34094437Y-29085558D01*
-X34087121Y-29073353D01*
-X34081037Y-29060489D01*
-X34076244Y-29047091D01*
-X34072786Y-29033288D01*
-X34070698Y-29019212D01*
-X34070000Y-29005000D01*
-X34070000Y-28715000D01*
-X34070698Y-28700788D01*
-X34072786Y-28686712D01*
-X34076244Y-28672909D01*
-X34081037Y-28659511D01*
-X34087121Y-28646647D01*
-X34094437Y-28634442D01*
-X34102913Y-28623013D01*
-X34112470Y-28612470D01*
-X34123013Y-28602913D01*
-X34134442Y-28594437D01*
-X34146647Y-28587121D01*
-X34159511Y-28581037D01*
-X34172909Y-28576244D01*
-X34186712Y-28572786D01*
-X34200788Y-28570698D01*
-X34215000Y-28570000D01*
-X34505000Y-28570000D01*
-X34519212Y-28570698D01*
-X34519212Y-28570698D01*
-G37*
-D19*
-X34360000Y-28860000D03*
-D11*
-G36*
-X33799212Y-28570698D02*
-G01*
-X33813288Y-28572786D01*
-X33827091Y-28576244D01*
-X33840489Y-28581037D01*
-X33853353Y-28587121D01*
-X33865558Y-28594437D01*
-X33876987Y-28602913D01*
-X33887530Y-28612470D01*
-X33897087Y-28623013D01*
-X33905563Y-28634442D01*
-X33912879Y-28646647D01*
-X33918963Y-28659511D01*
-X33923756Y-28672909D01*
-X33927214Y-28686712D01*
-X33929302Y-28700788D01*
-X33930000Y-28715000D01*
-X33930000Y-29005000D01*
-X33929302Y-29019212D01*
-X33927214Y-29033288D01*
-X33923756Y-29047091D01*
-X33918963Y-29060489D01*
-X33912879Y-29073353D01*
-X33905563Y-29085558D01*
-X33897087Y-29096987D01*
-X33887530Y-29107530D01*
-X33876987Y-29117087D01*
-X33865558Y-29125563D01*
-X33853353Y-29132879D01*
-X33840489Y-29138963D01*
-X33827091Y-29143756D01*
-X33813288Y-29147214D01*
-X33799212Y-29149302D01*
-X33785000Y-29150000D01*
-X33495000Y-29150000D01*
-X33480788Y-29149302D01*
-X33466712Y-29147214D01*
-X33452909Y-29143756D01*
-X33439511Y-29138963D01*
-X33426647Y-29132879D01*
-X33414442Y-29125563D01*
-X33403013Y-29117087D01*
-X33392470Y-29107530D01*
-X33382913Y-29096987D01*
-X33374437Y-29085558D01*
-X33367121Y-29073353D01*
-X33361037Y-29060489D01*
-X33356244Y-29047091D01*
-X33352786Y-29033288D01*
-X33350698Y-29019212D01*
-X33350000Y-29005000D01*
-X33350000Y-28715000D01*
-X33350698Y-28700788D01*
-X33352786Y-28686712D01*
-X33356244Y-28672909D01*
-X33361037Y-28659511D01*
-X33367121Y-28646647D01*
-X33374437Y-28634442D01*
-X33382913Y-28623013D01*
-X33392470Y-28612470D01*
-X33403013Y-28602913D01*
-X33414442Y-28594437D01*
-X33426647Y-28587121D01*
-X33439511Y-28581037D01*
-X33452909Y-28576244D01*
-X33466712Y-28572786D01*
-X33480788Y-28570698D01*
-X33495000Y-28570000D01*
-X33785000Y-28570000D01*
-X33799212Y-28570698D01*
-X33799212Y-28570698D01*
-G37*
-D19*
-X33640000Y-28860000D03*
-D20*
-X53070000Y-26480000D03*
-X53070000Y-25530000D03*
-X53070000Y-27430000D03*
-X50870000Y-27430000D03*
-X50870000Y-26480000D03*
-X50870000Y-25530000D03*
-X28600000Y-28500000D03*
-X28600000Y-29450000D03*
-X28600000Y-27550000D03*
-X30800000Y-27550000D03*
-X30800000Y-28500000D03*
-X30800000Y-29450000D03*
-D21*
-X26369999Y-31770000D03*
-X26369999Y-30970000D03*
-D22*
-X26369999Y-30320000D03*
-X26369999Y-29820000D03*
-X26369999Y-29320000D03*
-X26369999Y-28820000D03*
-X26369999Y-28320000D03*
-X26369999Y-27820000D03*
-X26369999Y-27320000D03*
-X26369999Y-26820000D03*
-D21*
-X26369999Y-26170000D03*
-X26369999Y-25370000D03*
-D11*
-G36*
-X27952691Y-33126053D02*
-G01*
-X27973926Y-33129203D01*
-X27994750Y-33134419D01*
-X28014962Y-33141651D01*
-X28034368Y-33150830D01*
-X28052781Y-33161866D01*
-X28070024Y-33174654D01*
-X28085930Y-33189070D01*
-X28100346Y-33204976D01*
-X28113134Y-33222219D01*
-X28124170Y-33240632D01*
-X28133349Y-33260038D01*
-X28140581Y-33280250D01*
-X28145797Y-33301074D01*
-X28148947Y-33322309D01*
-X28150000Y-33343750D01*
-X28150000Y-33856250D01*
-X28148947Y-33877691D01*
-X28145797Y-33898926D01*
-X28140581Y-33919750D01*
-X28133349Y-33939962D01*
-X28124170Y-33959368D01*
-X28113134Y-33977781D01*
-X28100346Y-33995024D01*
-X28085930Y-34010930D01*
-X28070024Y-34025346D01*
-X28052781Y-34038134D01*
-X28034368Y-34049170D01*
-X28014962Y-34058349D01*
-X27994750Y-34065581D01*
-X27973926Y-34070797D01*
-X27952691Y-34073947D01*
-X27931250Y-34075000D01*
-X27493750Y-34075000D01*
-X27472309Y-34073947D01*
-X27451074Y-34070797D01*
-X27430250Y-34065581D01*
-X27410038Y-34058349D01*
-X27390632Y-34049170D01*
-X27372219Y-34038134D01*
-X27354976Y-34025346D01*
-X27339070Y-34010930D01*
-X27324654Y-33995024D01*
-X27311866Y-33977781D01*
-X27300830Y-33959368D01*
-X27291651Y-33939962D01*
-X27284419Y-33919750D01*
-X27279203Y-33898926D01*
-X27276053Y-33877691D01*
-X27275000Y-33856250D01*
-X27275000Y-33343750D01*
-X27276053Y-33322309D01*
-X27279203Y-33301074D01*
-X27284419Y-33280250D01*
-X27291651Y-33260038D01*
-X27300830Y-33240632D01*
-X27311866Y-33222219D01*
-X27324654Y-33204976D01*
-X27339070Y-33189070D01*
-X27354976Y-33174654D01*
-X27372219Y-33161866D01*
-X27390632Y-33150830D01*
-X27410038Y-33141651D01*
-X27430250Y-33134419D01*
-X27451074Y-33129203D01*
-X27472309Y-33126053D01*
-X27493750Y-33125000D01*
-X27931250Y-33125000D01*
-X27952691Y-33126053D01*
-X27952691Y-33126053D01*
-G37*
-D12*
-X27712500Y-33600000D03*
-D11*
-G36*
-X29527691Y-33126053D02*
-G01*
-X29548926Y-33129203D01*
-X29569750Y-33134419D01*
-X29589962Y-33141651D01*
-X29609368Y-33150830D01*
-X29627781Y-33161866D01*
-X29645024Y-33174654D01*
-X29660930Y-33189070D01*
-X29675346Y-33204976D01*
-X29688134Y-33222219D01*
-X29699170Y-33240632D01*
-X29708349Y-33260038D01*
-X29715581Y-33280250D01*
-X29720797Y-33301074D01*
-X29723947Y-33322309D01*
-X29725000Y-33343750D01*
-X29725000Y-33856250D01*
-X29723947Y-33877691D01*
-X29720797Y-33898926D01*
-X29715581Y-33919750D01*
-X29708349Y-33939962D01*
-X29699170Y-33959368D01*
-X29688134Y-33977781D01*
-X29675346Y-33995024D01*
-X29660930Y-34010930D01*
-X29645024Y-34025346D01*
-X29627781Y-34038134D01*
-X29609368Y-34049170D01*
-X29589962Y-34058349D01*
-X29569750Y-34065581D01*
-X29548926Y-34070797D01*
-X29527691Y-34073947D01*
-X29506250Y-34075000D01*
-X29068750Y-34075000D01*
-X29047309Y-34073947D01*
-X29026074Y-34070797D01*
-X29005250Y-34065581D01*
-X28985038Y-34058349D01*
-X28965632Y-34049170D01*
-X28947219Y-34038134D01*
-X28929976Y-34025346D01*
-X28914070Y-34010930D01*
-X28899654Y-33995024D01*
-X28886866Y-33977781D01*
-X28875830Y-33959368D01*
-X28866651Y-33939962D01*
-X28859419Y-33919750D01*
-X28854203Y-33898926D01*
-X28851053Y-33877691D01*
-X28850000Y-33856250D01*
-X28850000Y-33343750D01*
-X28851053Y-33322309D01*
-X28854203Y-33301074D01*
-X28859419Y-33280250D01*
-X28866651Y-33260038D01*
-X28875830Y-33240632D01*
-X28886866Y-33222219D01*
-X28899654Y-33204976D01*
-X28914070Y-33189070D01*
-X28929976Y-33174654D01*
-X28947219Y-33161866D01*
-X28965632Y-33150830D01*
-X28985038Y-33141651D01*
-X29005250Y-33134419D01*
-X29026074Y-33129203D01*
-X29047309Y-33126053D01*
-X29068750Y-33125000D01*
-X29506250Y-33125000D01*
-X29527691Y-33126053D01*
-X29527691Y-33126053D01*
-G37*
-D12*
-X29287500Y-33600000D03*
-D11*
-G36*
-X27952691Y-23126053D02*
-G01*
-X27973926Y-23129203D01*
-X27994750Y-23134419D01*
-X28014962Y-23141651D01*
-X28034368Y-23150830D01*
-X28052781Y-23161866D01*
-X28070024Y-23174654D01*
-X28085930Y-23189070D01*
-X28100346Y-23204976D01*
-X28113134Y-23222219D01*
-X28124170Y-23240632D01*
-X28133349Y-23260038D01*
-X28140581Y-23280250D01*
-X28145797Y-23301074D01*
-X28148947Y-23322309D01*
-X28150000Y-23343750D01*
-X28150000Y-23856250D01*
-X28148947Y-23877691D01*
-X28145797Y-23898926D01*
-X28140581Y-23919750D01*
-X28133349Y-23939962D01*
-X28124170Y-23959368D01*
-X28113134Y-23977781D01*
-X28100346Y-23995024D01*
-X28085930Y-24010930D01*
-X28070024Y-24025346D01*
-X28052781Y-24038134D01*
-X28034368Y-24049170D01*
-X28014962Y-24058349D01*
-X27994750Y-24065581D01*
-X27973926Y-24070797D01*
-X27952691Y-24073947D01*
-X27931250Y-24075000D01*
-X27493750Y-24075000D01*
-X27472309Y-24073947D01*
-X27451074Y-24070797D01*
-X27430250Y-24065581D01*
-X27410038Y-24058349D01*
-X27390632Y-24049170D01*
-X27372219Y-24038134D01*
-X27354976Y-24025346D01*
-X27339070Y-24010930D01*
-X27324654Y-23995024D01*
-X27311866Y-23977781D01*
-X27300830Y-23959368D01*
-X27291651Y-23939962D01*
-X27284419Y-23919750D01*
-X27279203Y-23898926D01*
-X27276053Y-23877691D01*
-X27275000Y-23856250D01*
-X27275000Y-23343750D01*
-X27276053Y-23322309D01*
-X27279203Y-23301074D01*
-X27284419Y-23280250D01*
-X27291651Y-23260038D01*
-X27300830Y-23240632D01*
-X27311866Y-23222219D01*
-X27324654Y-23204976D01*
-X27339070Y-23189070D01*
-X27354976Y-23174654D01*
-X27372219Y-23161866D01*
-X27390632Y-23150830D01*
-X27410038Y-23141651D01*
-X27430250Y-23134419D01*
-X27451074Y-23129203D01*
-X27472309Y-23126053D01*
-X27493750Y-23125000D01*
-X27931250Y-23125000D01*
-X27952691Y-23126053D01*
-X27952691Y-23126053D01*
-G37*
+X147825000Y-65500000D03*
+X149575000Y-65500000D03*
D12*
-X27712500Y-23600000D03*
-D11*
-G36*
-X29527691Y-23126053D02*
-G01*
-X29548926Y-23129203D01*
-X29569750Y-23134419D01*
-X29589962Y-23141651D01*
-X29609368Y-23150830D01*
-X29627781Y-23161866D01*
-X29645024Y-23174654D01*
-X29660930Y-23189070D01*
-X29675346Y-23204976D01*
-X29688134Y-23222219D01*
-X29699170Y-23240632D01*
-X29708349Y-23260038D01*
-X29715581Y-23280250D01*
-X29720797Y-23301074D01*
-X29723947Y-23322309D01*
-X29725000Y-23343750D01*
-X29725000Y-23856250D01*
-X29723947Y-23877691D01*
-X29720797Y-23898926D01*
-X29715581Y-23919750D01*
-X29708349Y-23939962D01*
-X29699170Y-23959368D01*
-X29688134Y-23977781D01*
-X29675346Y-23995024D01*
-X29660930Y-24010930D01*
-X29645024Y-24025346D01*
-X29627781Y-24038134D01*
-X29609368Y-24049170D01*
-X29589962Y-24058349D01*
-X29569750Y-24065581D01*
-X29548926Y-24070797D01*
-X29527691Y-24073947D01*
-X29506250Y-24075000D01*
-X29068750Y-24075000D01*
-X29047309Y-24073947D01*
-X29026074Y-24070797D01*
-X29005250Y-24065581D01*
-X28985038Y-24058349D01*
-X28965632Y-24049170D01*
-X28947219Y-24038134D01*
-X28929976Y-24025346D01*
-X28914070Y-24010930D01*
-X28899654Y-23995024D01*
-X28886866Y-23977781D01*
-X28875830Y-23959368D01*
-X28866651Y-23939962D01*
-X28859419Y-23919750D01*
-X28854203Y-23898926D01*
-X28851053Y-23877691D01*
-X28850000Y-23856250D01*
-X28850000Y-23343750D01*
-X28851053Y-23322309D01*
-X28854203Y-23301074D01*
-X28859419Y-23280250D01*
-X28866651Y-23260038D01*
-X28875830Y-23240632D01*
-X28886866Y-23222219D01*
-X28899654Y-23204976D01*
-X28914070Y-23189070D01*
-X28929976Y-23174654D01*
-X28947219Y-23161866D01*
-X28965632Y-23150830D01*
-X28985038Y-23141651D01*
-X29005250Y-23134419D01*
-X29026074Y-23129203D01*
-X29047309Y-23126053D01*
-X29068750Y-23125000D01*
-X29506250Y-23125000D01*
-X29527691Y-23126053D01*
-X29527691Y-23126053D01*
-G37*
-D12*
-X29287500Y-23600000D03*
-D11*
-G36*
-X56980142Y-29411174D02*
-G01*
-X57003803Y-29414684D01*
-X57027007Y-29420496D01*
-X57049529Y-29428554D01*
-X57071153Y-29438782D01*
-X57091670Y-29451079D01*
-X57110883Y-29465329D01*
-X57128607Y-29481393D01*
-X57144671Y-29499117D01*
-X57158921Y-29518330D01*
-X57171218Y-29538847D01*
-X57181446Y-29560471D01*
-X57189504Y-29582993D01*
-X57195316Y-29606197D01*
-X57198826Y-29629858D01*
-X57200000Y-29653750D01*
-X57200000Y-30141250D01*
-X57198826Y-30165142D01*
-X57195316Y-30188803D01*
-X57189504Y-30212007D01*
-X57181446Y-30234529D01*
-X57171218Y-30256153D01*
-X57158921Y-30276670D01*
-X57144671Y-30295883D01*
-X57128607Y-30313607D01*
-X57110883Y-30329671D01*
-X57091670Y-30343921D01*
-X57071153Y-30356218D01*
-X57049529Y-30366446D01*
-X57027007Y-30374504D01*
-X57003803Y-30380316D01*
-X56980142Y-30383826D01*
-X56956250Y-30385000D01*
-X56043750Y-30385000D01*
-X56019858Y-30383826D01*
-X55996197Y-30380316D01*
-X55972993Y-30374504D01*
-X55950471Y-30366446D01*
-X55928847Y-30356218D01*
-X55908330Y-30343921D01*
-X55889117Y-30329671D01*
-X55871393Y-30313607D01*
-X55855329Y-30295883D01*
-X55841079Y-30276670D01*
-X55828782Y-30256153D01*
-X55818554Y-30234529D01*
-X55810496Y-30212007D01*
-X55804684Y-30188803D01*
-X55801174Y-30165142D01*
-X55800000Y-30141250D01*
-X55800000Y-29653750D01*
-X55801174Y-29629858D01*
-X55804684Y-29606197D01*
-X55810496Y-29582993D01*
-X55818554Y-29560471D01*
-X55828782Y-29538847D01*
-X55841079Y-29518330D01*
-X55855329Y-29499117D01*
-X55871393Y-29481393D01*
-X55889117Y-29465329D01*
-X55908330Y-29451079D01*
-X55928847Y-29438782D01*
-X55950471Y-29428554D01*
-X55972993Y-29420496D01*
-X55996197Y-29414684D01*
-X56019858Y-29411174D01*
-X56043750Y-29410000D01*
-X56956250Y-29410000D01*
-X56980142Y-29411174D01*
-X56980142Y-29411174D01*
-G37*
-D15*
-X56500000Y-29897500D03*
-D11*
-G36*
-X56980142Y-27536174D02*
-G01*
-X57003803Y-27539684D01*
-X57027007Y-27545496D01*
-X57049529Y-27553554D01*
-X57071153Y-27563782D01*
-X57091670Y-27576079D01*
-X57110883Y-27590329D01*
-X57128607Y-27606393D01*
-X57144671Y-27624117D01*
-X57158921Y-27643330D01*
-X57171218Y-27663847D01*
-X57181446Y-27685471D01*
-X57189504Y-27707993D01*
-X57195316Y-27731197D01*
-X57198826Y-27754858D01*
-X57200000Y-27778750D01*
-X57200000Y-28266250D01*
-X57198826Y-28290142D01*
-X57195316Y-28313803D01*
-X57189504Y-28337007D01*
-X57181446Y-28359529D01*
-X57171218Y-28381153D01*
-X57158921Y-28401670D01*
-X57144671Y-28420883D01*
-X57128607Y-28438607D01*
-X57110883Y-28454671D01*
-X57091670Y-28468921D01*
-X57071153Y-28481218D01*
-X57049529Y-28491446D01*
-X57027007Y-28499504D01*
-X57003803Y-28505316D01*
-X56980142Y-28508826D01*
-X56956250Y-28510000D01*
-X56043750Y-28510000D01*
-X56019858Y-28508826D01*
-X55996197Y-28505316D01*
-X55972993Y-28499504D01*
-X55950471Y-28491446D01*
-X55928847Y-28481218D01*
-X55908330Y-28468921D01*
-X55889117Y-28454671D01*
-X55871393Y-28438607D01*
-X55855329Y-28420883D01*
-X55841079Y-28401670D01*
-X55828782Y-28381153D01*
-X55818554Y-28359529D01*
-X55810496Y-28337007D01*
-X55804684Y-28313803D01*
-X55801174Y-28290142D01*
-X55800000Y-28266250D01*
-X55800000Y-27778750D01*
-X55801174Y-27754858D01*
-X55804684Y-27731197D01*
-X55810496Y-27707993D01*
-X55818554Y-27685471D01*
-X55828782Y-27663847D01*
-X55841079Y-27643330D01*
-X55855329Y-27624117D01*
-X55871393Y-27606393D01*
-X55889117Y-27590329D01*
-X55908330Y-27576079D01*
-X55928847Y-27563782D01*
-X55950471Y-27553554D01*
-X55972993Y-27545496D01*
-X55996197Y-27539684D01*
-X56019858Y-27536174D01*
-X56043750Y-27535000D01*
-X56956250Y-27535000D01*
-X56980142Y-27536174D01*
-X56980142Y-27536174D01*
-G37*
-D15*
-X56500000Y-28022500D03*
-D11*
-G36*
-X50910142Y-23191174D02*
-G01*
-X50933803Y-23194684D01*
-X50957007Y-23200496D01*
-X50979529Y-23208554D01*
-X51001153Y-23218782D01*
-X51021670Y-23231079D01*
-X51040883Y-23245329D01*
-X51058607Y-23261393D01*
-X51074671Y-23279117D01*
-X51088921Y-23298330D01*
-X51101218Y-23318847D01*
-X51111446Y-23340471D01*
-X51119504Y-23362993D01*
-X51125316Y-23386197D01*
-X51128826Y-23409858D01*
-X51130000Y-23433750D01*
-X51130000Y-24346250D01*
-X51128826Y-24370142D01*
-X51125316Y-24393803D01*
-X51119504Y-24417007D01*
-X51111446Y-24439529D01*
-X51101218Y-24461153D01*
-X51088921Y-24481670D01*
-X51074671Y-24500883D01*
-X51058607Y-24518607D01*
-X51040883Y-24534671D01*
-X51021670Y-24548921D01*
-X51001153Y-24561218D01*
-X50979529Y-24571446D01*
-X50957007Y-24579504D01*
-X50933803Y-24585316D01*
-X50910142Y-24588826D01*
-X50886250Y-24590000D01*
-X50398750Y-24590000D01*
-X50374858Y-24588826D01*
-X50351197Y-24585316D01*
-X50327993Y-24579504D01*
-X50305471Y-24571446D01*
-X50283847Y-24561218D01*
-X50263330Y-24548921D01*
-X50244117Y-24534671D01*
-X50226393Y-24518607D01*
-X50210329Y-24500883D01*
-X50196079Y-24481670D01*
-X50183782Y-24461153D01*
-X50173554Y-24439529D01*
-X50165496Y-24417007D01*
-X50159684Y-24393803D01*
-X50156174Y-24370142D01*
-X50155000Y-24346250D01*
-X50155000Y-23433750D01*
-X50156174Y-23409858D01*
-X50159684Y-23386197D01*
-X50165496Y-23362993D01*
-X50173554Y-23340471D01*
-X50183782Y-23318847D01*
-X50196079Y-23298330D01*
-X50210329Y-23279117D01*
-X50226393Y-23261393D01*
-X50244117Y-23245329D01*
-X50263330Y-23231079D01*
-X50283847Y-23218782D01*
-X50305471Y-23208554D01*
-X50327993Y-23200496D01*
-X50351197Y-23194684D01*
-X50374858Y-23191174D01*
-X50398750Y-23190000D01*
-X50886250Y-23190000D01*
-X50910142Y-23191174D01*
-X50910142Y-23191174D01*
-G37*
-D15*
-X50642500Y-23890000D03*
-D11*
-G36*
-X52785142Y-23191174D02*
-G01*
-X52808803Y-23194684D01*
-X52832007Y-23200496D01*
-X52854529Y-23208554D01*
-X52876153Y-23218782D01*
-X52896670Y-23231079D01*
-X52915883Y-23245329D01*
-X52933607Y-23261393D01*
-X52949671Y-23279117D01*
-X52963921Y-23298330D01*
-X52976218Y-23318847D01*
-X52986446Y-23340471D01*
-X52994504Y-23362993D01*
-X53000316Y-23386197D01*
-X53003826Y-23409858D01*
-X53005000Y-23433750D01*
-X53005000Y-24346250D01*
-X53003826Y-24370142D01*
-X53000316Y-24393803D01*
-X52994504Y-24417007D01*
-X52986446Y-24439529D01*
-X52976218Y-24461153D01*
-X52963921Y-24481670D01*
-X52949671Y-24500883D01*
-X52933607Y-24518607D01*
-X52915883Y-24534671D01*
-X52896670Y-24548921D01*
-X52876153Y-24561218D01*
-X52854529Y-24571446D01*
-X52832007Y-24579504D01*
-X52808803Y-24585316D01*
-X52785142Y-24588826D01*
-X52761250Y-24590000D01*
-X52273750Y-24590000D01*
-X52249858Y-24588826D01*
-X52226197Y-24585316D01*
-X52202993Y-24579504D01*
-X52180471Y-24571446D01*
-X52158847Y-24561218D01*
-X52138330Y-24548921D01*
-X52119117Y-24534671D01*
-X52101393Y-24518607D01*
-X52085329Y-24500883D01*
-X52071079Y-24481670D01*
-X52058782Y-24461153D01*
-X52048554Y-24439529D01*
-X52040496Y-24417007D01*
-X52034684Y-24393803D01*
-X52031174Y-24370142D01*
-X52030000Y-24346250D01*
-X52030000Y-23433750D01*
-X52031174Y-23409858D01*
-X52034684Y-23386197D01*
-X52040496Y-23362993D01*
-X52048554Y-23340471D01*
-X52058782Y-23318847D01*
-X52071079Y-23298330D01*
-X52085329Y-23279117D01*
-X52101393Y-23261393D01*
-X52119117Y-23245329D01*
-X52138330Y-23231079D01*
-X52158847Y-23218782D01*
-X52180471Y-23208554D01*
-X52202993Y-23200496D01*
-X52226197Y-23194684D01*
-X52249858Y-23191174D01*
-X52273750Y-23190000D01*
-X52761250Y-23190000D01*
-X52785142Y-23191174D01*
-X52785142Y-23191174D01*
-G37*
-D15*
-X52517500Y-23890000D03*
+X145875000Y-67500000D03*
+X144125000Y-67500000D03*
M02*
diff --git a/pcb/gerber/OtterPill-F_SilkS.gto b/pcb/gerber/OtterPill-F_SilkS.gto
deleted file mode 100644
index f7b0138..0000000
--- a/pcb/gerber/OtterPill-F_SilkS.gto
+++ /dev/null
@@ -1,2641 +0,0 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.4+dfsg1-1*
-G04 #@! TF.CreationDate,2019-11-16T02:43:21+01:00*
-G04 #@! TF.ProjectId,OtterPill,4f747465-7250-4696-9c6c-2e6b69636164,rev?*
-G04 #@! TF.SameCoordinates,Original*
-G04 #@! TF.FileFunction,Legend,Top*
-G04 #@! TF.FilePolarity,Positive*
-%FSLAX46Y46*%
-G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.4+dfsg1-1) date 2019-11-16 02:43:21*
-%MOMM*%
-%LPD*%
-G04 APERTURE LIST*
-%ADD10C,0.120000*%
-%ADD11C,0.100000*%
-%ADD12C,0.150000*%
-%ADD13C,0.050000*%
-%ADD14C,0.112500*%
-G04 APERTURE END LIST*
-D10*
-X35440952Y-31571904D02*
-X35593333Y-31571904D01*
-X35669523Y-31610000D01*
-X35745714Y-31686190D01*
-X35783809Y-31838571D01*
-X35783809Y-32105238D01*
-X35745714Y-32257619D01*
-X35669523Y-32333809D01*
-X35593333Y-32371904D01*
-X35440952Y-32371904D01*
-X35364761Y-32333809D01*
-X35288571Y-32257619D01*
-X35250476Y-32105238D01*
-X35250476Y-31838571D01*
-X35288571Y-31686190D01*
-X35364761Y-31610000D01*
-X35440952Y-31571904D01*
-X36012380Y-31838571D02*
-X36317142Y-31838571D01*
-X36126666Y-31571904D02*
-X36126666Y-32257619D01*
-X36164761Y-32333809D01*
-X36240952Y-32371904D01*
-X36317142Y-32371904D01*
-X36469523Y-31838571D02*
-X36774285Y-31838571D01*
-X36583809Y-31571904D02*
-X36583809Y-32257619D01*
-X36621904Y-32333809D01*
-X36698095Y-32371904D01*
-X36774285Y-32371904D01*
-X37345714Y-32333809D02*
-X37269523Y-32371904D01*
-X37117142Y-32371904D01*
-X37040952Y-32333809D01*
-X37002857Y-32257619D01*
-X37002857Y-31952857D01*
-X37040952Y-31876666D01*
-X37117142Y-31838571D01*
-X37269523Y-31838571D01*
-X37345714Y-31876666D01*
-X37383809Y-31952857D01*
-X37383809Y-32029047D01*
-X37002857Y-32105238D01*
-X37726666Y-32371904D02*
-X37726666Y-31838571D01*
-X37726666Y-31990952D02*
-X37764761Y-31914761D01*
-X37802857Y-31876666D01*
-X37879047Y-31838571D01*
-X37955238Y-31838571D01*
-X38221904Y-32067142D02*
-X38831428Y-32067142D01*
-X37764761Y-33691904D02*
-X37764761Y-32891904D01*
-X38069523Y-32891904D01*
-X38145714Y-32930000D01*
-X38183809Y-32968095D01*
-X38221904Y-33044285D01*
-X38221904Y-33158571D01*
-X38183809Y-33234761D01*
-X38145714Y-33272857D01*
-X38069523Y-33310952D01*
-X37764761Y-33310952D01*
-X38564761Y-33691904D02*
-X38564761Y-33158571D01*
-X38564761Y-32891904D02*
-X38526666Y-32930000D01*
-X38564761Y-32968095D01*
-X38602857Y-32930000D01*
-X38564761Y-32891904D01*
-X38564761Y-32968095D01*
-X39060000Y-33691904D02*
-X38983809Y-33653809D01*
-X38945714Y-33577619D01*
-X38945714Y-32891904D01*
-X39479047Y-33691904D02*
-X39402857Y-33653809D01*
-X39364761Y-33577619D01*
-X39364761Y-32891904D01*
-D11*
-X57436666Y-25706666D02*
-X57436666Y-25273333D01*
-X57703333Y-25506666D01*
-X57703333Y-25406666D01*
-X57736666Y-25340000D01*
-X57770000Y-25306666D01*
-X57836666Y-25273333D01*
-X58003333Y-25273333D01*
-X58070000Y-25306666D01*
-X58103333Y-25340000D01*
-X58136666Y-25406666D01*
-X58136666Y-25606666D01*
-X58103333Y-25673333D01*
-X58070000Y-25706666D01*
-X57436666Y-25073333D02*
-X58136666Y-24840000D01*
-X57436666Y-24606666D01*
-X57436666Y-24440000D02*
-X57436666Y-24006666D01*
-X57703333Y-24240000D01*
-X57703333Y-24140000D01*
-X57736666Y-24073333D01*
-X57770000Y-24040000D01*
-X57836666Y-24006666D01*
-X58003333Y-24006666D01*
-X58070000Y-24040000D01*
-X58103333Y-24073333D01*
-X58136666Y-24140000D01*
-X58136666Y-24340000D01*
-X58103333Y-24406666D01*
-X58070000Y-24440000D01*
-X22943333Y-22986666D02*
-X23176666Y-23686666D01*
-X23410000Y-22986666D01*
-X23643333Y-23686666D02*
-X23643333Y-22986666D01*
-X23976666Y-23686666D02*
-X23976666Y-22986666D01*
-X24376666Y-23686666D01*
-X24376666Y-22986666D01*
-X23276666Y-33540000D02*
-X23210000Y-33506666D01*
-X23110000Y-33506666D01*
-X23010000Y-33540000D01*
-X22943333Y-33606666D01*
-X22910000Y-33673333D01*
-X22876666Y-33806666D01*
-X22876666Y-33906666D01*
-X22910000Y-34040000D01*
-X22943333Y-34106666D01*
-X23010000Y-34173333D01*
-X23110000Y-34206666D01*
-X23176666Y-34206666D01*
-X23276666Y-34173333D01*
-X23310000Y-34140000D01*
-X23310000Y-33906666D01*
-X23176666Y-33906666D01*
-X23610000Y-34206666D02*
-X23610000Y-33506666D01*
-X24010000Y-34206666D01*
-X24010000Y-33506666D01*
-X24343333Y-34206666D02*
-X24343333Y-33506666D01*
-X24510000Y-33506666D01*
-X24610000Y-33540000D01*
-X24676666Y-33606666D01*
-X24710000Y-33673333D01*
-X24743333Y-33806666D01*
-X24743333Y-33906666D01*
-X24710000Y-34040000D01*
-X24676666Y-34106666D01*
-X24610000Y-34173333D01*
-X24510000Y-34206666D01*
-X24343333Y-34206666D01*
-X29696666Y-22093333D02*
-X29696666Y-22793333D01*
-X29430000Y-22793333D01*
-X29363333Y-22760000D01*
-X29330000Y-22726666D01*
-X29296666Y-22660000D01*
-X29296666Y-22560000D01*
-X29330000Y-22493333D01*
-X29363333Y-22460000D01*
-X29430000Y-22426666D01*
-X29696666Y-22426666D01*
-X28763333Y-22460000D02*
-X28663333Y-22426666D01*
-X28630000Y-22393333D01*
-X28596666Y-22326666D01*
-X28596666Y-22226666D01*
-X28630000Y-22160000D01*
-X28663333Y-22126666D01*
-X28730000Y-22093333D01*
-X28996666Y-22093333D01*
-X28996666Y-22793333D01*
-X28763333Y-22793333D01*
-X28696666Y-22760000D01*
-X28663333Y-22726666D01*
-X28630000Y-22660000D01*
-X28630000Y-22593333D01*
-X28663333Y-22526666D01*
-X28696666Y-22493333D01*
-X28763333Y-22460000D01*
-X28996666Y-22460000D01*
-X28163333Y-22793333D02*
-X28096666Y-22793333D01*
-X28030000Y-22760000D01*
-X27996666Y-22726666D01*
-X27963333Y-22660000D01*
-X27930000Y-22526666D01*
-X27930000Y-22360000D01*
-X27963333Y-22226666D01*
-X27996666Y-22160000D01*
-X28030000Y-22126666D01*
-X28096666Y-22093333D01*
-X28163333Y-22093333D01*
-X28230000Y-22126666D01*
-X28263333Y-22160000D01*
-X28296666Y-22226666D01*
-X28330000Y-22360000D01*
-X28330000Y-22526666D01*
-X28296666Y-22660000D01*
-X28263333Y-22726666D01*
-X28230000Y-22760000D01*
-X28163333Y-22793333D01*
-X24925000Y-22183333D02*
-X24925000Y-22883333D01*
-X24658333Y-22883333D01*
-X24591666Y-22850000D01*
-X24558333Y-22816666D01*
-X24525000Y-22750000D01*
-X24525000Y-22650000D01*
-X24558333Y-22583333D01*
-X24591666Y-22550000D01*
-X24658333Y-22516666D01*
-X24925000Y-22516666D01*
-X23991666Y-22550000D02*
-X23891666Y-22516666D01*
-X23858333Y-22483333D01*
-X23825000Y-22416666D01*
-X23825000Y-22316666D01*
-X23858333Y-22250000D01*
-X23891666Y-22216666D01*
-X23958333Y-22183333D01*
-X24225000Y-22183333D01*
-X24225000Y-22883333D01*
-X23991666Y-22883333D01*
-X23925000Y-22850000D01*
-X23891666Y-22816666D01*
-X23858333Y-22750000D01*
-X23858333Y-22683333D01*
-X23891666Y-22616666D01*
-X23925000Y-22583333D01*
-X23991666Y-22550000D01*
-X24225000Y-22550000D01*
-X23158333Y-22183333D02*
-X23558333Y-22183333D01*
-X23358333Y-22183333D02*
-X23358333Y-22883333D01*
-X23425000Y-22783333D01*
-X23491666Y-22716666D01*
-X23558333Y-22683333D01*
-X22558333Y-22650000D02*
-X22558333Y-22183333D01*
-X22725000Y-22916666D02*
-X22891666Y-22416666D01*
-X22458333Y-22416666D01*
-X27475000Y-22183333D02*
-X27475000Y-22883333D01*
-X27208333Y-22883333D01*
-X27141666Y-22850000D01*
-X27108333Y-22816666D01*
-X27075000Y-22750000D01*
-X27075000Y-22650000D01*
-X27108333Y-22583333D01*
-X27141666Y-22550000D01*
-X27208333Y-22516666D01*
-X27475000Y-22516666D01*
-X26541666Y-22550000D02*
-X26441666Y-22516666D01*
-X26408333Y-22483333D01*
-X26375000Y-22416666D01*
-X26375000Y-22316666D01*
-X26408333Y-22250000D01*
-X26441666Y-22216666D01*
-X26508333Y-22183333D01*
-X26775000Y-22183333D01*
-X26775000Y-22883333D01*
-X26541666Y-22883333D01*
-X26475000Y-22850000D01*
-X26441666Y-22816666D01*
-X26408333Y-22750000D01*
-X26408333Y-22683333D01*
-X26441666Y-22616666D01*
-X26475000Y-22583333D01*
-X26541666Y-22550000D01*
-X26775000Y-22550000D01*
-X25708333Y-22183333D02*
-X26108333Y-22183333D01*
-X25908333Y-22183333D02*
-X25908333Y-22883333D01*
-X25975000Y-22783333D01*
-X26041666Y-22716666D01*
-X26108333Y-22683333D01*
-X25075000Y-22883333D02*
-X25408333Y-22883333D01*
-X25441666Y-22550000D01*
-X25408333Y-22583333D01*
-X25341666Y-22616666D01*
-X25175000Y-22616666D01*
-X25108333Y-22583333D01*
-X25075000Y-22550000D01*
-X25041666Y-22483333D01*
-X25041666Y-22316666D01*
-X25075000Y-22250000D01*
-X25108333Y-22216666D01*
-X25175000Y-22183333D01*
-X25341666Y-22183333D01*
-X25408333Y-22216666D01*
-X25441666Y-22250000D01*
-X32550000Y-22133333D02*
-X32550000Y-22833333D01*
-X32283333Y-22833333D01*
-X32216666Y-22800000D01*
-X32183333Y-22766666D01*
-X32150000Y-22700000D01*
-X32150000Y-22600000D01*
-X32183333Y-22533333D01*
-X32216666Y-22500000D01*
-X32283333Y-22466666D01*
-X32550000Y-22466666D01*
-X31616666Y-22500000D02*
-X31516666Y-22466666D01*
-X31483333Y-22433333D01*
-X31450000Y-22366666D01*
-X31450000Y-22266666D01*
-X31483333Y-22200000D01*
-X31516666Y-22166666D01*
-X31583333Y-22133333D01*
-X31850000Y-22133333D01*
-X31850000Y-22833333D01*
-X31616666Y-22833333D01*
-X31550000Y-22800000D01*
-X31516666Y-22766666D01*
-X31483333Y-22700000D01*
-X31483333Y-22633333D01*
-X31516666Y-22566666D01*
-X31550000Y-22533333D01*
-X31616666Y-22500000D01*
-X31850000Y-22500000D01*
-X30783333Y-22133333D02*
-X31183333Y-22133333D01*
-X30983333Y-22133333D02*
-X30983333Y-22833333D01*
-X31050000Y-22733333D01*
-X31116666Y-22666666D01*
-X31183333Y-22633333D01*
-X30516666Y-22766666D02*
-X30483333Y-22800000D01*
-X30416666Y-22833333D01*
-X30250000Y-22833333D01*
-X30183333Y-22800000D01*
-X30150000Y-22766666D01*
-X30116666Y-22700000D01*
-X30116666Y-22633333D01*
-X30150000Y-22533333D01*
-X30550000Y-22133333D01*
-X30116666Y-22133333D01*
-X34666666Y-22183333D02*
-X34666666Y-22883333D01*
-X34400000Y-22883333D01*
-X34333333Y-22850000D01*
-X34300000Y-22816666D01*
-X34266666Y-22750000D01*
-X34266666Y-22650000D01*
-X34300000Y-22583333D01*
-X34333333Y-22550000D01*
-X34400000Y-22516666D01*
-X34666666Y-22516666D01*
-X34000000Y-22383333D02*
-X33666666Y-22383333D01*
-X34066666Y-22183333D02*
-X33833333Y-22883333D01*
-X33600000Y-22183333D01*
-X33433333Y-22883333D02*
-X32966666Y-22883333D01*
-X33266666Y-22183333D01*
-X37241666Y-22233333D02*
-X37241666Y-22933333D01*
-X36975000Y-22933333D01*
-X36908333Y-22900000D01*
-X36875000Y-22866666D01*
-X36841666Y-22800000D01*
-X36841666Y-22700000D01*
-X36875000Y-22633333D01*
-X36908333Y-22600000D01*
-X36975000Y-22566666D01*
-X37241666Y-22566666D01*
-X36575000Y-22433333D02*
-X36241666Y-22433333D01*
-X36641666Y-22233333D02*
-X36408333Y-22933333D01*
-X36175000Y-22233333D01*
-X35641666Y-22933333D02*
-X35775000Y-22933333D01*
-X35841666Y-22900000D01*
-X35875000Y-22866666D01*
-X35941666Y-22766666D01*
-X35975000Y-22633333D01*
-X35975000Y-22366666D01*
-X35941666Y-22300000D01*
-X35908333Y-22266666D01*
-X35841666Y-22233333D01*
-X35708333Y-22233333D01*
-X35641666Y-22266666D01*
-X35608333Y-22300000D01*
-X35575000Y-22366666D01*
-X35575000Y-22533333D01*
-X35608333Y-22600000D01*
-X35641666Y-22633333D01*
-X35708333Y-22666666D01*
-X35841666Y-22666666D01*
-X35908333Y-22633333D01*
-X35941666Y-22600000D01*
-X35975000Y-22533333D01*
-X39791666Y-22183333D02*
-X39791666Y-22883333D01*
-X39525000Y-22883333D01*
-X39458333Y-22850000D01*
-X39425000Y-22816666D01*
-X39391666Y-22750000D01*
-X39391666Y-22650000D01*
-X39425000Y-22583333D01*
-X39458333Y-22550000D01*
-X39525000Y-22516666D01*
-X39791666Y-22516666D01*
-X39125000Y-22383333D02*
-X38791666Y-22383333D01*
-X39191666Y-22183333D02*
-X38958333Y-22883333D01*
-X38725000Y-22183333D01*
-X38158333Y-22883333D02*
-X38491666Y-22883333D01*
-X38525000Y-22550000D01*
-X38491666Y-22583333D01*
-X38425000Y-22616666D01*
-X38258333Y-22616666D01*
-X38191666Y-22583333D01*
-X38158333Y-22550000D01*
-X38125000Y-22483333D01*
-X38125000Y-22316666D01*
-X38158333Y-22250000D01*
-X38191666Y-22216666D01*
-X38258333Y-22183333D01*
-X38425000Y-22183333D01*
-X38491666Y-22216666D01*
-X38525000Y-22250000D01*
-X42316666Y-22183333D02*
-X42316666Y-22883333D01*
-X42050000Y-22883333D01*
-X41983333Y-22850000D01*
-X41950000Y-22816666D01*
-X41916666Y-22750000D01*
-X41916666Y-22650000D01*
-X41950000Y-22583333D01*
-X41983333Y-22550000D01*
-X42050000Y-22516666D01*
-X42316666Y-22516666D01*
-X41650000Y-22383333D02*
-X41316666Y-22383333D01*
-X41716666Y-22183333D02*
-X41483333Y-22883333D01*
-X41250000Y-22183333D01*
-X40716666Y-22650000D02*
-X40716666Y-22183333D01*
-X40883333Y-22916666D02*
-X41050000Y-22416666D01*
-X40616666Y-22416666D01*
-X44841666Y-22183333D02*
-X44841666Y-22883333D01*
-X44575000Y-22883333D01*
-X44508333Y-22850000D01*
-X44475000Y-22816666D01*
-X44441666Y-22750000D01*
-X44441666Y-22650000D01*
-X44475000Y-22583333D01*
-X44508333Y-22550000D01*
-X44575000Y-22516666D01*
-X44841666Y-22516666D01*
-X44175000Y-22383333D02*
-X43841666Y-22383333D01*
-X44241666Y-22183333D02*
-X44008333Y-22883333D01*
-X43775000Y-22183333D01*
-X43608333Y-22883333D02*
-X43175000Y-22883333D01*
-X43408333Y-22616666D01*
-X43308333Y-22616666D01*
-X43241666Y-22583333D01*
-X43208333Y-22550000D01*
-X43175000Y-22483333D01*
-X43175000Y-22316666D01*
-X43208333Y-22250000D01*
-X43241666Y-22216666D01*
-X43308333Y-22183333D01*
-X43508333Y-22183333D01*
-X43575000Y-22216666D01*
-X43608333Y-22250000D01*
-X47446666Y-22183333D02*
-X47446666Y-22883333D01*
-X47180000Y-22883333D01*
-X47113333Y-22850000D01*
-X47080000Y-22816666D01*
-X47046666Y-22750000D01*
-X47046666Y-22650000D01*
-X47080000Y-22583333D01*
-X47113333Y-22550000D01*
-X47180000Y-22516666D01*
-X47446666Y-22516666D01*
-X46780000Y-22383333D02*
-X46446666Y-22383333D01*
-X46846666Y-22183333D02*
-X46613333Y-22883333D01*
-X46380000Y-22183333D01*
-X46180000Y-22816666D02*
-X46146666Y-22850000D01*
-X46080000Y-22883333D01*
-X45913333Y-22883333D01*
-X45846666Y-22850000D01*
-X45813333Y-22816666D01*
-X45780000Y-22750000D01*
-X45780000Y-22683333D01*
-X45813333Y-22583333D01*
-X46213333Y-22183333D01*
-X45780000Y-22183333D01*
-X50046666Y-22183333D02*
-X50046666Y-22883333D01*
-X49780000Y-22883333D01*
-X49713333Y-22850000D01*
-X49680000Y-22816666D01*
-X49646666Y-22750000D01*
-X49646666Y-22650000D01*
-X49680000Y-22583333D01*
-X49713333Y-22550000D01*
-X49780000Y-22516666D01*
-X50046666Y-22516666D01*
-X49380000Y-22383333D02*
-X49046666Y-22383333D01*
-X49446666Y-22183333D02*
-X49213333Y-22883333D01*
-X48980000Y-22183333D01*
-X48380000Y-22183333D02*
-X48780000Y-22183333D01*
-X48580000Y-22183333D02*
-X48580000Y-22883333D01*
-X48646666Y-22783333D01*
-X48713333Y-22716666D01*
-X48780000Y-22683333D01*
-X52208333Y-22850000D02*
-X52275000Y-22883333D01*
-X52375000Y-22883333D01*
-X52475000Y-22850000D01*
-X52541666Y-22783333D01*
-X52575000Y-22716666D01*
-X52608333Y-22583333D01*
-X52608333Y-22483333D01*
-X52575000Y-22350000D01*
-X52541666Y-22283333D01*
-X52475000Y-22216666D01*
-X52375000Y-22183333D01*
-X52308333Y-22183333D01*
-X52208333Y-22216666D01*
-X52175000Y-22250000D01*
-X52175000Y-22483333D01*
-X52308333Y-22483333D01*
-X51875000Y-22183333D02*
-X51875000Y-22883333D01*
-X51475000Y-22183333D01*
-X51475000Y-22883333D01*
-X51141666Y-22183333D02*
-X51141666Y-22883333D01*
-X50975000Y-22883333D01*
-X50875000Y-22850000D01*
-X50808333Y-22783333D01*
-X50775000Y-22716666D01*
-X50741666Y-22583333D01*
-X50741666Y-22483333D01*
-X50775000Y-22350000D01*
-X50808333Y-22283333D01*
-X50875000Y-22216666D01*
-X50975000Y-22183333D01*
-X51141666Y-22183333D01*
-X55091666Y-22183333D02*
-X55091666Y-22883333D01*
-X54825000Y-22883333D01*
-X54758333Y-22850000D01*
-X54725000Y-22816666D01*
-X54691666Y-22750000D01*
-X54691666Y-22650000D01*
-X54725000Y-22583333D01*
-X54758333Y-22550000D01*
-X54825000Y-22516666D01*
-X55091666Y-22516666D01*
-X54158333Y-22550000D02*
-X54058333Y-22516666D01*
-X54025000Y-22483333D01*
-X53991666Y-22416666D01*
-X53991666Y-22316666D01*
-X54025000Y-22250000D01*
-X54058333Y-22216666D01*
-X54125000Y-22183333D01*
-X54391666Y-22183333D01*
-X54391666Y-22883333D01*
-X54158333Y-22883333D01*
-X54091666Y-22850000D01*
-X54058333Y-22816666D01*
-X54025000Y-22750000D01*
-X54025000Y-22683333D01*
-X54058333Y-22616666D01*
-X54091666Y-22583333D01*
-X54158333Y-22550000D01*
-X54391666Y-22550000D01*
-X53658333Y-22183333D02*
-X53525000Y-22183333D01*
-X53458333Y-22216666D01*
-X53425000Y-22250000D01*
-X53358333Y-22350000D01*
-X53325000Y-22483333D01*
-X53325000Y-22750000D01*
-X53358333Y-22816666D01*
-X53391666Y-22850000D01*
-X53458333Y-22883333D01*
-X53591666Y-22883333D01*
-X53658333Y-22850000D01*
-X53691666Y-22816666D01*
-X53725000Y-22750000D01*
-X53725000Y-22583333D01*
-X53691666Y-22516666D01*
-X53658333Y-22483333D01*
-X53591666Y-22450000D01*
-X53458333Y-22450000D01*
-X53391666Y-22483333D01*
-X53358333Y-22516666D01*
-X53325000Y-22583333D01*
-X57925000Y-22183333D02*
-X57925000Y-22883333D01*
-X57658333Y-22883333D01*
-X57591666Y-22850000D01*
-X57558333Y-22816666D01*
-X57525000Y-22750000D01*
-X57525000Y-22650000D01*
-X57558333Y-22583333D01*
-X57591666Y-22550000D01*
-X57658333Y-22516666D01*
-X57925000Y-22516666D01*
-X57258333Y-22383333D02*
-X56925000Y-22383333D01*
-X57325000Y-22183333D02*
-X57091666Y-22883333D01*
-X56858333Y-22183333D01*
-X56258333Y-22183333D02*
-X56658333Y-22183333D01*
-X56458333Y-22183333D02*
-X56458333Y-22883333D01*
-X56525000Y-22783333D01*
-X56591666Y-22716666D01*
-X56658333Y-22683333D01*
-X55825000Y-22883333D02*
-X55758333Y-22883333D01*
-X55691666Y-22850000D01*
-X55658333Y-22816666D01*
-X55625000Y-22750000D01*
-X55591666Y-22616666D01*
-X55591666Y-22450000D01*
-X55625000Y-22316666D01*
-X55658333Y-22250000D01*
-X55691666Y-22216666D01*
-X55758333Y-22183333D01*
-X55825000Y-22183333D01*
-X55891666Y-22216666D01*
-X55925000Y-22250000D01*
-X55958333Y-22316666D01*
-X55991666Y-22450000D01*
-X55991666Y-22616666D01*
-X55958333Y-22750000D01*
-X55925000Y-22816666D01*
-X55891666Y-22850000D01*
-X55825000Y-22883333D01*
-X60091666Y-22183333D02*
-X60091666Y-22883333D01*
-X59825000Y-22883333D01*
-X59758333Y-22850000D01*
-X59725000Y-22816666D01*
-X59691666Y-22750000D01*
-X59691666Y-22650000D01*
-X59725000Y-22583333D01*
-X59758333Y-22550000D01*
-X59825000Y-22516666D01*
-X60091666Y-22516666D01*
-X59425000Y-22383333D02*
-X59091666Y-22383333D01*
-X59491666Y-22183333D02*
-X59258333Y-22883333D01*
-X59025000Y-22183333D01*
-X58758333Y-22183333D02*
-X58625000Y-22183333D01*
-X58558333Y-22216666D01*
-X58525000Y-22250000D01*
-X58458333Y-22350000D01*
-X58425000Y-22483333D01*
-X58425000Y-22750000D01*
-X58458333Y-22816666D01*
-X58491666Y-22850000D01*
-X58558333Y-22883333D01*
-X58691666Y-22883333D01*
-X58758333Y-22850000D01*
-X58791666Y-22816666D01*
-X58825000Y-22750000D01*
-X58825000Y-22583333D01*
-X58791666Y-22516666D01*
-X58758333Y-22483333D01*
-X58691666Y-22450000D01*
-X58558333Y-22450000D01*
-X58491666Y-22483333D01*
-X58458333Y-22516666D01*
-X58425000Y-22583333D01*
-X58508333Y-34341666D02*
-X58741666Y-35041666D01*
-X58975000Y-34341666D01*
-X59208333Y-35041666D02*
-X59208333Y-34341666D01*
-X59541666Y-35041666D02*
-X59541666Y-34341666D01*
-X59941666Y-35041666D01*
-X59941666Y-34341666D01*
-X56191666Y-34375000D02*
-X56125000Y-34341666D01*
-X56025000Y-34341666D01*
-X55925000Y-34375000D01*
-X55858333Y-34441666D01*
-X55825000Y-34508333D01*
-X55791666Y-34641666D01*
-X55791666Y-34741666D01*
-X55825000Y-34875000D01*
-X55858333Y-34941666D01*
-X55925000Y-35008333D01*
-X56025000Y-35041666D01*
-X56091666Y-35041666D01*
-X56191666Y-35008333D01*
-X56225000Y-34975000D01*
-X56225000Y-34741666D01*
-X56091666Y-34741666D01*
-X56525000Y-35041666D02*
-X56525000Y-34341666D01*
-X56925000Y-35041666D01*
-X56925000Y-34341666D01*
-X57258333Y-35041666D02*
-X57258333Y-34341666D01*
-X57425000Y-34341666D01*
-X57525000Y-34375000D01*
-X57591666Y-34441666D01*
-X57625000Y-34508333D01*
-X57658333Y-34641666D01*
-X57658333Y-34741666D01*
-X57625000Y-34875000D01*
-X57591666Y-34941666D01*
-X57525000Y-35008333D01*
-X57425000Y-35041666D01*
-X57258333Y-35041666D01*
-X53308333Y-35041666D02*
-X53308333Y-34341666D01*
-X53575000Y-34341666D01*
-X53641666Y-34375000D01*
-X53675000Y-34408333D01*
-X53708333Y-34475000D01*
-X53708333Y-34575000D01*
-X53675000Y-34641666D01*
-X53641666Y-34675000D01*
-X53575000Y-34708333D01*
-X53308333Y-34708333D01*
-X54241666Y-34675000D02*
-X54341666Y-34708333D01*
-X54375000Y-34741666D01*
-X54408333Y-34808333D01*
-X54408333Y-34908333D01*
-X54375000Y-34975000D01*
-X54341666Y-35008333D01*
-X54275000Y-35041666D01*
-X54008333Y-35041666D01*
-X54008333Y-34341666D01*
-X54241666Y-34341666D01*
-X54308333Y-34375000D01*
-X54341666Y-34408333D01*
-X54375000Y-34475000D01*
-X54375000Y-34541666D01*
-X54341666Y-34608333D01*
-X54308333Y-34641666D01*
-X54241666Y-34675000D01*
-X54008333Y-34675000D01*
-X55041666Y-34341666D02*
-X54708333Y-34341666D01*
-X54675000Y-34675000D01*
-X54708333Y-34641666D01*
-X54775000Y-34608333D01*
-X54941666Y-34608333D01*
-X55008333Y-34641666D01*
-X55041666Y-34675000D01*
-X55075000Y-34741666D01*
-X55075000Y-34908333D01*
-X55041666Y-34975000D01*
-X55008333Y-35008333D01*
-X54941666Y-35041666D01*
-X54775000Y-35041666D01*
-X54708333Y-35008333D01*
-X54675000Y-34975000D01*
-X50758333Y-34341666D02*
-X51191666Y-34341666D01*
-X50958333Y-34608333D01*
-X51058333Y-34608333D01*
-X51125000Y-34641666D01*
-X51158333Y-34675000D01*
-X51191666Y-34741666D01*
-X51191666Y-34908333D01*
-X51158333Y-34975000D01*
-X51125000Y-35008333D01*
-X51058333Y-35041666D01*
-X50858333Y-35041666D01*
-X50791666Y-35008333D01*
-X50758333Y-34975000D01*
-X51391666Y-34341666D02*
-X51625000Y-35041666D01*
-X51858333Y-34341666D01*
-X52025000Y-34341666D02*
-X52458333Y-34341666D01*
-X52225000Y-34608333D01*
-X52325000Y-34608333D01*
-X52391666Y-34641666D01*
-X52425000Y-34675000D01*
-X52458333Y-34741666D01*
-X52458333Y-34908333D01*
-X52425000Y-34975000D01*
-X52391666Y-35008333D01*
-X52325000Y-35041666D01*
-X52125000Y-35041666D01*
-X52058333Y-35008333D01*
-X52025000Y-34975000D01*
-X48208333Y-35041666D02*
-X48208333Y-34341666D01*
-X48475000Y-34341666D01*
-X48541666Y-34375000D01*
-X48575000Y-34408333D01*
-X48608333Y-34475000D01*
-X48608333Y-34575000D01*
-X48575000Y-34641666D01*
-X48541666Y-34675000D01*
-X48475000Y-34708333D01*
-X48208333Y-34708333D01*
-X49141666Y-34675000D02*
-X49241666Y-34708333D01*
-X49275000Y-34741666D01*
-X49308333Y-34808333D01*
-X49308333Y-34908333D01*
-X49275000Y-34975000D01*
-X49241666Y-35008333D01*
-X49175000Y-35041666D01*
-X48908333Y-35041666D01*
-X48908333Y-34341666D01*
-X49141666Y-34341666D01*
-X49208333Y-34375000D01*
-X49241666Y-34408333D01*
-X49275000Y-34475000D01*
-X49275000Y-34541666D01*
-X49241666Y-34608333D01*
-X49208333Y-34641666D01*
-X49141666Y-34675000D01*
-X48908333Y-34675000D01*
-X49908333Y-34575000D02*
-X49908333Y-35041666D01*
-X49741666Y-34308333D02*
-X49575000Y-34808333D01*
-X50008333Y-34808333D01*
-X45658333Y-35041666D02*
-X45658333Y-34341666D01*
-X45925000Y-34341666D01*
-X45991666Y-34375000D01*
-X46025000Y-34408333D01*
-X46058333Y-34475000D01*
-X46058333Y-34575000D01*
-X46025000Y-34641666D01*
-X45991666Y-34675000D01*
-X45925000Y-34708333D01*
-X45658333Y-34708333D01*
-X46591666Y-34675000D02*
-X46691666Y-34708333D01*
-X46725000Y-34741666D01*
-X46758333Y-34808333D01*
-X46758333Y-34908333D01*
-X46725000Y-34975000D01*
-X46691666Y-35008333D01*
-X46625000Y-35041666D01*
-X46358333Y-35041666D01*
-X46358333Y-34341666D01*
-X46591666Y-34341666D01*
-X46658333Y-34375000D01*
-X46691666Y-34408333D01*
-X46725000Y-34475000D01*
-X46725000Y-34541666D01*
-X46691666Y-34608333D01*
-X46658333Y-34641666D01*
-X46591666Y-34675000D01*
-X46358333Y-34675000D01*
-X46991666Y-34341666D02*
-X47425000Y-34341666D01*
-X47191666Y-34608333D01*
-X47291666Y-34608333D01*
-X47358333Y-34641666D01*
-X47391666Y-34675000D01*
-X47425000Y-34741666D01*
-X47425000Y-34908333D01*
-X47391666Y-34975000D01*
-X47358333Y-35008333D01*
-X47291666Y-35041666D01*
-X47091666Y-35041666D01*
-X47025000Y-35008333D01*
-X46991666Y-34975000D01*
-X43158333Y-35041666D02*
-X43158333Y-34341666D01*
-X43425000Y-34341666D01*
-X43491666Y-34375000D01*
-X43525000Y-34408333D01*
-X43558333Y-34475000D01*
-X43558333Y-34575000D01*
-X43525000Y-34641666D01*
-X43491666Y-34675000D01*
-X43425000Y-34708333D01*
-X43158333Y-34708333D01*
-X44091666Y-34675000D02*
-X44191666Y-34708333D01*
-X44225000Y-34741666D01*
-X44258333Y-34808333D01*
-X44258333Y-34908333D01*
-X44225000Y-34975000D01*
-X44191666Y-35008333D01*
-X44125000Y-35041666D01*
-X43858333Y-35041666D01*
-X43858333Y-34341666D01*
-X44091666Y-34341666D01*
-X44158333Y-34375000D01*
-X44191666Y-34408333D01*
-X44225000Y-34475000D01*
-X44225000Y-34541666D01*
-X44191666Y-34608333D01*
-X44158333Y-34641666D01*
-X44091666Y-34675000D01*
-X43858333Y-34675000D01*
-X44858333Y-34341666D02*
-X44725000Y-34341666D01*
-X44658333Y-34375000D01*
-X44625000Y-34408333D01*
-X44558333Y-34508333D01*
-X44525000Y-34641666D01*
-X44525000Y-34908333D01*
-X44558333Y-34975000D01*
-X44591666Y-35008333D01*
-X44658333Y-35041666D01*
-X44791666Y-35041666D01*
-X44858333Y-35008333D01*
-X44891666Y-34975000D01*
-X44925000Y-34908333D01*
-X44925000Y-34741666D01*
-X44891666Y-34675000D01*
-X44858333Y-34641666D01*
-X44791666Y-34608333D01*
-X44658333Y-34608333D01*
-X44591666Y-34641666D01*
-X44558333Y-34675000D01*
-X44525000Y-34741666D01*
-X40608333Y-35041666D02*
-X40608333Y-34341666D01*
-X40875000Y-34341666D01*
-X40941666Y-34375000D01*
-X40975000Y-34408333D01*
-X41008333Y-34475000D01*
-X41008333Y-34575000D01*
-X40975000Y-34641666D01*
-X40941666Y-34675000D01*
-X40875000Y-34708333D01*
-X40608333Y-34708333D01*
-X41541666Y-34675000D02*
-X41641666Y-34708333D01*
-X41675000Y-34741666D01*
-X41708333Y-34808333D01*
-X41708333Y-34908333D01*
-X41675000Y-34975000D01*
-X41641666Y-35008333D01*
-X41575000Y-35041666D01*
-X41308333Y-35041666D01*
-X41308333Y-34341666D01*
-X41541666Y-34341666D01*
-X41608333Y-34375000D01*
-X41641666Y-34408333D01*
-X41675000Y-34475000D01*
-X41675000Y-34541666D01*
-X41641666Y-34608333D01*
-X41608333Y-34641666D01*
-X41541666Y-34675000D01*
-X41308333Y-34675000D01*
-X41941666Y-34341666D02*
-X42408333Y-34341666D01*
-X42108333Y-35041666D01*
-X38058333Y-35041666D02*
-X38058333Y-34341666D01*
-X38325000Y-34341666D01*
-X38391666Y-34375000D01*
-X38425000Y-34408333D01*
-X38458333Y-34475000D01*
-X38458333Y-34575000D01*
-X38425000Y-34641666D01*
-X38391666Y-34675000D01*
-X38325000Y-34708333D01*
-X38058333Y-34708333D01*
-X38991666Y-34675000D02*
-X39091666Y-34708333D01*
-X39125000Y-34741666D01*
-X39158333Y-34808333D01*
-X39158333Y-34908333D01*
-X39125000Y-34975000D01*
-X39091666Y-35008333D01*
-X39025000Y-35041666D01*
-X38758333Y-35041666D01*
-X38758333Y-34341666D01*
-X38991666Y-34341666D01*
-X39058333Y-34375000D01*
-X39091666Y-34408333D01*
-X39125000Y-34475000D01*
-X39125000Y-34541666D01*
-X39091666Y-34608333D01*
-X39058333Y-34641666D01*
-X38991666Y-34675000D01*
-X38758333Y-34675000D01*
-X39425000Y-34408333D02*
-X39458333Y-34375000D01*
-X39525000Y-34341666D01*
-X39691666Y-34341666D01*
-X39758333Y-34375000D01*
-X39791666Y-34408333D01*
-X39825000Y-34475000D01*
-X39825000Y-34541666D01*
-X39791666Y-34641666D01*
-X39391666Y-35041666D01*
-X39825000Y-35041666D01*
-X35275000Y-35041666D02*
-X35275000Y-34341666D01*
-X35541666Y-34341666D01*
-X35608333Y-34375000D01*
-X35641666Y-34408333D01*
-X35675000Y-34475000D01*
-X35675000Y-34575000D01*
-X35641666Y-34641666D01*
-X35608333Y-34675000D01*
-X35541666Y-34708333D01*
-X35275000Y-34708333D01*
-X35941666Y-34841666D02*
-X36275000Y-34841666D01*
-X35875000Y-35041666D02*
-X36108333Y-34341666D01*
-X36341666Y-35041666D01*
-X36941666Y-35041666D02*
-X36541666Y-35041666D01*
-X36741666Y-35041666D02*
-X36741666Y-34341666D01*
-X36675000Y-34441666D01*
-X36608333Y-34508333D01*
-X36541666Y-34541666D01*
-X37575000Y-34341666D02*
-X37241666Y-34341666D01*
-X37208333Y-34675000D01*
-X37241666Y-34641666D01*
-X37308333Y-34608333D01*
-X37475000Y-34608333D01*
-X37541666Y-34641666D01*
-X37575000Y-34675000D01*
-X37608333Y-34741666D01*
-X37608333Y-34908333D01*
-X37575000Y-34975000D01*
-X37541666Y-35008333D01*
-X37475000Y-35041666D01*
-X37308333Y-35041666D01*
-X37241666Y-35008333D01*
-X37208333Y-34975000D01*
-X32958333Y-35041666D02*
-X32958333Y-34341666D01*
-X33225000Y-34341666D01*
-X33291666Y-34375000D01*
-X33325000Y-34408333D01*
-X33358333Y-34475000D01*
-X33358333Y-34575000D01*
-X33325000Y-34641666D01*
-X33291666Y-34675000D01*
-X33225000Y-34708333D01*
-X32958333Y-34708333D01*
-X33891666Y-34675000D02*
-X33991666Y-34708333D01*
-X34025000Y-34741666D01*
-X34058333Y-34808333D01*
-X34058333Y-34908333D01*
-X34025000Y-34975000D01*
-X33991666Y-35008333D01*
-X33925000Y-35041666D01*
-X33658333Y-35041666D01*
-X33658333Y-34341666D01*
-X33891666Y-34341666D01*
-X33958333Y-34375000D01*
-X33991666Y-34408333D01*
-X34025000Y-34475000D01*
-X34025000Y-34541666D01*
-X33991666Y-34608333D01*
-X33958333Y-34641666D01*
-X33891666Y-34675000D01*
-X33658333Y-34675000D01*
-X34458333Y-34641666D02*
-X34391666Y-34608333D01*
-X34358333Y-34575000D01*
-X34325000Y-34508333D01*
-X34325000Y-34475000D01*
-X34358333Y-34408333D01*
-X34391666Y-34375000D01*
-X34458333Y-34341666D01*
-X34591666Y-34341666D01*
-X34658333Y-34375000D01*
-X34691666Y-34408333D01*
-X34725000Y-34475000D01*
-X34725000Y-34508333D01*
-X34691666Y-34575000D01*
-X34658333Y-34608333D01*
-X34591666Y-34641666D01*
-X34458333Y-34641666D01*
-X34391666Y-34675000D01*
-X34358333Y-34708333D01*
-X34325000Y-34775000D01*
-X34325000Y-34908333D01*
-X34358333Y-34975000D01*
-X34391666Y-35008333D01*
-X34458333Y-35041666D01*
-X34591666Y-35041666D01*
-X34658333Y-35008333D01*
-X34691666Y-34975000D01*
-X34725000Y-34908333D01*
-X34725000Y-34775000D01*
-X34691666Y-34708333D01*
-X34658333Y-34675000D01*
-X34591666Y-34641666D01*
-X30408333Y-35041666D02*
-X30408333Y-34341666D01*
-X30675000Y-34341666D01*
-X30741666Y-34375000D01*
-X30775000Y-34408333D01*
-X30808333Y-34475000D01*
-X30808333Y-34575000D01*
-X30775000Y-34641666D01*
-X30741666Y-34675000D01*
-X30675000Y-34708333D01*
-X30408333Y-34708333D01*
-X31341666Y-34675000D02*
-X31441666Y-34708333D01*
-X31475000Y-34741666D01*
-X31508333Y-34808333D01*
-X31508333Y-34908333D01*
-X31475000Y-34975000D01*
-X31441666Y-35008333D01*
-X31375000Y-35041666D01*
-X31108333Y-35041666D01*
-X31108333Y-34341666D01*
-X31341666Y-34341666D01*
-X31408333Y-34375000D01*
-X31441666Y-34408333D01*
-X31475000Y-34475000D01*
-X31475000Y-34541666D01*
-X31441666Y-34608333D01*
-X31408333Y-34641666D01*
-X31341666Y-34675000D01*
-X31108333Y-34675000D01*
-X32175000Y-35041666D02*
-X31775000Y-35041666D01*
-X31975000Y-35041666D02*
-X31975000Y-34341666D01*
-X31908333Y-34441666D01*
-X31841666Y-34508333D01*
-X31775000Y-34541666D01*
-X27958333Y-35041666D02*
-X27958333Y-34341666D01*
-X28225000Y-34341666D01*
-X28291666Y-34375000D01*
-X28325000Y-34408333D01*
-X28358333Y-34475000D01*
-X28358333Y-34575000D01*
-X28325000Y-34641666D01*
-X28291666Y-34675000D01*
-X28225000Y-34708333D01*
-X27958333Y-34708333D01*
-X28625000Y-34841666D02*
-X28958333Y-34841666D01*
-X28558333Y-35041666D02*
-X28791666Y-34341666D01*
-X29025000Y-35041666D01*
-X29358333Y-34641666D02*
-X29291666Y-34608333D01*
-X29258333Y-34575000D01*
-X29225000Y-34508333D01*
-X29225000Y-34475000D01*
-X29258333Y-34408333D01*
-X29291666Y-34375000D01*
-X29358333Y-34341666D01*
-X29491666Y-34341666D01*
-X29558333Y-34375000D01*
-X29591666Y-34408333D01*
-X29625000Y-34475000D01*
-X29625000Y-34508333D01*
-X29591666Y-34575000D01*
-X29558333Y-34608333D01*
-X29491666Y-34641666D01*
-X29358333Y-34641666D01*
-X29291666Y-34675000D01*
-X29258333Y-34708333D01*
-X29225000Y-34775000D01*
-X29225000Y-34908333D01*
-X29258333Y-34975000D01*
-X29291666Y-35008333D01*
-X29358333Y-35041666D01*
-X29491666Y-35041666D01*
-X29558333Y-35008333D01*
-X29591666Y-34975000D01*
-X29625000Y-34908333D01*
-X29625000Y-34775000D01*
-X29591666Y-34708333D01*
-X29558333Y-34675000D01*
-X29491666Y-34641666D01*
-X22475000Y-35041666D02*
-X22475000Y-34341666D01*
-X22741666Y-34341666D01*
-X22808333Y-34375000D01*
-X22841666Y-34408333D01*
-X22875000Y-34475000D01*
-X22875000Y-34575000D01*
-X22841666Y-34641666D01*
-X22808333Y-34675000D01*
-X22741666Y-34708333D01*
-X22475000Y-34708333D01*
-X23408333Y-34675000D02*
-X23508333Y-34708333D01*
-X23541666Y-34741666D01*
-X23575000Y-34808333D01*
-X23575000Y-34908333D01*
-X23541666Y-34975000D01*
-X23508333Y-35008333D01*
-X23441666Y-35041666D01*
-X23175000Y-35041666D01*
-X23175000Y-34341666D01*
-X23408333Y-34341666D01*
-X23475000Y-34375000D01*
-X23508333Y-34408333D01*
-X23541666Y-34475000D01*
-X23541666Y-34541666D01*
-X23508333Y-34608333D01*
-X23475000Y-34641666D01*
-X23408333Y-34675000D01*
-X23175000Y-34675000D01*
-X24241666Y-35041666D02*
-X23841666Y-35041666D01*
-X24041666Y-35041666D02*
-X24041666Y-34341666D01*
-X23975000Y-34441666D01*
-X23908333Y-34508333D01*
-X23841666Y-34541666D01*
-X24475000Y-34341666D02*
-X24908333Y-34341666D01*
-X24675000Y-34608333D01*
-X24775000Y-34608333D01*
-X24841666Y-34641666D01*
-X24875000Y-34675000D01*
-X24908333Y-34741666D01*
-X24908333Y-34908333D01*
-X24875000Y-34975000D01*
-X24841666Y-35008333D01*
-X24775000Y-35041666D01*
-X24575000Y-35041666D01*
-X24508333Y-35008333D01*
-X24475000Y-34975000D01*
-X25358333Y-34341666D02*
-X25791666Y-34341666D01*
-X25558333Y-34608333D01*
-X25658333Y-34608333D01*
-X25725000Y-34641666D01*
-X25758333Y-34675000D01*
-X25791666Y-34741666D01*
-X25791666Y-34908333D01*
-X25758333Y-34975000D01*
-X25725000Y-35008333D01*
-X25658333Y-35041666D01*
-X25458333Y-35041666D01*
-X25391666Y-35008333D01*
-X25358333Y-34975000D01*
-X25991666Y-34341666D02*
-X26225000Y-35041666D01*
-X26458333Y-34341666D01*
-X26625000Y-34341666D02*
-X27058333Y-34341666D01*
-X26825000Y-34608333D01*
-X26925000Y-34608333D01*
-X26991666Y-34641666D01*
-X27025000Y-34675000D01*
-X27058333Y-34741666D01*
-X27058333Y-34908333D01*
-X27025000Y-34975000D01*
-X26991666Y-35008333D01*
-X26925000Y-35041666D01*
-X26725000Y-35041666D01*
-X26658333Y-35008333D01*
-X26625000Y-34975000D01*
-X58166666Y-28116666D02*
-X57466666Y-28116666D01*
-X57466666Y-27950000D01*
-X57500000Y-27850000D01*
-X57566666Y-27783333D01*
-X57633333Y-27750000D01*
-X57766666Y-27716666D01*
-X57866666Y-27716666D01*
-X58000000Y-27750000D01*
-X58066666Y-27783333D01*
-X58133333Y-27850000D01*
-X58166666Y-27950000D01*
-X58166666Y-28116666D01*
-X58166666Y-27416666D02*
-X57466666Y-27416666D01*
-X57466666Y-26950000D02*
-X57466666Y-26816666D01*
-X57500000Y-26750000D01*
-X57566666Y-26683333D01*
-X57700000Y-26650000D01*
-X57933333Y-26650000D01*
-X58066666Y-26683333D01*
-X58133333Y-26750000D01*
-X58166666Y-26816666D01*
-X58166666Y-26950000D01*
-X58133333Y-27016666D01*
-X58066666Y-27083333D01*
-X57933333Y-27116666D01*
-X57700000Y-27116666D01*
-X57566666Y-27083333D01*
-X57500000Y-27016666D01*
-X57466666Y-26950000D01*
-X58100000Y-30316666D02*
-X58133333Y-30350000D01*
-X58166666Y-30450000D01*
-X58166666Y-30516666D01*
-X58133333Y-30616666D01*
-X58066666Y-30683333D01*
-X58000000Y-30716666D01*
-X57866666Y-30750000D01*
-X57766666Y-30750000D01*
-X57633333Y-30716666D01*
-X57566666Y-30683333D01*
-X57500000Y-30616666D01*
-X57466666Y-30516666D01*
-X57466666Y-30450000D01*
-X57500000Y-30350000D01*
-X57533333Y-30316666D01*
-X58166666Y-29683333D02*
-X58166666Y-30016666D01*
-X57466666Y-30016666D01*
-X58166666Y-29450000D02*
-X57466666Y-29450000D01*
-X58166666Y-29050000D02*
-X57766666Y-29350000D01*
-X57466666Y-29050000D02*
-X57866666Y-29450000D01*
-X57475000Y-33033333D02*
-X57441666Y-33100000D01*
-X57441666Y-33200000D01*
-X57475000Y-33300000D01*
-X57541666Y-33366666D01*
-X57608333Y-33400000D01*
-X57741666Y-33433333D01*
-X57841666Y-33433333D01*
-X57975000Y-33400000D01*
-X58041666Y-33366666D01*
-X58108333Y-33300000D01*
-X58141666Y-33200000D01*
-X58141666Y-33133333D01*
-X58108333Y-33033333D01*
-X58075000Y-33000000D01*
-X57841666Y-33000000D01*
-X57841666Y-33133333D01*
-X58141666Y-32700000D02*
-X57441666Y-32700000D01*
-X58141666Y-32300000D01*
-X57441666Y-32300000D01*
-X58141666Y-31966666D02*
-X57441666Y-31966666D01*
-X57441666Y-31800000D01*
-X57475000Y-31700000D01*
-X57541666Y-31633333D01*
-X57608333Y-31600000D01*
-X57741666Y-31566666D01*
-X57841666Y-31566666D01*
-X57975000Y-31600000D01*
-X58041666Y-31633333D01*
-X58108333Y-31700000D01*
-X58141666Y-31800000D01*
-X58141666Y-31966666D01*
-D12*
-X51802380Y-33734285D02*
-X50802380Y-33734285D01*
-X50802380Y-33496190D01*
-X50850000Y-33353333D01*
-X50945238Y-33258095D01*
-X51040476Y-33210476D01*
-X51230952Y-33162857D01*
-X51373809Y-33162857D01*
-X51564285Y-33210476D01*
-X51659523Y-33258095D01*
-X51754761Y-33353333D01*
-X51802380Y-33496190D01*
-X51802380Y-33734285D01*
-X51278571Y-32400952D02*
-X51278571Y-32734285D01*
-X51802380Y-32734285D02*
-X50802380Y-32734285D01*
-X50802380Y-32258095D01*
-X50802380Y-31877142D02*
-X51611904Y-31877142D01*
-X51707142Y-31829523D01*
-X51754761Y-31781904D01*
-X51802380Y-31686666D01*
-X51802380Y-31496190D01*
-X51754761Y-31400952D01*
-X51707142Y-31353333D01*
-X51611904Y-31305714D01*
-X50802380Y-31305714D01*
-X30216071Y-33775714D02*
-X30387500Y-33832857D01*
-X30673214Y-33832857D01*
-X30787500Y-33775714D01*
-X30844642Y-33718571D01*
-X30901785Y-33604285D01*
-X30901785Y-33490000D01*
-X30844642Y-33375714D01*
-X30787500Y-33318571D01*
-X30673214Y-33261428D01*
-X30444642Y-33204285D01*
-X30330357Y-33147142D01*
-X30273214Y-33090000D01*
-X30216071Y-32975714D01*
-X30216071Y-32861428D01*
-X30273214Y-32747142D01*
-X30330357Y-32690000D01*
-X30444642Y-32632857D01*
-X30730357Y-32632857D01*
-X30901785Y-32690000D01*
-X31244642Y-33032857D02*
-X31701785Y-33032857D01*
-X31416071Y-32632857D02*
-X31416071Y-33661428D01*
-X31473214Y-33775714D01*
-X31587500Y-33832857D01*
-X31701785Y-33832857D01*
-X32616071Y-33832857D02*
-X32616071Y-33204285D01*
-X32558928Y-33090000D01*
-X32444642Y-33032857D01*
-X32216071Y-33032857D01*
-X32101785Y-33090000D01*
-X32616071Y-33775714D02*
-X32501785Y-33832857D01*
-X32216071Y-33832857D01*
-X32101785Y-33775714D01*
-X32044642Y-33661428D01*
-X32044642Y-33547142D01*
-X32101785Y-33432857D01*
-X32216071Y-33375714D01*
-X32501785Y-33375714D01*
-X32616071Y-33318571D01*
-X33016071Y-33032857D02*
-X33473214Y-33032857D01*
-X33187500Y-32632857D02*
-X33187500Y-33661428D01*
-X33244642Y-33775714D01*
-X33358928Y-33832857D01*
-X33473214Y-33832857D01*
-X34387500Y-33032857D02*
-X34387500Y-33832857D01*
-X33873214Y-33032857D02*
-X33873214Y-33661428D01*
-X33930357Y-33775714D01*
-X34044642Y-33832857D01*
-X34216071Y-33832857D01*
-X34330357Y-33775714D01*
-X34387500Y-33718571D01*
-X34901785Y-33775714D02*
-X35016071Y-33832857D01*
-X35244642Y-33832857D01*
-X35358928Y-33775714D01*
-X35416071Y-33661428D01*
-X35416071Y-33604285D01*
-X35358928Y-33490000D01*
-X35244642Y-33432857D01*
-X35073214Y-33432857D01*
-X34958928Y-33375714D01*
-X34901785Y-33261428D01*
-X34901785Y-33204285D01*
-X34958928Y-33090000D01*
-X35073214Y-33032857D01*
-X35244642Y-33032857D01*
-X35358928Y-33090000D01*
-X30273214Y-24432857D02*
-X30273214Y-23232857D01*
-X30730357Y-23232857D01*
-X30844642Y-23290000D01*
-X30901785Y-23347142D01*
-X30958928Y-23461428D01*
-X30958928Y-23632857D01*
-X30901785Y-23747142D01*
-X30844642Y-23804285D01*
-X30730357Y-23861428D01*
-X30273214Y-23861428D01*
-X31644642Y-24432857D02*
-X31530357Y-24375714D01*
-X31473214Y-24318571D01*
-X31416071Y-24204285D01*
-X31416071Y-23861428D01*
-X31473214Y-23747142D01*
-X31530357Y-23690000D01*
-X31644642Y-23632857D01*
-X31816071Y-23632857D01*
-X31930357Y-23690000D01*
-X31987500Y-23747142D01*
-X32044642Y-23861428D01*
-X32044642Y-24204285D01*
-X31987500Y-24318571D01*
-X31930357Y-24375714D01*
-X31816071Y-24432857D01*
-X31644642Y-24432857D01*
-X32444642Y-23632857D02*
-X32673214Y-24432857D01*
-X32901785Y-23861428D01*
-X33130357Y-24432857D01*
-X33358928Y-23632857D01*
-X34273214Y-24375714D02*
-X34158928Y-24432857D01*
-X33930357Y-24432857D01*
-X33816071Y-24375714D01*
-X33758928Y-24261428D01*
-X33758928Y-23804285D01*
-X33816071Y-23690000D01*
-X33930357Y-23632857D01*
-X34158928Y-23632857D01*
-X34273214Y-23690000D01*
-X34330357Y-23804285D01*
-X34330357Y-23918571D01*
-X33758928Y-24032857D01*
-X34844642Y-24432857D02*
-X34844642Y-23632857D01*
-X34844642Y-23861428D02*
-X34901785Y-23747142D01*
-X34958928Y-23690000D01*
-X35073214Y-23632857D01*
-X35187500Y-23632857D01*
-D13*
-X63200000Y-37100000D02*
-G75*
-G02X62900000Y-37400000I-300000J0D01*
-G01*
-X62900000Y-19800000D02*
-G75*
-G02X63200000Y-20100000I0J-300000D01*
-G01*
-X20000000Y-20100000D02*
-G75*
-G02X20300000Y-19800000I300000J0D01*
-G01*
-X20300000Y-37400000D02*
-G75*
-G02X20000000Y-37100000I0J300000D01*
-G01*
-X20000000Y-37100000D02*
-X20000000Y-20100000D01*
-X62900000Y-37400000D02*
-X20300000Y-37400000D01*
-X63200000Y-20100000D02*
-X63200000Y-37100000D01*
-X20300000Y-19800000D02*
-X62900000Y-19800000D01*
-D10*
-X51690000Y-29177221D02*
-X51690000Y-29502779D01*
-X50670000Y-29177221D02*
-X50670000Y-29502779D01*
-X60242929Y-32850000D02*
-X60640000Y-32850000D01*
-X60242929Y-32090000D02*
-X60640000Y-32090000D01*
-X69300000Y-32850000D02*
-X63300000Y-32850000D01*
-X69300000Y-32090000D02*
-X69300000Y-32850000D01*
-X63300000Y-32090000D02*
-X69300000Y-32090000D01*
-X60640000Y-31200000D02*
-X63300000Y-31200000D01*
-X60242929Y-30310000D02*
-X60640000Y-30310000D01*
-X60242929Y-29550000D02*
-X60640000Y-29550000D01*
-X69300000Y-30310000D02*
-X63300000Y-30310000D01*
-X69300000Y-29550000D02*
-X69300000Y-30310000D01*
-X63300000Y-29550000D02*
-X69300000Y-29550000D01*
-X60640000Y-28660000D02*
-X63300000Y-28660000D01*
-X60242929Y-27770000D02*
-X60640000Y-27770000D01*
-X60242929Y-27010000D02*
-X60640000Y-27010000D01*
-X69300000Y-27770000D02*
-X63300000Y-27770000D01*
-X69300000Y-27010000D02*
-X69300000Y-27770000D01*
-X63300000Y-27010000D02*
-X69300000Y-27010000D01*
-X60640000Y-26120000D02*
-X63300000Y-26120000D01*
-X60310000Y-25230000D02*
-X60640000Y-25230000D01*
-X60310000Y-24470000D02*
-X60640000Y-24470000D01*
-X63300000Y-25130000D02*
-X69300000Y-25130000D01*
-X63300000Y-25010000D02*
-X69300000Y-25010000D01*
-X63300000Y-24890000D02*
-X69300000Y-24890000D01*
-X63300000Y-24770000D02*
-X69300000Y-24770000D01*
-X63300000Y-24650000D02*
-X69300000Y-24650000D01*
-X63300000Y-24530000D02*
-X69300000Y-24530000D01*
-X69300000Y-25230000D02*
-X63300000Y-25230000D01*
-X69300000Y-24470000D02*
-X69300000Y-25230000D01*
-X63300000Y-24470000D02*
-X69300000Y-24470000D01*
-X63300000Y-23520000D02*
-X60640000Y-23520000D01*
-X63300000Y-33800000D02*
-X63300000Y-23520000D01*
-X60640000Y-33800000D02*
-X63300000Y-33800000D01*
-X60640000Y-23520000D02*
-X60640000Y-33800000D01*
-X57180000Y-24497936D02*
-X57180000Y-25702064D01*
-X54460000Y-24497936D02*
-X54460000Y-25702064D01*
-X55400000Y-28691422D02*
-X55400000Y-29208578D01*
-X53980000Y-28691422D02*
-X53980000Y-29208578D01*
-X20090000Y-20450000D02*
-X21420000Y-20450000D01*
-X20090000Y-21780000D02*
-X20090000Y-20450000D01*
-X20090000Y-23050000D02*
-X22750000Y-23050000D01*
-X22750000Y-23050000D02*
-X22750000Y-23110000D01*
-X20090000Y-23050000D02*
-X20090000Y-23110000D01*
-X20090000Y-23110000D02*
-X22750000Y-23110000D01*
-X22750000Y-34010000D02*
-X20090000Y-34010000D01*
-X22750000Y-34070000D02*
-X22750000Y-34010000D01*
-X20090000Y-34070000D02*
-X20090000Y-34010000D01*
-X22750000Y-34070000D02*
-X20090000Y-34070000D01*
-X22750000Y-35340000D02*
-X22750000Y-36670000D01*
-X22750000Y-36670000D02*
-X21420000Y-36670000D01*
-X37912887Y-28271751D02*
-X37594689Y-28589949D01*
-X37594689Y-28589949D02*
-X37912887Y-28908147D01*
-X42381802Y-23802836D02*
-X42700000Y-23484638D01*
-X42700000Y-23484638D02*
-X43018198Y-23802836D01*
-X43018198Y-33377062D02*
-X42700000Y-33695260D01*
-X42700000Y-33695260D02*
-X42381802Y-33377062D01*
-X47487113Y-28908147D02*
-X47805311Y-28589949D01*
-X47805311Y-28589949D02*
-X47487113Y-28271751D01*
-X47487113Y-28271751D02*
-X48399281Y-27359583D01*
-X52500000Y-34212000D02*
-X56900000Y-34212000D01*
-X56900000Y-34212000D02*
-X56900000Y-34092000D01*
-X56900000Y-33082000D02*
-X56900000Y-31942000D01*
-X56900000Y-30932000D02*
-X56900000Y-30812000D01*
-X56900000Y-30812000D02*
-X52500000Y-30812000D01*
-X52500000Y-30812000D02*
-X52500000Y-30932000D01*
-X52500000Y-31942000D02*
-X52500000Y-33082000D01*
-X52500000Y-34092000D02*
-X52500000Y-34212000D01*
-X32640000Y-29635000D02*
-X32640000Y-29860000D01*
-X35360000Y-27140000D02*
-X34885000Y-27140000D01*
-X35360000Y-27365000D02*
-X35360000Y-27140000D01*
-X35360000Y-29860000D02*
-X34885000Y-29860000D01*
-X35360000Y-29635000D02*
-X35360000Y-29860000D01*
-X32640000Y-27140000D02*
-X33115000Y-27140000D01*
-X32640000Y-27365000D02*
-X32640000Y-27140000D01*
-X52870000Y-24870000D02*
-X50420000Y-24870000D01*
-X51070000Y-28090000D02*
-X52870000Y-28090000D01*
-X28800000Y-30110000D02*
-X31250000Y-30110000D01*
-X30600000Y-26890000D02*
-X28800000Y-26890000D01*
-D12*
-X26869999Y-32570000D02*
-X26869999Y-33070000D01*
-X26869999Y-24070000D02*
-X26869999Y-24570000D01*
-X24369999Y-24070000D02*
-X22369999Y-24070000D01*
-X22369999Y-33070000D02*
-X24369999Y-33070000D01*
-X20369999Y-33070000D02*
-X18674999Y-33070000D01*
-X18674999Y-24070000D02*
-X20369999Y-24070000D01*
-X18674999Y-33070000D02*
-X18674999Y-24070000D01*
-D10*
-X29985000Y-32865000D02*
-X27700000Y-32865000D01*
-X29985000Y-34335000D02*
-X29985000Y-32865000D01*
-X27700000Y-34335000D02*
-X29985000Y-34335000D01*
-X29985000Y-22865000D02*
-X27700000Y-22865000D01*
-X29985000Y-24335000D02*
-X29985000Y-22865000D01*
-X27700000Y-24335000D02*
-X29985000Y-24335000D01*
-X55790000Y-28701422D02*
-X55790000Y-29218578D01*
-X57210000Y-28701422D02*
-X57210000Y-29218578D01*
-X51838578Y-23180000D02*
-X51321422Y-23180000D01*
-X51838578Y-24600000D02*
-X51321422Y-24600000D01*
-D11*
-X51473333Y-31102857D02*
-X51454285Y-31121904D01*
-X51397142Y-31140952D01*
-X51359047Y-31140952D01*
-X51301904Y-31121904D01*
-X51263809Y-31083809D01*
-X51244761Y-31045714D01*
-X51225714Y-30969523D01*
-X51225714Y-30912380D01*
-X51244761Y-30836190D01*
-X51263809Y-30798095D01*
-X51301904Y-30760000D01*
-X51359047Y-30740952D01*
-X51397142Y-30740952D01*
-X51454285Y-30760000D01*
-X51473333Y-30779047D01*
-X51625714Y-30779047D02*
-X51644761Y-30760000D01*
-X51682857Y-30740952D01*
-X51778095Y-30740952D01*
-X51816190Y-30760000D01*
-X51835238Y-30779047D01*
-X51854285Y-30817142D01*
-X51854285Y-30855238D01*
-X51835238Y-30912380D01*
-X51606666Y-31140952D01*
-X51854285Y-31140952D01*
-X48210952Y-33347142D02*
-X48020476Y-33480476D01*
-X48210952Y-33575714D02*
-X47810952Y-33575714D01*
-X47810952Y-33423333D01*
-X47830000Y-33385238D01*
-X47849047Y-33366190D01*
-X47887142Y-33347142D01*
-X47944285Y-33347142D01*
-X47982380Y-33366190D01*
-X48001428Y-33385238D01*
-X48020476Y-33423333D01*
-X48020476Y-33575714D01*
-X48210952Y-32966190D02*
-X48210952Y-33194761D01*
-X48210952Y-33080476D02*
-X47810952Y-33080476D01*
-X47868095Y-33118571D01*
-X47906190Y-33156666D01*
-X47925238Y-33194761D01*
-X47810952Y-32832857D02*
-X47810952Y-32585238D01*
-X47963333Y-32718571D01*
-X47963333Y-32661428D01*
-X47982380Y-32623333D01*
-X48001428Y-32604285D01*
-X48039523Y-32585238D01*
-X48134761Y-32585238D01*
-X48172857Y-32604285D01*
-X48191904Y-32623333D01*
-X48210952Y-32661428D01*
-X48210952Y-32775714D01*
-X48191904Y-32813809D01*
-X48172857Y-32832857D01*
-D14*
-X55745000Y-25303571D02*
-X55530714Y-25303571D01*
-X55530714Y-24853571D01*
-X56130714Y-25303571D02*
-X55873571Y-25303571D01*
-X56002142Y-25303571D02*
-X56002142Y-24853571D01*
-X55959285Y-24917857D01*
-X55916428Y-24960714D01*
-X55873571Y-24982142D01*
-X52150714Y-30470714D02*
-X52129285Y-30492142D01*
-X52065000Y-30513571D01*
-X52022142Y-30513571D01*
-X51957857Y-30492142D01*
-X51915000Y-30449285D01*
-X51893571Y-30406428D01*
-X51872142Y-30320714D01*
-X51872142Y-30256428D01*
-X51893571Y-30170714D01*
-X51915000Y-30127857D01*
-X51957857Y-30085000D01*
-X52022142Y-30063571D01*
-X52065000Y-30063571D01*
-X52129285Y-30085000D01*
-X52150714Y-30106428D01*
-X52579285Y-30513571D02*
-X52322142Y-30513571D01*
-X52450714Y-30513571D02*
-X52450714Y-30063571D01*
-X52407857Y-30127857D01*
-X52365000Y-30170714D01*
-X52322142Y-30192142D01*
-X53007857Y-30513571D02*
-X52750714Y-30513571D01*
-X52879285Y-30513571D02*
-X52879285Y-30063571D01*
-X52836428Y-30127857D01*
-X52793571Y-30170714D01*
-X52750714Y-30192142D01*
-X52530714Y-29753571D02*
-X52380714Y-29539285D01*
-X52273571Y-29753571D02*
-X52273571Y-29303571D01*
-X52445000Y-29303571D01*
-X52487857Y-29325000D01*
-X52509285Y-29346428D01*
-X52530714Y-29389285D01*
-X52530714Y-29453571D01*
-X52509285Y-29496428D01*
-X52487857Y-29517857D01*
-X52445000Y-29539285D01*
-X52273571Y-29539285D01*
-X52959285Y-29753571D02*
-X52702142Y-29753571D01*
-X52830714Y-29753571D02*
-X52830714Y-29303571D01*
-X52787857Y-29367857D01*
-X52745000Y-29410714D01*
-X52702142Y-29432142D01*
-X53130714Y-29346428D02*
-X53152142Y-29325000D01*
-X53195000Y-29303571D01*
-X53302142Y-29303571D01*
-X53345000Y-29325000D01*
-X53366428Y-29346428D01*
-X53387857Y-29389285D01*
-X53387857Y-29432142D01*
-X53366428Y-29496428D01*
-X53109285Y-29753571D01*
-X53387857Y-29753571D01*
-X48137142Y-26153571D02*
-X48137142Y-26517857D01*
-X48158571Y-26560714D01*
-X48180000Y-26582142D01*
-X48222857Y-26603571D01*
-X48308571Y-26603571D01*
-X48351428Y-26582142D01*
-X48372857Y-26560714D01*
-X48394285Y-26517857D01*
-X48394285Y-26153571D01*
-X48587142Y-26196428D02*
-X48608571Y-26175000D01*
-X48651428Y-26153571D01*
-X48758571Y-26153571D01*
-X48801428Y-26175000D01*
-X48822857Y-26196428D01*
-X48844285Y-26239285D01*
-X48844285Y-26282142D01*
-X48822857Y-26346428D01*
-X48565714Y-26603571D01*
-X48844285Y-26603571D01*
-X54725000Y-33982142D02*
-X54789285Y-34003571D01*
-X54896428Y-34003571D01*
-X54939285Y-33982142D01*
-X54960714Y-33960714D01*
-X54982142Y-33917857D01*
-X54982142Y-33875000D01*
-X54960714Y-33832142D01*
-X54939285Y-33810714D01*
-X54896428Y-33789285D01*
-X54810714Y-33767857D01*
-X54767857Y-33746428D01*
-X54746428Y-33725000D01*
-X54725000Y-33682142D01*
-X54725000Y-33639285D01*
-X54746428Y-33596428D01*
-X54767857Y-33575000D01*
-X54810714Y-33553571D01*
-X54917857Y-33553571D01*
-X54982142Y-33575000D01*
-X55132142Y-33553571D02*
-X55239285Y-34003571D01*
-X55325000Y-33682142D01*
-X55410714Y-34003571D01*
-X55517857Y-33553571D01*
-X55925000Y-34003571D02*
-X55667857Y-34003571D01*
-X55796428Y-34003571D02*
-X55796428Y-33553571D01*
-X55753571Y-33617857D01*
-X55710714Y-33660714D01*
-X55667857Y-33682142D01*
-X33010714Y-31689285D02*
-X33032142Y-31710714D01*
-X33053571Y-31775000D01*
-X33053571Y-31817857D01*
-X33032142Y-31882142D01*
-X32989285Y-31925000D01*
-X32946428Y-31946428D01*
-X32860714Y-31967857D01*
-X32796428Y-31967857D01*
-X32710714Y-31946428D01*
-X32667857Y-31925000D01*
-X32625000Y-31882142D01*
-X32603571Y-31817857D01*
-X32603571Y-31775000D01*
-X32625000Y-31710714D01*
-X32646428Y-31689285D01*
-X33053571Y-31260714D02*
-X33053571Y-31517857D01*
-X33053571Y-31389285D02*
-X32603571Y-31389285D01*
-X32667857Y-31432142D01*
-X32710714Y-31475000D01*
-X32732142Y-31517857D01*
-X32603571Y-30982142D02*
-X32603571Y-30939285D01*
-X32625000Y-30896428D01*
-X32646428Y-30875000D01*
-X32689285Y-30853571D01*
-X32775000Y-30832142D01*
-X32882142Y-30832142D01*
-X32967857Y-30853571D01*
-X33010714Y-30875000D01*
-X33032142Y-30896428D01*
-X33053571Y-30939285D01*
-X33053571Y-30982142D01*
-X33032142Y-31025000D01*
-X33010714Y-31046428D01*
-X32967857Y-31067857D01*
-X32882142Y-31089285D01*
-X32775000Y-31089285D01*
-X32689285Y-31067857D01*
-X32646428Y-31046428D01*
-X32625000Y-31025000D01*
-X32603571Y-30982142D01*
-X46925000Y-23460715D02*
-X46903571Y-23482143D01*
-X46839285Y-23503572D01*
-X46796428Y-23503572D01*
-X46732142Y-23482143D01*
-X46689285Y-23439286D01*
-X46667857Y-23396429D01*
-X46646428Y-23310715D01*
-X46646428Y-23246429D01*
-X46667857Y-23160715D01*
-X46689285Y-23117858D01*
-X46732142Y-23075001D01*
-X46796428Y-23053572D01*
-X46839285Y-23053572D01*
-X46903571Y-23075001D01*
-X46925000Y-23096429D01*
-X47139285Y-23503572D02*
-X47225000Y-23503572D01*
-X47267857Y-23482143D01*
-X47289285Y-23460715D01*
-X47332142Y-23396429D01*
-X47353571Y-23310715D01*
-X47353571Y-23139286D01*
-X47332142Y-23096429D01*
-X47310714Y-23075001D01*
-X47267857Y-23053572D01*
-X47182142Y-23053572D01*
-X47139285Y-23075001D01*
-X47117857Y-23096429D01*
-X47096428Y-23139286D01*
-X47096428Y-23246429D01*
-X47117857Y-23289286D01*
-X47139285Y-23310715D01*
-X47182142Y-23332143D01*
-X47267857Y-23332143D01*
-X47310714Y-23310715D01*
-X47332142Y-23289286D01*
-X47353571Y-23246429D01*
-X35435000Y-30870714D02*
-X35413571Y-30892142D01*
-X35349285Y-30913571D01*
-X35306428Y-30913571D01*
-X35242142Y-30892142D01*
-X35199285Y-30849285D01*
-X35177857Y-30806428D01*
-X35156428Y-30720714D01*
-X35156428Y-30656428D01*
-X35177857Y-30570714D01*
-X35199285Y-30527857D01*
-X35242142Y-30485000D01*
-X35306428Y-30463571D01*
-X35349285Y-30463571D01*
-X35413571Y-30485000D01*
-X35435000Y-30506428D01*
-X35692142Y-30656428D02*
-X35649285Y-30635000D01*
-X35627857Y-30613571D01*
-X35606428Y-30570714D01*
-X35606428Y-30549285D01*
-X35627857Y-30506428D01*
-X35649285Y-30485000D01*
-X35692142Y-30463571D01*
-X35777857Y-30463571D01*
-X35820714Y-30485000D01*
-X35842142Y-30506428D01*
-X35863571Y-30549285D01*
-X35863571Y-30570714D01*
-X35842142Y-30613571D01*
-X35820714Y-30635000D01*
-X35777857Y-30656428D01*
-X35692142Y-30656428D01*
-X35649285Y-30677857D01*
-X35627857Y-30699285D01*
-X35606428Y-30742142D01*
-X35606428Y-30827857D01*
-X35627857Y-30870714D01*
-X35649285Y-30892142D01*
-X35692142Y-30913571D01*
-X35777857Y-30913571D01*
-X35820714Y-30892142D01*
-X35842142Y-30870714D01*
-X35863571Y-30827857D01*
-X35863571Y-30742142D01*
-X35842142Y-30699285D01*
-X35820714Y-30677857D01*
-X35777857Y-30656428D01*
-X36385000Y-29100714D02*
-X36363571Y-29122142D01*
-X36299285Y-29143571D01*
-X36256428Y-29143571D01*
-X36192142Y-29122142D01*
-X36149285Y-29079285D01*
-X36127857Y-29036428D01*
-X36106428Y-28950714D01*
-X36106428Y-28886428D01*
-X36127857Y-28800714D01*
-X36149285Y-28757857D01*
-X36192142Y-28715000D01*
-X36256428Y-28693571D01*
-X36299285Y-28693571D01*
-X36363571Y-28715000D01*
-X36385000Y-28736428D01*
-X36535000Y-28693571D02*
-X36835000Y-28693571D01*
-X36642142Y-29143571D01*
-X48125000Y-31560714D02*
-X48103571Y-31582142D01*
-X48039285Y-31603571D01*
-X47996428Y-31603571D01*
-X47932142Y-31582142D01*
-X47889285Y-31539285D01*
-X47867857Y-31496428D01*
-X47846428Y-31410714D01*
-X47846428Y-31346428D01*
-X47867857Y-31260714D01*
-X47889285Y-31217857D01*
-X47932142Y-31175000D01*
-X47996428Y-31153571D01*
-X48039285Y-31153571D01*
-X48103571Y-31175000D01*
-X48125000Y-31196428D01*
-X48510714Y-31153571D02*
-X48425000Y-31153571D01*
-X48382142Y-31175000D01*
-X48360714Y-31196428D01*
-X48317857Y-31260714D01*
-X48296428Y-31346428D01*
-X48296428Y-31517857D01*
-X48317857Y-31560714D01*
-X48339285Y-31582142D01*
-X48382142Y-31603571D01*
-X48467857Y-31603571D01*
-X48510714Y-31582142D01*
-X48532142Y-31560714D01*
-X48553571Y-31517857D01*
-X48553571Y-31410714D01*
-X48532142Y-31367857D01*
-X48510714Y-31346428D01*
-X48467857Y-31325000D01*
-X48382142Y-31325000D01*
-X48339285Y-31346428D01*
-X48317857Y-31367857D01*
-X48296428Y-31410714D01*
-X48975000Y-32110714D02*
-X48953571Y-32132142D01*
-X48889285Y-32153571D01*
-X48846428Y-32153571D01*
-X48782142Y-32132142D01*
-X48739285Y-32089285D01*
-X48717857Y-32046428D01*
-X48696428Y-31960714D01*
-X48696428Y-31896428D01*
-X48717857Y-31810714D01*
-X48739285Y-31767857D01*
-X48782142Y-31725000D01*
-X48846428Y-31703571D01*
-X48889285Y-31703571D01*
-X48953571Y-31725000D01*
-X48975000Y-31746428D01*
-X49382142Y-31703571D02*
-X49167857Y-31703571D01*
-X49146428Y-31917857D01*
-X49167857Y-31896428D01*
-X49210714Y-31875000D01*
-X49317857Y-31875000D01*
-X49360714Y-31896428D01*
-X49382142Y-31917857D01*
-X49403571Y-31960714D01*
-X49403571Y-32067857D01*
-X49382142Y-32110714D01*
-X49360714Y-32132142D01*
-X49317857Y-32153571D01*
-X49210714Y-32153571D01*
-X49167857Y-32132142D01*
-X49146428Y-32110714D01*
-X54270714Y-25355000D02*
-X54292142Y-25376428D01*
-X54313571Y-25440714D01*
-X54313571Y-25483571D01*
-X54292142Y-25547857D01*
-X54249285Y-25590714D01*
-X54206428Y-25612142D01*
-X54120714Y-25633571D01*
-X54056428Y-25633571D01*
-X53970714Y-25612142D01*
-X53927857Y-25590714D01*
-X53885000Y-25547857D01*
-X53863571Y-25483571D01*
-X53863571Y-25440714D01*
-X53885000Y-25376428D01*
-X53906428Y-25355000D01*
-X53863571Y-25205000D02*
-X53863571Y-24926428D01*
-X54035000Y-25076428D01*
-X54035000Y-25012142D01*
-X54056428Y-24969285D01*
-X54077857Y-24947857D01*
-X54120714Y-24926428D01*
-X54227857Y-24926428D01*
-X54270714Y-24947857D01*
-X54292142Y-24969285D01*
-X54313571Y-25012142D01*
-X54313571Y-25140714D01*
-X54292142Y-25183571D01*
-X54270714Y-25205000D01*
-X36400714Y-24733571D02*
-X36250714Y-24519285D01*
-X36143571Y-24733571D02*
-X36143571Y-24283571D01*
-X36315000Y-24283571D01*
-X36357857Y-24305000D01*
-X36379285Y-24326428D01*
-X36400714Y-24369285D01*
-X36400714Y-24433571D01*
-X36379285Y-24476428D01*
-X36357857Y-24497857D01*
-X36315000Y-24519285D01*
-X36143571Y-24519285D01*
-X36829285Y-24733571D02*
-X36572142Y-24733571D01*
-X36700714Y-24733571D02*
-X36700714Y-24283571D01*
-X36657857Y-24347857D01*
-X36615000Y-24390714D01*
-X36572142Y-24412142D01*
-X37257857Y-24733571D02*
-X37000714Y-24733571D01*
-X37129285Y-24733571D02*
-X37129285Y-24283571D01*
-X37086428Y-24347857D01*
-X37043571Y-24390714D01*
-X37000714Y-24412142D01*
-X34330714Y-25983571D02*
-X34180714Y-25769285D01*
-X34073571Y-25983571D02*
-X34073571Y-25533571D01*
-X34245000Y-25533571D01*
-X34287857Y-25555000D01*
-X34309285Y-25576428D01*
-X34330714Y-25619285D01*
-X34330714Y-25683571D01*
-X34309285Y-25726428D01*
-X34287857Y-25747857D01*
-X34245000Y-25769285D01*
-X34073571Y-25769285D01*
-X34759285Y-25983571D02*
-X34502142Y-25983571D01*
-X34630714Y-25983571D02*
-X34630714Y-25533571D01*
-X34587857Y-25597857D01*
-X34545000Y-25640714D01*
-X34502142Y-25662142D01*
-X35037857Y-25533571D02*
-X35080714Y-25533571D01*
-X35123571Y-25555000D01*
-X35145000Y-25576428D01*
-X35166428Y-25619285D01*
-X35187857Y-25705000D01*
-X35187857Y-25812142D01*
-X35166428Y-25897857D01*
-X35145000Y-25940714D01*
-X35123571Y-25962142D01*
-X35080714Y-25983571D01*
-X35037857Y-25983571D01*
-X34995000Y-25962142D01*
-X34973571Y-25940714D01*
-X34952142Y-25897857D01*
-X34930714Y-25812142D01*
-X34930714Y-25705000D01*
-X34952142Y-25619285D01*
-X34973571Y-25576428D01*
-X34995000Y-25555000D01*
-X35037857Y-25533571D01*
-X31525000Y-32003571D02*
-X31375000Y-31789285D01*
-X31267857Y-32003571D02*
-X31267857Y-31553571D01*
-X31439285Y-31553571D01*
-X31482142Y-31575000D01*
-X31503571Y-31596428D01*
-X31525000Y-31639285D01*
-X31525000Y-31703571D01*
-X31503571Y-31746428D01*
-X31482142Y-31767857D01*
-X31439285Y-31789285D01*
-X31267857Y-31789285D01*
-X31739285Y-32003571D02*
-X31825000Y-32003571D01*
-X31867857Y-31982142D01*
-X31889285Y-31960714D01*
-X31932142Y-31896428D01*
-X31953571Y-31810714D01*
-X31953571Y-31639285D01*
-X31932142Y-31596428D01*
-X31910714Y-31575000D01*
-X31867857Y-31553571D01*
-X31782142Y-31553571D01*
-X31739285Y-31575000D01*
-X31717857Y-31596428D01*
-X31696428Y-31639285D01*
-X31696428Y-31746428D01*
-X31717857Y-31789285D01*
-X31739285Y-31810714D01*
-X31782142Y-31832142D01*
-X31867857Y-31832142D01*
-X31910714Y-31810714D01*
-X31932142Y-31789285D01*
-X31953571Y-31746428D01*
-X31525000Y-25463571D02*
-X31375000Y-25249285D01*
-X31267857Y-25463571D02*
-X31267857Y-25013571D01*
-X31439285Y-25013571D01*
-X31482142Y-25035000D01*
-X31503571Y-25056428D01*
-X31525000Y-25099285D01*
-X31525000Y-25163571D01*
-X31503571Y-25206428D01*
-X31482142Y-25227857D01*
-X31439285Y-25249285D01*
-X31267857Y-25249285D01*
-X31782142Y-25206428D02*
-X31739285Y-25185000D01*
-X31717857Y-25163571D01*
-X31696428Y-25120714D01*
-X31696428Y-25099285D01*
-X31717857Y-25056428D01*
-X31739285Y-25035000D01*
-X31782142Y-25013571D01*
-X31867857Y-25013571D01*
-X31910714Y-25035000D01*
-X31932142Y-25056428D01*
-X31953571Y-25099285D01*
-X31953571Y-25120714D01*
-X31932142Y-25163571D01*
-X31910714Y-25185000D01*
-X31867857Y-25206428D01*
-X31782142Y-25206428D01*
-X31739285Y-25227857D01*
-X31717857Y-25249285D01*
-X31696428Y-25292142D01*
-X31696428Y-25377857D01*
-X31717857Y-25420714D01*
-X31739285Y-25442142D01*
-X31782142Y-25463571D01*
-X31867857Y-25463571D01*
-X31910714Y-25442142D01*
-X31932142Y-25420714D01*
-X31953571Y-25377857D01*
-X31953571Y-25292142D01*
-X31932142Y-25249285D01*
-X31910714Y-25227857D01*
-X31867857Y-25206428D01*
-X49285000Y-28543571D02*
-X49135000Y-28329285D01*
-X49027857Y-28543571D02*
-X49027857Y-28093571D01*
-X49199285Y-28093571D01*
-X49242142Y-28115000D01*
-X49263571Y-28136428D01*
-X49285000Y-28179285D01*
-X49285000Y-28243571D01*
-X49263571Y-28286428D01*
-X49242142Y-28307857D01*
-X49199285Y-28329285D01*
-X49027857Y-28329285D01*
-X49435000Y-28093571D02*
-X49735000Y-28093571D01*
-X49542142Y-28543571D01*
-X49005000Y-29213571D02*
-X48855000Y-28999285D01*
-X48747857Y-29213571D02*
-X48747857Y-28763571D01*
-X48919285Y-28763571D01*
-X48962142Y-28785000D01*
-X48983571Y-28806428D01*
-X49005000Y-28849285D01*
-X49005000Y-28913571D01*
-X48983571Y-28956428D01*
-X48962142Y-28977857D01*
-X48919285Y-28999285D01*
-X48747857Y-28999285D01*
-X49390714Y-28763571D02*
-X49305000Y-28763571D01*
-X49262142Y-28785000D01*
-X49240714Y-28806428D01*
-X49197857Y-28870714D01*
-X49176428Y-28956428D01*
-X49176428Y-29127857D01*
-X49197857Y-29170714D01*
-X49219285Y-29192142D01*
-X49262142Y-29213571D01*
-X49347857Y-29213571D01*
-X49390714Y-29192142D01*
-X49412142Y-29170714D01*
-X49433571Y-29127857D01*
-X49433571Y-29020714D01*
-X49412142Y-28977857D01*
-X49390714Y-28956428D01*
-X49347857Y-28935000D01*
-X49262142Y-28935000D01*
-X49219285Y-28956428D01*
-X49197857Y-28977857D01*
-X49176428Y-29020714D01*
-X47633571Y-33165000D02*
-X47419285Y-33315000D01*
-X47633571Y-33422142D02*
-X47183571Y-33422142D01*
-X47183571Y-33250714D01*
-X47205000Y-33207857D01*
-X47226428Y-33186428D01*
-X47269285Y-33165000D01*
-X47333571Y-33165000D01*
-X47376428Y-33186428D01*
-X47397857Y-33207857D01*
-X47419285Y-33250714D01*
-X47419285Y-33422142D01*
-X47183571Y-32757857D02*
-X47183571Y-32972142D01*
-X47397857Y-32993571D01*
-X47376428Y-32972142D01*
-X47355000Y-32929285D01*
-X47355000Y-32822142D01*
-X47376428Y-32779285D01*
-X47397857Y-32757857D01*
-X47440714Y-32736428D01*
-X47547857Y-32736428D01*
-X47590714Y-32757857D01*
-X47612142Y-32779285D01*
-X47633571Y-32822142D01*
-X47633571Y-32929285D01*
-X47612142Y-32972142D01*
-X47590714Y-32993571D01*
-X27545000Y-32403571D02*
-X27395000Y-32189285D01*
-X27287857Y-32403571D02*
-X27287857Y-31953571D01*
-X27459285Y-31953571D01*
-X27502142Y-31975000D01*
-X27523571Y-31996428D01*
-X27545000Y-32039285D01*
-X27545000Y-32103571D01*
-X27523571Y-32146428D01*
-X27502142Y-32167857D01*
-X27459285Y-32189285D01*
-X27287857Y-32189285D01*
-X27930714Y-32103571D02*
-X27930714Y-32403571D01*
-X27823571Y-31932142D02*
-X27716428Y-32253571D01*
-X27995000Y-32253571D01*
-X27735000Y-25103571D02*
-X27585000Y-24889285D01*
-X27477857Y-25103571D02*
-X27477857Y-24653571D01*
-X27649285Y-24653571D01*
-X27692142Y-24675000D01*
-X27713571Y-24696428D01*
-X27735000Y-24739285D01*
-X27735000Y-24803571D01*
-X27713571Y-24846428D01*
-X27692142Y-24867857D01*
-X27649285Y-24889285D01*
-X27477857Y-24889285D01*
-X27885000Y-24653571D02*
-X28163571Y-24653571D01*
-X28013571Y-24825000D01*
-X28077857Y-24825000D01*
-X28120714Y-24846428D01*
-X28142142Y-24867857D01*
-X28163571Y-24910714D01*
-X28163571Y-25017857D01*
-X28142142Y-25060714D01*
-X28120714Y-25082142D01*
-X28077857Y-25103571D01*
-X27949285Y-25103571D01*
-X27906428Y-25082142D01*
-X27885000Y-25060714D01*
-X27755000Y-26203571D02*
-X27605000Y-25989285D01*
-X27497857Y-26203571D02*
-X27497857Y-25753571D01*
-X27669285Y-25753571D01*
-X27712142Y-25775000D01*
-X27733571Y-25796428D01*
-X27755000Y-25839285D01*
-X27755000Y-25903571D01*
-X27733571Y-25946428D01*
-X27712142Y-25967857D01*
-X27669285Y-25989285D01*
-X27497857Y-25989285D01*
-X27926428Y-25796428D02*
-X27947857Y-25775000D01*
-X27990714Y-25753571D01*
-X28097857Y-25753571D01*
-X28140714Y-25775000D01*
-X28162142Y-25796428D01*
-X28183571Y-25839285D01*
-X28183571Y-25882142D01*
-X28162142Y-25946428D01*
-X27905000Y-26203571D01*
-X28183571Y-26203571D01*
-X27565000Y-31203571D02*
-X27415000Y-30989285D01*
-X27307857Y-31203571D02*
-X27307857Y-30753571D01*
-X27479285Y-30753571D01*
-X27522142Y-30775000D01*
-X27543571Y-30796428D01*
-X27565000Y-30839285D01*
-X27565000Y-30903571D01*
-X27543571Y-30946428D01*
-X27522142Y-30967857D01*
-X27479285Y-30989285D01*
-X27307857Y-30989285D01*
-X27993571Y-31203571D02*
-X27736428Y-31203571D01*
-X27865000Y-31203571D02*
-X27865000Y-30753571D01*
-X27822142Y-30817857D01*
-X27779285Y-30860714D01*
-X27736428Y-30882142D01*
-X34727142Y-26533571D02*
-X34727142Y-26897857D01*
-X34748571Y-26940714D01*
-X34770000Y-26962142D01*
-X34812857Y-26983571D01*
-X34898571Y-26983571D01*
-X34941428Y-26962142D01*
-X34962857Y-26940714D01*
-X34984285Y-26897857D01*
-X34984285Y-26533571D01*
-X35391428Y-26683571D02*
-X35391428Y-26983571D01*
-X35284285Y-26512142D02*
-X35177142Y-26833571D01*
-X35455714Y-26833571D01*
-X54266428Y-26137142D02*
-X53902142Y-26137142D01*
-X53859285Y-26158571D01*
-X53837857Y-26180000D01*
-X53816428Y-26222857D01*
-X53816428Y-26308571D01*
-X53837857Y-26351428D01*
-X53859285Y-26372857D01*
-X53902142Y-26394285D01*
-X54266428Y-26394285D01*
-X54266428Y-26565714D02*
-X54266428Y-26844285D01*
-X54095000Y-26694285D01*
-X54095000Y-26758571D01*
-X54073571Y-26801428D01*
-X54052142Y-26822857D01*
-X54009285Y-26844285D01*
-X53902142Y-26844285D01*
-X53859285Y-26822857D01*
-X53837857Y-26801428D01*
-X53816428Y-26758571D01*
-X53816428Y-26630000D01*
-X53837857Y-26587142D01*
-X53859285Y-26565714D01*
-X30907142Y-26528571D02*
-X30907142Y-26892857D01*
-X30928571Y-26935714D01*
-X30950000Y-26957142D01*
-X30992857Y-26978571D01*
-X31078571Y-26978571D01*
-X31121428Y-26957142D01*
-X31142857Y-26935714D01*
-X31164285Y-26892857D01*
-X31164285Y-26528571D01*
-X31614285Y-26978571D02*
-X31357142Y-26978571D01*
-X31485714Y-26978571D02*
-X31485714Y-26528571D01*
-X31442857Y-26592857D01*
-X31400000Y-26635714D01*
-X31357142Y-26657142D01*
-X53415000Y-30490714D02*
-X53393571Y-30512142D01*
-X53329285Y-30533571D01*
-X53286428Y-30533571D01*
-X53222142Y-30512142D01*
-X53179285Y-30469285D01*
-X53157857Y-30426428D01*
-X53136428Y-30340714D01*
-X53136428Y-30276428D01*
-X53157857Y-30190714D01*
-X53179285Y-30147857D01*
-X53222142Y-30105000D01*
-X53286428Y-30083571D01*
-X53329285Y-30083571D01*
-X53393571Y-30105000D01*
-X53415000Y-30126428D01*
-X53800714Y-30233571D02*
-X53800714Y-30533571D01*
-X53693571Y-30062142D02*
-X53586428Y-30383571D01*
-X53865000Y-30383571D01*
-X49525000Y-24040714D02*
-X49503571Y-24062142D01*
-X49439285Y-24083571D01*
-X49396428Y-24083571D01*
-X49332142Y-24062142D01*
-X49289285Y-24019285D01*
-X49267857Y-23976428D01*
-X49246428Y-23890714D01*
-X49246428Y-23826428D01*
-X49267857Y-23740714D01*
-X49289285Y-23697857D01*
-X49332142Y-23655000D01*
-X49396428Y-23633571D01*
-X49439285Y-23633571D01*
-X49503571Y-23655000D01*
-X49525000Y-23676428D01*
-X49953571Y-24083571D02*
-X49696428Y-24083571D01*
-X49825000Y-24083571D02*
-X49825000Y-23633571D01*
-X49782142Y-23697857D01*
-X49739285Y-23740714D01*
-X49696428Y-23762142D01*
-M02*
diff --git a/pcb/gerber/OtterPill-F_Silkscreen.gto b/pcb/gerber/OtterPill-F_Silkscreen.gto
new file mode 100644
index 0000000..4d5ad31
--- /dev/null
+++ b/pcb/gerber/OtterPill-F_Silkscreen.gto
@@ -0,0 +1,830 @@
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-4013-gfd874d834)*
+G04 #@! TF.CreationDate,2020-10-14T12:43:31+02:00*
+G04 #@! TF.ProjectId,OtterPill,4f747465-7250-4696-9c6c-2e6b69636164,rev?*
+G04 #@! TF.SameCoordinates,Original*
+G04 #@! TF.FileFunction,Legend,Top*
+G04 #@! TF.FilePolarity,Positive*
+%FSLAX46Y46*%
+G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
+G04 Created by KiCad (PCBNEW (5.99.0-4013-gfd874d834)) date 2020-10-14 12:43:31*
+%MOMM*%
+%LPD*%
+G01*
+G04 APERTURE LIST*
+G04 #@! TA.AperFunction,Profile*
+%ADD10C,0.050000*%
+G04 #@! TD*
+%ADD11C,0.150000*%
+%ADD12C,0.120000*%
+G04 APERTURE END LIST*
+D10*
+X225200000Y-48500000D02*
+X225200000Y-88500000D01*
+X140200000Y-93500000D02*
+G75*
+G02*
+X135200000Y-88500000I0J5000000D01*
+G01*
+X220200000Y-43500000D02*
+G75*
+G02*
+X225200000Y-48500000I0J-5000000D01*
+G01*
+X225200000Y-88500000D02*
+G75*
+G02*
+X220200000Y-93500000I-5000000J0D01*
+G01*
+X140200000Y-43500000D02*
+X220200000Y-43500000D01*
+X135200000Y-48500000D02*
+G75*
+G02*
+X140200000Y-43500000I5000000J0D01*
+G01*
+X135200000Y-88500000D02*
+X135200000Y-48500000D01*
+X220200000Y-93500000D02*
+X140200000Y-93500000D01*
+D11*
+X151657142Y-62657142D02*
+X151609523Y-62704761D01*
+X151466666Y-62752380D01*
+X151371428Y-62752380D01*
+X151228571Y-62704761D01*
+X151133333Y-62609523D01*
+X151085714Y-62514285D01*
+X151038095Y-62323809D01*
+X151038095Y-62180952D01*
+X151085714Y-61990476D01*
+X151133333Y-61895238D01*
+X151228571Y-61800000D01*
+X151371428Y-61752380D01*
+X151466666Y-61752380D01*
+X151609523Y-61800000D01*
+X151657142Y-61847619D01*
+X152609523Y-62752380D02*
+X152038095Y-62752380D01*
+X152323809Y-62752380D02*
+X152323809Y-61752380D01*
+X152228571Y-61895238D01*
+X152133333Y-61990476D01*
+X152038095Y-62038095D01*
+X152990476Y-61847619D02*
+X153038095Y-61800000D01*
+X153133333Y-61752380D01*
+X153371428Y-61752380D01*
+X153466666Y-61800000D01*
+X153514285Y-61847619D01*
+X153561904Y-61942857D01*
+X153561904Y-62038095D01*
+X153514285Y-62180952D01*
+X152942857Y-62752380D01*
+X153561904Y-62752380D01*
+X147157142Y-74557142D02*
+X147109523Y-74604761D01*
+X146966666Y-74652380D01*
+X146871428Y-74652380D01*
+X146728571Y-74604761D01*
+X146633333Y-74509523D01*
+X146585714Y-74414285D01*
+X146538095Y-74223809D01*
+X146538095Y-74080952D01*
+X146585714Y-73890476D01*
+X146633333Y-73795238D01*
+X146728571Y-73700000D01*
+X146871428Y-73652380D01*
+X146966666Y-73652380D01*
+X147109523Y-73700000D01*
+X147157142Y-73747619D01*
+X148109523Y-74652380D02*
+X147538095Y-74652380D01*
+X147823809Y-74652380D02*
+X147823809Y-73652380D01*
+X147728571Y-73795238D01*
+X147633333Y-73890476D01*
+X147538095Y-73938095D01*
+X148442857Y-73652380D02*
+X149061904Y-73652380D01*
+X148728571Y-74033333D01*
+X148871428Y-74033333D01*
+X148966666Y-74080952D01*
+X149014285Y-74128571D01*
+X149061904Y-74223809D01*
+X149061904Y-74461904D01*
+X149014285Y-74557142D01*
+X148966666Y-74604761D01*
+X148871428Y-74652380D01*
+X148585714Y-74652380D01*
+X148490476Y-74604761D01*
+X148442857Y-74557142D01*
+X141157142Y-69952380D02*
+X140823809Y-69476190D01*
+X140585714Y-69952380D02*
+X140585714Y-68952380D01*
+X140966666Y-68952380D01*
+X141061904Y-69000000D01*
+X141109523Y-69047619D01*
+X141157142Y-69142857D01*
+X141157142Y-69285714D01*
+X141109523Y-69380952D01*
+X141061904Y-69428571D01*
+X140966666Y-69476190D01*
+X140585714Y-69476190D01*
+X142109523Y-69952380D02*
+X141538095Y-69952380D01*
+X141823809Y-69952380D02*
+X141823809Y-68952380D01*
+X141728571Y-69095238D01*
+X141633333Y-69190476D01*
+X141538095Y-69238095D01*
+X142966666Y-69285714D02*
+X142966666Y-69952380D01*
+X142728571Y-68904761D02*
+X142490476Y-69619047D01*
+X143109523Y-69619047D01*
+X147257142Y-76052380D02*
+X146923809Y-75576190D01*
+X146685714Y-76052380D02*
+X146685714Y-75052380D01*
+X147066666Y-75052380D01*
+X147161904Y-75100000D01*
+X147209523Y-75147619D01*
+X147257142Y-75242857D01*
+X147257142Y-75385714D01*
+X147209523Y-75480952D01*
+X147161904Y-75528571D01*
+X147066666Y-75576190D01*
+X146685714Y-75576190D01*
+X148209523Y-76052380D02*
+X147638095Y-76052380D01*
+X147923809Y-76052380D02*
+X147923809Y-75052380D01*
+X147828571Y-75195238D01*
+X147733333Y-75290476D01*
+X147638095Y-75338095D01*
+X149114285Y-75052380D02*
+X148638095Y-75052380D01*
+X148590476Y-75528571D01*
+X148638095Y-75480952D01*
+X148733333Y-75433333D01*
+X148971428Y-75433333D01*
+X149066666Y-75480952D01*
+X149114285Y-75528571D01*
+X149161904Y-75623809D01*
+X149161904Y-75861904D01*
+X149114285Y-75957142D01*
+X149066666Y-76004761D01*
+X148971428Y-76052380D01*
+X148733333Y-76052380D01*
+X148638095Y-76004761D01*
+X148590476Y-75957142D01*
+X151757142Y-61552380D02*
+X151423809Y-61076190D01*
+X151185714Y-61552380D02*
+X151185714Y-60552380D01*
+X151566666Y-60552380D01*
+X151661904Y-60600000D01*
+X151709523Y-60647619D01*
+X151757142Y-60742857D01*
+X151757142Y-60885714D01*
+X151709523Y-60980952D01*
+X151661904Y-61028571D01*
+X151566666Y-61076190D01*
+X151185714Y-61076190D01*
+X152709523Y-61552380D02*
+X152138095Y-61552380D01*
+X152423809Y-61552380D02*
+X152423809Y-60552380D01*
+X152328571Y-60695238D01*
+X152233333Y-60790476D01*
+X152138095Y-60838095D01*
+X153566666Y-60552380D02*
+X153376190Y-60552380D01*
+X153280952Y-60600000D01*
+X153233333Y-60647619D01*
+X153138095Y-60790476D01*
+X153090476Y-60980952D01*
+X153090476Y-61361904D01*
+X153138095Y-61457142D01*
+X153185714Y-61504761D01*
+X153280952Y-61552380D01*
+X153471428Y-61552380D01*
+X153566666Y-61504761D01*
+X153614285Y-61457142D01*
+X153661904Y-61361904D01*
+X153661904Y-61123809D01*
+X153614285Y-61028571D01*
+X153566666Y-60980952D01*
+X153471428Y-60933333D01*
+X153280952Y-60933333D01*
+X153185714Y-60980952D01*
+X153138095Y-61028571D01*
+X153090476Y-61123809D01*
+X141157142Y-67952380D02*
+X140823809Y-67476190D01*
+X140585714Y-67952380D02*
+X140585714Y-66952380D01*
+X140966666Y-66952380D01*
+X141061904Y-67000000D01*
+X141109523Y-67047619D01*
+X141157142Y-67142857D01*
+X141157142Y-67285714D01*
+X141109523Y-67380952D01*
+X141061904Y-67428571D01*
+X140966666Y-67476190D01*
+X140585714Y-67476190D01*
+X142109523Y-67952380D02*
+X141538095Y-67952380D01*
+X141823809Y-67952380D02*
+X141823809Y-66952380D01*
+X141728571Y-67095238D01*
+X141633333Y-67190476D01*
+X141538095Y-67238095D01*
+X142442857Y-66952380D02*
+X143109523Y-66952380D01*
+X142680952Y-67952380D01*
+X160104761Y-69833333D02*
+X160152380Y-69690476D01*
+X160152380Y-69452380D01*
+X160104761Y-69357142D01*
+X160057142Y-69309523D01*
+X159961904Y-69261904D01*
+X159866666Y-69261904D01*
+X159771428Y-69309523D01*
+X159723809Y-69357142D01*
+X159676190Y-69452380D01*
+X159628571Y-69642857D01*
+X159580952Y-69738095D01*
+X159533333Y-69785714D01*
+X159438095Y-69833333D01*
+X159342857Y-69833333D01*
+X159247619Y-69785714D01*
+X159200000Y-69738095D01*
+X159152380Y-69642857D01*
+X159152380Y-69404761D01*
+X159200000Y-69261904D01*
+X159152380Y-68928571D02*
+X160152380Y-68690476D01*
+X159438095Y-68500000D01*
+X160152380Y-68309523D01*
+X159152380Y-68071428D01*
+X159247619Y-67738095D02*
+X159200000Y-67690476D01*
+X159152380Y-67595238D01*
+X159152380Y-67357142D01*
+X159200000Y-67261904D01*
+X159247619Y-67214285D01*
+X159342857Y-67166666D01*
+X159438095Y-67166666D01*
+X159580952Y-67214285D01*
+X160152380Y-67785714D01*
+X160152380Y-67166666D01*
+X142730761Y-79833333D02*
+X142778380Y-79690476D01*
+X142778380Y-79452380D01*
+X142730761Y-79357142D01*
+X142683142Y-79309523D01*
+X142587904Y-79261904D01*
+X142492666Y-79261904D01*
+X142397428Y-79309523D01*
+X142349809Y-79357142D01*
+X142302190Y-79452380D01*
+X142254571Y-79642857D01*
+X142206952Y-79738095D01*
+X142159333Y-79785714D01*
+X142064095Y-79833333D01*
+X141968857Y-79833333D01*
+X141873619Y-79785714D01*
+X141826000Y-79738095D01*
+X141778380Y-79642857D01*
+X141778380Y-79404761D01*
+X141826000Y-79261904D01*
+X141778380Y-78928571D02*
+X142778380Y-78690476D01*
+X142064095Y-78500000D01*
+X142778380Y-78309523D01*
+X141778380Y-78071428D01*
+X142111714Y-77261904D02*
+X142778380Y-77261904D01*
+X141730761Y-77500000D02*
+X142445047Y-77738095D01*
+X142445047Y-77119047D01*
+X201104761Y-69833333D02*
+X201152380Y-69690476D01*
+X201152380Y-69452380D01*
+X201104761Y-69357142D01*
+X201057142Y-69309523D01*
+X200961904Y-69261904D01*
+X200866666Y-69261904D01*
+X200771428Y-69309523D01*
+X200723809Y-69357142D01*
+X200676190Y-69452380D01*
+X200628571Y-69642857D01*
+X200580952Y-69738095D01*
+X200533333Y-69785714D01*
+X200438095Y-69833333D01*
+X200342857Y-69833333D01*
+X200247619Y-69785714D01*
+X200200000Y-69738095D01*
+X200152380Y-69642857D01*
+X200152380Y-69404761D01*
+X200200000Y-69261904D01*
+X200152380Y-68928571D02*
+X201152380Y-68690476D01*
+X200438095Y-68500000D01*
+X201152380Y-68309523D01*
+X200152380Y-68071428D01*
+X200152380Y-67785714D02*
+X200152380Y-67119047D01*
+X201152380Y-67547619D01*
+X218478761Y-59833333D02*
+X218526380Y-59690476D01*
+X218526380Y-59452380D01*
+X218478761Y-59357142D01*
+X218431142Y-59309523D01*
+X218335904Y-59261904D01*
+X218240666Y-59261904D01*
+X218145428Y-59309523D01*
+X218097809Y-59357142D01*
+X218050190Y-59452380D01*
+X218002571Y-59642857D01*
+X217954952Y-59738095D01*
+X217907333Y-59785714D01*
+X217812095Y-59833333D01*
+X217716857Y-59833333D01*
+X217621619Y-59785714D01*
+X217574000Y-59738095D01*
+X217526380Y-59642857D01*
+X217526380Y-59404761D01*
+X217574000Y-59261904D01*
+X217526380Y-58928571D02*
+X218526380Y-58690476D01*
+X217812095Y-58500000D01*
+X218526380Y-58309523D01*
+X217526380Y-58071428D01*
+X217954952Y-57547619D02*
+X217907333Y-57642857D01*
+X217859714Y-57690476D01*
+X217764476Y-57738095D01*
+X217716857Y-57738095D01*
+X217621619Y-57690476D01*
+X217574000Y-57642857D01*
+X217526380Y-57547619D01*
+X217526380Y-57357142D01*
+X217574000Y-57261904D01*
+X217621619Y-57214285D01*
+X217716857Y-57166666D01*
+X217764476Y-57166666D01*
+X217859714Y-57214285D01*
+X217907333Y-57261904D01*
+X217954952Y-57357142D01*
+X217954952Y-57547619D01*
+X218002571Y-57642857D01*
+X218050190Y-57690476D01*
+X218145428Y-57738095D01*
+X218335904Y-57738095D01*
+X218431142Y-57690476D01*
+X218478761Y-57642857D01*
+X218526380Y-57547619D01*
+X218526380Y-57357142D01*
+X218478761Y-57261904D01*
+X218431142Y-57214285D01*
+X218335904Y-57166666D01*
+X218145428Y-57166666D01*
+X218050190Y-57214285D01*
+X218002571Y-57261904D01*
+X217954952Y-57357142D01*
+X142730761Y-59833333D02*
+X142778380Y-59690476D01*
+X142778380Y-59452380D01*
+X142730761Y-59357142D01*
+X142683142Y-59309523D01*
+X142587904Y-59261904D01*
+X142492666Y-59261904D01*
+X142397428Y-59309523D01*
+X142349809Y-59357142D01*
+X142302190Y-59452380D01*
+X142254571Y-59642857D01*
+X142206952Y-59738095D01*
+X142159333Y-59785714D01*
+X142064095Y-59833333D01*
+X141968857Y-59833333D01*
+X141873619Y-59785714D01*
+X141826000Y-59738095D01*
+X141778380Y-59642857D01*
+X141778380Y-59404761D01*
+X141826000Y-59261904D01*
+X141778380Y-58928571D02*
+X142778380Y-58690476D01*
+X142064095Y-58500000D01*
+X142778380Y-58309523D01*
+X141778380Y-58071428D01*
+X142778380Y-57642857D02*
+X142778380Y-57452380D01*
+X142730761Y-57357142D01*
+X142683142Y-57309523D01*
+X142540285Y-57214285D01*
+X142349809Y-57166666D01*
+X141968857Y-57166666D01*
+X141873619Y-57214285D01*
+X141826000Y-57261904D01*
+X141778380Y-57357142D01*
+X141778380Y-57547619D01*
+X141826000Y-57642857D01*
+X141873619Y-57690476D01*
+X141968857Y-57738095D01*
+X142206952Y-57738095D01*
+X142302190Y-57690476D01*
+X142349809Y-57642857D01*
+X142397428Y-57547619D01*
+X142397428Y-57357142D01*
+X142349809Y-57261904D01*
+X142302190Y-57214285D01*
+X142206952Y-57166666D01*
+X198478761Y-60309523D02*
+X198526380Y-60166666D01*
+X198526380Y-59928571D01*
+X198478761Y-59833333D01*
+X198431142Y-59785714D01*
+X198335904Y-59738095D01*
+X198240666Y-59738095D01*
+X198145428Y-59785714D01*
+X198097809Y-59833333D01*
+X198050190Y-59928571D01*
+X198002571Y-60119047D01*
+X197954952Y-60214285D01*
+X197907333Y-60261904D01*
+X197812095Y-60309523D01*
+X197716857Y-60309523D01*
+X197621619Y-60261904D01*
+X197574000Y-60214285D01*
+X197526380Y-60119047D01*
+X197526380Y-59880952D01*
+X197574000Y-59738095D01*
+X197526380Y-59404761D02*
+X198526380Y-59166666D01*
+X197812095Y-58976190D01*
+X198526380Y-58785714D01*
+X197526380Y-58547619D01*
+X198526380Y-57642857D02*
+X198526380Y-58214285D01*
+X198526380Y-57928571D02*
+X197526380Y-57928571D01*
+X197669238Y-58023809D01*
+X197764476Y-58119047D01*
+X197812095Y-58214285D01*
+X197526380Y-57023809D02*
+X197526380Y-56928571D01*
+X197574000Y-56833333D01*
+X197621619Y-56785714D01*
+X197716857Y-56738095D01*
+X197907333Y-56690476D01*
+X198145428Y-56690476D01*
+X198335904Y-56738095D01*
+X198431142Y-56785714D01*
+X198478761Y-56833333D01*
+X198526380Y-56928571D01*
+X198526380Y-57023809D01*
+X198478761Y-57119047D01*
+X198431142Y-57166666D01*
+X198335904Y-57214285D01*
+X198145428Y-57261904D01*
+X197907333Y-57261904D01*
+X197716857Y-57214285D01*
+X197621619Y-57166666D01*
+X197574000Y-57119047D01*
+X197526380Y-57023809D01*
+X162730761Y-60309523D02*
+X162778380Y-60166666D01*
+X162778380Y-59928571D01*
+X162730761Y-59833333D01*
+X162683142Y-59785714D01*
+X162587904Y-59738095D01*
+X162492666Y-59738095D01*
+X162397428Y-59785714D01*
+X162349809Y-59833333D01*
+X162302190Y-59928571D01*
+X162254571Y-60119047D01*
+X162206952Y-60214285D01*
+X162159333Y-60261904D01*
+X162064095Y-60309523D01*
+X161968857Y-60309523D01*
+X161873619Y-60261904D01*
+X161826000Y-60214285D01*
+X161778380Y-60119047D01*
+X161778380Y-59880952D01*
+X161826000Y-59738095D01*
+X161778380Y-59404761D02*
+X162778380Y-59166666D01*
+X162064095Y-58976190D01*
+X162778380Y-58785714D01*
+X161778380Y-58547619D01*
+X162778380Y-57642857D02*
+X162778380Y-58214285D01*
+X162778380Y-57928571D02*
+X161778380Y-57928571D01*
+X161921238Y-58023809D01*
+X162016476Y-58119047D01*
+X162064095Y-58214285D01*
+X162778380Y-56690476D02*
+X162778380Y-57261904D01*
+X162778380Y-56976190D02*
+X161778380Y-56976190D01*
+X161921238Y-57071428D01*
+X162016476Y-57166666D01*
+X162064095Y-57261904D01*
+X198478761Y-79833333D02*
+X198526380Y-79690476D01*
+X198526380Y-79452380D01*
+X198478761Y-79357142D01*
+X198431142Y-79309523D01*
+X198335904Y-79261904D01*
+X198240666Y-79261904D01*
+X198145428Y-79309523D01*
+X198097809Y-79357142D01*
+X198050190Y-79452380D01*
+X198002571Y-79642857D01*
+X197954952Y-79738095D01*
+X197907333Y-79785714D01*
+X197812095Y-79833333D01*
+X197716857Y-79833333D01*
+X197621619Y-79785714D01*
+X197574000Y-79738095D01*
+X197526380Y-79642857D01*
+X197526380Y-79404761D01*
+X197574000Y-79261904D01*
+X197526380Y-78928571D02*
+X198526380Y-78690476D01*
+X197812095Y-78500000D01*
+X198526380Y-78309523D01*
+X197526380Y-78071428D01*
+X197526380Y-77214285D02*
+X197526380Y-77690476D01*
+X198002571Y-77738095D01*
+X197954952Y-77690476D01*
+X197907333Y-77595238D01*
+X197907333Y-77357142D01*
+X197954952Y-77261904D01*
+X198002571Y-77214285D01*
+X198097809Y-77166666D01*
+X198335904Y-77166666D01*
+X198431142Y-77214285D01*
+X198478761Y-77261904D01*
+X198526380Y-77357142D01*
+X198526380Y-77595238D01*
+X198478761Y-77690476D01*
+X198431142Y-77738095D01*
+X218478761Y-79833333D02*
+X218526380Y-79690476D01*
+X218526380Y-79452380D01*
+X218478761Y-79357142D01*
+X218431142Y-79309523D01*
+X218335904Y-79261904D01*
+X218240666Y-79261904D01*
+X218145428Y-79309523D01*
+X218097809Y-79357142D01*
+X218050190Y-79452380D01*
+X218002571Y-79642857D01*
+X217954952Y-79738095D01*
+X217907333Y-79785714D01*
+X217812095Y-79833333D01*
+X217716857Y-79833333D01*
+X217621619Y-79785714D01*
+X217574000Y-79738095D01*
+X217526380Y-79642857D01*
+X217526380Y-79404761D01*
+X217574000Y-79261904D01*
+X217526380Y-78928571D02*
+X218526380Y-78690476D01*
+X217812095Y-78500000D01*
+X218526380Y-78309523D01*
+X217526380Y-78071428D01*
+X217526380Y-77785714D02*
+X217526380Y-77166666D01*
+X217907333Y-77500000D01*
+X217907333Y-77357142D01*
+X217954952Y-77261904D01*
+X218002571Y-77214285D01*
+X218097809Y-77166666D01*
+X218335904Y-77166666D01*
+X218431142Y-77214285D01*
+X218478761Y-77261904D01*
+X218526380Y-77357142D01*
+X218526380Y-77642857D01*
+X218478761Y-77738095D01*
+X218431142Y-77785714D01*
+X220438095Y-86152380D02*
+X220438095Y-85152380D01*
+X220438095Y-85628571D02*
+X221009523Y-85628571D01*
+X221009523Y-86152380D02*
+X221009523Y-85152380D01*
+X222009523Y-86152380D02*
+X221438095Y-86152380D01*
+X221723809Y-86152380D02*
+X221723809Y-85152380D01*
+X221628571Y-85295238D01*
+X221533333Y-85390476D01*
+X221438095Y-85438095D01*
+X138438095Y-44152380D02*
+X138438095Y-43152380D01*
+X138438095Y-43628571D02*
+X139009523Y-43628571D01*
+X139009523Y-44152380D02*
+X139009523Y-43152380D01*
+X139438095Y-43247619D02*
+X139485714Y-43200000D01*
+X139580952Y-43152380D01*
+X139819047Y-43152380D01*
+X139914285Y-43200000D01*
+X139961904Y-43247619D01*
+X140009523Y-43342857D01*
+X140009523Y-43438095D01*
+X139961904Y-43580952D01*
+X139390476Y-44152380D01*
+X140009523Y-44152380D01*
+X220438095Y-44152380D02*
+X220438095Y-43152380D01*
+X220438095Y-43628571D02*
+X221009523Y-43628571D01*
+X221009523Y-44152380D02*
+X221009523Y-43152380D01*
+X221914285Y-43485714D02*
+X221914285Y-44152380D01*
+X221676190Y-43104761D02*
+X221438095Y-43819047D01*
+X222057142Y-43819047D01*
+X138438095Y-86152380D02*
+X138438095Y-85152380D01*
+X138438095Y-85628571D02*
+X139009523Y-85628571D01*
+X139009523Y-86152380D02*
+X139009523Y-85152380D01*
+X139390476Y-85152380D02*
+X140009523Y-85152380D01*
+X139676190Y-85533333D01*
+X139819047Y-85533333D01*
+X139914285Y-85580952D01*
+X139961904Y-85628571D01*
+X140009523Y-85723809D01*
+X140009523Y-85961904D01*
+X139961904Y-86057142D01*
+X139914285Y-86104761D01*
+X139819047Y-86152380D01*
+X139533333Y-86152380D01*
+X139438095Y-86104761D01*
+X139390476Y-86057142D01*
+X162730761Y-79833333D02*
+X162778380Y-79690476D01*
+X162778380Y-79452380D01*
+X162730761Y-79357142D01*
+X162683142Y-79309523D01*
+X162587904Y-79261904D01*
+X162492666Y-79261904D01*
+X162397428Y-79309523D01*
+X162349809Y-79357142D01*
+X162302190Y-79452380D01*
+X162254571Y-79642857D01*
+X162206952Y-79738095D01*
+X162159333Y-79785714D01*
+X162064095Y-79833333D01*
+X161968857Y-79833333D01*
+X161873619Y-79785714D01*
+X161826000Y-79738095D01*
+X161778380Y-79642857D01*
+X161778380Y-79404761D01*
+X161826000Y-79261904D01*
+X161778380Y-78928571D02*
+X162778380Y-78690476D01*
+X162064095Y-78500000D01*
+X162778380Y-78309523D01*
+X161778380Y-78071428D01*
+X161778380Y-77261904D02*
+X161778380Y-77452380D01*
+X161826000Y-77547619D01*
+X161873619Y-77595238D01*
+X162016476Y-77690476D01*
+X162206952Y-77738095D01*
+X162587904Y-77738095D01*
+X162683142Y-77690476D01*
+X162730761Y-77642857D01*
+X162778380Y-77547619D01*
+X162778380Y-77357142D01*
+X162730761Y-77261904D01*
+X162683142Y-77214285D01*
+X162587904Y-77166666D01*
+X162349809Y-77166666D01*
+X162254571Y-77214285D01*
+X162206952Y-77261904D01*
+X162159333Y-77357142D01*
+X162159333Y-77547619D01*
+X162206952Y-77642857D01*
+X162254571Y-77690476D01*
+X162349809Y-77738095D01*
+D12*
+X148528733Y-70010000D02*
+X148871267Y-70010000D01*
+X148528733Y-68990000D02*
+X148871267Y-68990000D01*
+X148871267Y-66990000D02*
+X148528733Y-66990000D01*
+X148871267Y-68010000D02*
+X148528733Y-68010000D01*
+X144828733Y-68990000D02*
+X145171267Y-68990000D01*
+X144828733Y-70010000D02*
+X145171267Y-70010000D01*
+X148871267Y-70990000D02*
+X148528733Y-70990000D01*
+X148871267Y-72010000D02*
+X148528733Y-72010000D01*
+X148528733Y-66010000D02*
+X148871267Y-66010000D01*
+X148528733Y-64990000D02*
+X148871267Y-64990000D01*
+X145171267Y-68010000D02*
+X144828733Y-68010000D01*
+X145171267Y-66990000D02*
+X144828733Y-66990000D01*
+X166900000Y-62300000D02*
+X166900000Y-74700000D01*
+X153500000Y-74700000D02*
+X153500000Y-62300000D01*
+X153500000Y-62300000D02*
+X166900000Y-62300000D01*
+X166900000Y-74700000D02*
+X153500000Y-74700000D01*
+X143215000Y-71515000D02*
+X157185000Y-71515000D01*
+X157185000Y-85485000D02*
+X143215000Y-85485000D01*
+X143215000Y-85485000D02*
+X143215000Y-71515000D01*
+X157185000Y-71515000D02*
+X157185000Y-85485000D01*
+X206900000Y-74700000D02*
+X193500000Y-74700000D01*
+X206900000Y-62300000D02*
+X206900000Y-74700000D01*
+X193500000Y-62300000D02*
+X206900000Y-62300000D01*
+X193500000Y-74700000D02*
+X193500000Y-62300000D01*
+X217185000Y-51515000D02*
+X217185000Y-65485000D01*
+X217185000Y-65485000D02*
+X203215000Y-65485000D01*
+X203215000Y-51515000D02*
+X217185000Y-51515000D01*
+X203215000Y-65485000D02*
+X203215000Y-51515000D01*
+X143215000Y-51515000D02*
+X157185000Y-51515000D01*
+X143215000Y-65485000D02*
+X143215000Y-51515000D01*
+X157185000Y-51515000D02*
+X157185000Y-65485000D01*
+X157185000Y-65485000D02*
+X143215000Y-65485000D01*
+X197185000Y-51515000D02*
+X197185000Y-65485000D01*
+X197185000Y-65485000D02*
+X183215000Y-65485000D01*
+X183215000Y-51515000D02*
+X197185000Y-51515000D01*
+X183215000Y-65485000D02*
+X183215000Y-51515000D01*
+X163215000Y-51515000D02*
+X177185000Y-51515000D01*
+X177185000Y-65485000D02*
+X163215000Y-65485000D01*
+X163215000Y-65485000D02*
+X163215000Y-51515000D01*
+X177185000Y-51515000D02*
+X177185000Y-65485000D01*
+X183215000Y-71515000D02*
+X197185000Y-71515000D01*
+X183215000Y-85485000D02*
+X183215000Y-71515000D01*
+X197185000Y-85485000D02*
+X183215000Y-85485000D01*
+X197185000Y-71515000D02*
+X197185000Y-85485000D01*
+X203215000Y-71515000D02*
+X217185000Y-71515000D01*
+X217185000Y-71515000D02*
+X217185000Y-85485000D01*
+X203215000Y-85485000D02*
+X203215000Y-71515000D01*
+X217185000Y-85485000D02*
+X203215000Y-85485000D01*
+X177185000Y-85485000D02*
+X163215000Y-85485000D01*
+X163215000Y-71515000D02*
+X177185000Y-71515000D01*
+X163215000Y-85485000D02*
+X163215000Y-71515000D01*
+X177185000Y-71515000D02*
+X177185000Y-85485000D01*
+M02*
diff --git a/pcb/gerber/OtterPill-NPTH.drl b/pcb/gerber/OtterPill-NPTH.drl
index 17d2a7d..437d5a2 100644
--- a/pcb/gerber/OtterPill-NPTH.drl
+++ b/pcb/gerber/OtterPill-NPTH.drl
@@ -1,17 +1,51 @@
M48
-; DRILL file {KiCad 5.1.5-52549c5~84~ubuntu19.10.1} date Sa 18 Jan 2020 22:14:40 CET
+; DRILL file {KiCad (5.99.0-4013-gfd874d834)} date Wed Oct 14 12:43:35 2020
; FORMAT={-:-/ absolute / inch / decimal}
-; #@! TF.CreationDate,2020-01-18T22:14:40+01:00
-; #@! TF.GenerationSoftware,Kicad,Pcbnew,5.1.5-52549c5~84~ubuntu19.10.1
+; #@! TF.CreationDate,2020-10-14T12:43:35+02:00
+; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.99.0-4013-gfd874d834)
; #@! TF.FileFunction,NonPlated,1,2,NPTH
FMAT,2
INCH
T1C0.0256
+T2C0.0669
+T3C0.1260
+T4C0.1575
%
G90
G05
T1
-X0.9813Y-1.011
-X0.9813Y-1.2386
+X6.9807Y-1.9313
+X7.2083Y-1.9313
+T2
+X6.7008Y-2.1031
+X6.7008Y-2.5031
+X5.9134Y-2.8906
+X5.9134Y-2.1031
+X5.9134Y-2.5031
+X5.9134Y-3.2906
+X8.2756Y-2.5031
+X7.4882Y-2.1031
+X7.4882Y-2.5031
+X7.4882Y-2.8906
+X8.2756Y-2.8906
+X8.2756Y-3.2906
+X8.2756Y-2.1031
+X7.4882Y-3.2906
+X6.7008Y-2.8906
+X6.7008Y-3.2906
+T3
+X5.4803Y-3.5236
+X8.7087Y-3.5236
+X5.4803Y-1.8701
+X8.7087Y-1.8701
+T4
+X6.7008Y-2.3031
+X5.9134Y-3.0906
+X5.9134Y-2.3031
+X8.2756Y-3.0906
+X8.2756Y-2.3031
+X7.4882Y-2.3031
+X7.4882Y-3.0906
+X6.7008Y-3.0906
T0
M30
diff --git a/pcb/gerber/OtterPill-PTH.drl b/pcb/gerber/OtterPill-PTH.drl
index a7718d6..26d99a7 100644
--- a/pcb/gerber/OtterPill-PTH.drl
+++ b/pcb/gerber/OtterPill-PTH.drl
@@ -1,208 +1,191 @@
M48
-; DRILL file {KiCad 5.1.5-52549c5~84~ubuntu19.10.1} date Sa 18 Jan 2020 22:14:40 CET
+; DRILL file {KiCad (5.99.0-4013-gfd874d834)} date Wed Oct 14 12:43:35 2020
; FORMAT={-:-/ absolute / inch / decimal}
-; #@! TF.CreationDate,2020-01-18T22:14:40+01:00
-; #@! TF.GenerationSoftware,Kicad,Pcbnew,5.1.5-52549c5~84~ubuntu19.10.1
+; #@! TF.CreationDate,2020-10-14T12:43:35+02:00
+; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.99.0-4013-gfd874d834)
; #@! TF.FileFunction,Plated,1,2,PTH
FMAT,2
INCH
T1C0.0118
T2C0.0236
T3C0.0394
+T4C0.0472
+T5C0.0591
+T6C0.0787
%
G90
G05
T1
-X0.9567Y-1.1988
-X0.963Y-1.0555
-X0.9646Y-1.0984
-X0.9646Y-1.1339
-X0.9752Y-1.1654
-X0.987Y-0.8024
-X0.9902Y-1.0709
-X0.9902Y-1.1952
-X0.9902Y-1.3868
-X1.0831Y-0.8039
-X1.0835Y-1.4484
-X1.0906Y-1.2165
-X1.0943Y-1.0164
-X1.1257Y-1.122
-X1.1606Y-1.2429
-X1.1722Y-1.1575
-X1.1732Y-1.0856
-X1.1831Y-1.4488
-X1.1926Y-1.018
-X1.1941Y-1.1996
-X1.1945Y-0.9516
-X1.2152Y-1.274
-X1.2409Y-1.3409
-X1.2517Y-1.1132
-X1.2697Y-1.2539
-X1.2827Y-0.9289
-X1.2827Y-1.45
-X1.2843Y-0.8594
-X1.2874Y-1.0551
-X1.2929Y-1.1925
-X1.3098Y-1.0355
-X1.3413Y-1.0315
-X1.3627Y-0.9289
-X1.3826Y-1.0749
-X1.3846Y-0.8031
-X1.4179Y-1.1379
-X1.4218Y-1.3279
-X1.4386Y-0.9264
-X1.4456Y-1.3059
-X1.45Y-1.2012
-X1.4567Y-1.1063
-X1.4709Y-1.261
-X1.4744Y-1.0183
-X1.4839Y-0.8024
-X1.487Y-0.9544
-X1.5006Y-1.2634
-X1.5433Y-1.0981
-X1.5551Y-0.8858
-X1.5786Y-1.3324
-X1.5827Y-0.8031
-X1.5839Y-1.4492
-X1.6076Y-1.0653
-X1.6086Y-1.1003
-X1.6092Y-1.2219
-X1.6161Y-1.1909
-X1.6319Y-1.0311
-X1.6373Y-1.2121
-X1.6394Y-1.0811
-X1.6811Y-1.0156
-X1.6814Y-1.3362
-X1.6823Y-0.8713
-X1.6827Y-0.8039
-X1.6839Y-1.4492
-X1.6886Y-1.0937
-X1.7007Y-1.252
-X1.7023Y-1.3575
-X1.7181Y-1.0142
-X1.7279Y-1.2398
-X1.7421Y-1.0445
-X1.7603Y-1.2066
-X1.7827Y-0.8039
-X1.7843Y-1.3898
-X1.7846Y-1.1894
-X1.8003Y-1.1107
-X1.8627Y-0.8989
-X1.8762Y-1.3246
-X1.8819Y-0.8035
-X1.8819Y-1.4488
-X1.8827Y-0.9289
-X1.8898Y-0.9744
-X1.9021Y-1.2822
-X1.9094Y-1.1346
-X1.9114Y-0.9528
-X1.9127Y-0.8989
-X1.9142Y-1.098
-X1.9177Y-1.2378
-X1.9331Y-1.122
-X1.937Y-0.9803
-X1.9508Y-1.0039
-X1.9639Y-0.9798
-X1.9803Y-1.4469
-X1.9843Y-0.8055
-X2.0028Y-1.0051
-X2.0264Y-1.1606
-X2.0276Y-1.2377
-X2.0551Y-1.1969
-X2.0596Y-1.1626
-X2.0815Y-1.285
-X2.0819Y-0.8043
-X2.0827Y-1.4488
-X2.0858Y-0.8878
-X2.1083Y-1.1654
-X2.1823Y-0.8028
-X2.1831Y-1.2843
-X2.1839Y-1.4496
-X2.1886Y-1.1417
-X2.189Y-1.2047
-X2.25Y-1.1437
-X2.2815Y-1.4492
-X2.3031Y-0.9134
-X2.3862Y-1.4472
-X2.4283Y-0.8087
-X2.4283Y-0.848
-X2.4283Y-0.8874
-X2.4283Y-0.9268
-X2.4283Y-0.9661
-X2.4283Y-1.0055
-X2.4283Y-1.0449
-X2.4283Y-1.0843
-X2.4283Y-1.1236
-X2.4283Y-1.163
-X2.4283Y-1.2024
-X2.4283Y-1.2417
-X2.4283Y-1.2811
-X2.4283Y-1.3205
-X2.4283Y-1.3598
-X2.4283Y-1.3992
-X2.4283Y-1.4386
-X2.4677Y-0.8087
-X2.4677Y-0.848
-X2.4677Y-0.8874
-X2.4677Y-0.9268
-X2.4677Y-0.9661
-X2.4677Y-1.0055
-X2.4677Y-1.0449
-X2.4677Y-1.0843
-X2.4677Y-1.1236
-X2.4677Y-1.163
-X2.4677Y-1.2024
-X2.4677Y-1.2417
-X2.4677Y-1.2811
-X2.4677Y-1.3205
-X2.4677Y-1.3598
-X2.4677Y-1.3992
-X2.4677Y-1.4386
+X5.8898Y-2.6969
+X6.4035Y-2.6555
+X6.5409Y-2.3929
+X6.7776Y-2.7421
+X6.8425Y-1.8622
+X6.8504Y-2.7382
+X6.8701Y-2.1693
+X6.9142Y-2.5394
+X6.9173Y-2.8386
+X6.9252Y-2.2244
+X6.9252Y-3.2598
+X6.9331Y-3.1339
+X6.937Y-2.8583
+X6.9783Y-2.6488
+X6.9843Y-2.6971
+X6.9961Y-2.0354
+X6.9961Y-2.1378
+X7.0157Y-2.6437
+X7.0197Y-2.6969
+X7.0315Y-3.0472
+X7.0433Y-2.1299
+X7.0433Y-2.2205
+X7.0551Y-2.5827
+X7.0827Y-2.5591
+X7.0906Y-2.4606
+X7.0906Y-2.937
+X7.0906Y-3.0079
+X7.0945Y-3.2677
+X7.1142Y-2.0866
+X7.1181Y-2.2205
+X7.126Y-2.9331
+X7.1339Y-2.1378
+X7.1378Y-3.1575
+X7.1496Y-2.2205
+X7.1654Y-2.9331
+X7.1949Y-2.648
+X7.1969Y-2.937
+X7.1969Y-3.0354
+X7.2047Y-2.0354
+X7.2126Y-2.1063
+X7.2199Y-2.6699
+X7.248Y-2.5197
+X7.248Y-2.9016
+X7.252Y-2.4803
+X7.252Y-3.248
+X7.2638Y-2.0709
+X7.2835Y-2.8386
+X7.2835Y-2.9016
+X7.2953Y-2.0709
+X7.2953Y-2.8071
+X7.315Y-2.5276
+X7.3268Y-2.0709
+X7.3268Y-2.4961
+X7.3386Y-2.5945
+X7.3425Y-2.311
+X7.3508Y-2.898
+X7.3622Y-2.2047
+X7.3701Y-2.5945
+X7.376Y-2.5413
+X7.3799Y-2.7598
+X7.3906Y-2.8614
+X7.3937Y-1.7756
+X7.3937Y-2.0709
+X7.3996Y-3.1811
+X7.406Y-2.7551
+X7.4134Y-2.6496
+X7.4134Y-2.6831
+X7.4252Y-2.4331
+X7.4252Y-2.7779
+X7.4618Y-2.7709
+X7.5118Y-2.7362
+X7.6457Y-2.0354
+X7.6772Y-2.1535
+X7.7362Y-2.2087
+X7.748Y-2.2992
+X7.8047Y-2.3488
+X7.815Y-1.8071
+X7.815Y-1.8346
+X7.815Y-1.8622
+X7.815Y-1.8898
+X7.815Y-1.9173
+X7.815Y-1.9449
+X7.8268Y-2.1929
+X7.8504Y-2.1457
+X7.8898Y-2.7106
+X7.9055Y-1.9331
+X7.9067Y-2.2685
+X7.9547Y-2.5492
+X7.9587Y-2.6988
+X7.9591Y-2.7874
+X8.0709Y-2.6969
+X8.122Y-2.2441
+X8.1339Y-2.0984
+X8.1614Y-2.2441
+X8.1772Y-2.0945
+X8.2283Y-2.1811
T3
-X0.9331Y-0.8307
-X1.0331Y-0.8307
-X1.1331Y-0.8307
-X1.2331Y-0.8307
-X1.3331Y-0.8307
-X1.4331Y-0.8307
-X1.5331Y-0.8307
-X1.6331Y-0.8307
-X1.7331Y-0.8307
-X1.8331Y-0.8307
-X1.9331Y-0.8307
-X2.0331Y-0.8307
-X2.1331Y-0.8307
-X2.2331Y-0.8307
-X2.3331Y-0.8307
-X0.9331Y-1.4213
-X1.0331Y-1.4213
-X1.1331Y-1.4213
-X1.2331Y-1.4213
-X1.3331Y-1.4213
-X1.4331Y-1.4213
-X1.5331Y-1.4213
-X1.6331Y-1.4213
-X1.7331Y-1.4213
-X1.8331Y-1.4213
-X1.9331Y-1.4213
-X2.0331Y-1.4213
-X2.1331Y-1.4213
-X2.2331Y-1.4213
-X2.3331Y-1.4213
-X2.3307Y-0.9783
-X2.3307Y-1.0783
-X2.3307Y-1.1783
-X2.3307Y-1.2783
-X0.8433Y-1.3913
-X0.8433Y-0.8575
+X8.4331Y-2.515
+X8.4331Y-2.615
+X8.4331Y-2.715
+X8.4331Y-2.815
+T4
+X7.6063Y-2.5984
+X7.6063Y-2.7953
+X8.1772Y-2.5984
+X8.1772Y-2.6969
+X8.1772Y-2.7953
+X6.0118Y-2.5984
+X6.0118Y-2.6969
+X6.0118Y-2.7953
+X6.5827Y-2.5984
+X6.5827Y-2.7953
+T5
+X5.7134Y-2.2031
+X5.8134Y-2.4531
+X6.5008Y-2.2031
+X6.6008Y-2.4531
+X5.7134Y-2.9906
+X5.8134Y-3.2406
+X8.3756Y-2.9406
+X8.4756Y-2.4031
+X8.4756Y-3.1906
+X8.3756Y-2.1531
+X7.5882Y-2.1531
+X7.6882Y-2.4031
+X7.5882Y-2.9406
+X7.6882Y-3.1906
+X6.5008Y-2.9906
+X6.6008Y-3.2406
T2
-X0.8494Y-0.9547G85X0.8258Y-0.9547
+G00X6.9244Y-1.7758
+M15
+G01X6.9244Y-1.7994
+M16
G05
-X0.8494Y-1.2949G85X0.8258Y-1.2949
+G00X6.9244Y-1.9305
+M15
+G01X6.9244Y-1.9738
+M16
G05
-X1.0238Y-0.9547G85X0.9805Y-0.9547
+G00X7.2646Y-1.7758
+M15
+G01X7.2646Y-1.7994
+M16
G05
-X1.0238Y-1.2949G85X0.9805Y-1.2949
+G00X7.2646Y-1.9305
+M15
+G01X7.2646Y-1.9738
+M16
+G05
+T6
+G00X7.8622Y-2.437
+M15
+G01X7.8858Y-2.437
+M16
+G05
+G00X7.8622Y-2.9567
+M15
+G01X7.8858Y-2.9567
+M16
+G05
+G00X6.3268Y-2.437
+M15
+G01X6.3031Y-2.437
+M16
+G05
+G00X6.3268Y-2.9567
+M15
+G01X6.3031Y-2.9567
+M16
G05
T0
M30
diff --git a/pcb/gerber/OtterPill-bottom-pos.csv b/pcb/gerber/OtterPill-bottom-pos.csv
deleted file mode 100644
index 34d8571..0000000
--- a/pcb/gerber/OtterPill-bottom-pos.csv
+++ /dev/null
@@ -1 +0,0 @@
-Ref,Val,Package,PosX,PosY,Rot,Side
diff --git a/pcb/gerber/OtterPill-gerber-v1.2.zip b/pcb/gerber/OtterPill-gerber-v1.2.zip
deleted file mode 100644
index 0ac96ba..0000000
--- a/pcb/gerber/OtterPill-gerber-v1.2.zip
+++ /dev/null
Binary files differ
diff --git a/pcb/gerber/OtterPill-top-pos.csv b/pcb/gerber/OtterPill-top-pos.csv
deleted file mode 100644
index a5f4d61..0000000
--- a/pcb/gerber/OtterPill-top-pos.csv
+++ /dev/null
@@ -1,33 +0,0 @@
-Ref,Val,Package,PosX,PosY,Rot,Side
-"C1","10u 25V","C_0805_2012Metric",51.580000,-23.890000,180.000000,top
-"C2","100n 50V","C_0603_1608Metric",51.180000,-29.340000,270.000000,top
-"C3","100n 50V","C_0402_1005Metric",53.720000,-23.870000,270.000000,top
-"C4","10u 25V","C_0805_2012Metric",56.500000,-28.960000,270.000000,top
-"C5","100n 50V","C_0402_1005Metric",49.930000,-31.000000,270.000000,top
-"C6","100n 50V","C_0402_1005Metric",48.920000,-30.255000,270.000000,top
-"C7","100n 50V","C_0402_1005Metric",36.470000,-27.700000,270.000000,top
-"C8","100n 50V","C_0402_1005Metric",34.600000,-31.400000,270.000000,top
-"C9","100n 50V","C_0402_1005Metric",46.100000,-23.800000,315.000000,top
-"C10","100n 50V","C_0402_1005Metric",33.600000,-31.400000,270.000000,top
-"C11","10u 25V","C_0805_2012Metric",54.690000,-28.950000,270.000000,top
-"D1","LED RED","LED_0603_1608Metric",28.500000,-23.600000,180.000000,top
-"D2","LED GREEN","LED_0603_1608Metric",28.500000,-33.600000,180.000000,top
-"L1","4u7","L_1210_3225Metric",55.820000,-25.100000,270.000000,top
-"R1","DNP","R_0402_1005Metric",29.000000,-31.000000,180.000000,top
-"R2","DNP","R_0402_1005Metric",29.200000,-26.000000,180.000000,top
-"R3","3k3","R_0402_1005Metric",29.200000,-24.900000,0.000000,top
-"R4","3k3","R_0402_1005Metric",29.000000,-32.200000,0.000000,top
-"R5","3k3","R_0402_1005Metric",48.800000,-33.095000,90.000000,top
-"R6","10k","R_0402_1005Metric",49.930000,-28.990000,90.000000,top
-"R7","3k3","R_0402_1005Metric",49.600000,-27.085000,90.000000,top
-"R8","0","R_0402_1005Metric",31.600000,-26.000000,0.000000,top
-"R9","0","R_0402_1005Metric",31.600000,-31.000000,0.000000,top
-"R10","3k3","R_0402_1005Metric",35.690000,-25.770001,90.000000,top
-"R11","3k3","R_0402_1005Metric",36.690000,-25.770001,90.000000,top
-"R12","10k","R_0402_1005Metric",52.800000,-28.750000,0.000000,top
-"R13","100","R_0402_1005Metric",49.830000,-33.090000,90.000000,top
-"SW1","SW_Push","SW_SPST_PTS810",54.700000,-32.512000,180.000000,top
-"U1","USBLC6-4","SOT-23-6",29.700000,-28.500000,180.000000,top
-"U2","STM32F072C8Tx","LQFP-48_7x7mm_P0.5mm",42.700000,-28.589949,225.000000,top
-"U3","SY8201","SOT-23-6",51.970000,-26.480000,0.000000,top
-"U4","FUSB302BMPX","WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm",34.000000,-28.500000,90.000000,top
diff --git a/pcb/gerber/OtterPill.csv b/pcb/gerber/OtterPill.csv
deleted file mode 100644
index 9209f08..0000000
--- a/pcb/gerber/OtterPill.csv
+++ /dev/null
@@ -1,18 +0,0 @@
-Comment,Designator,Footprint, LCSC
-10u 25V,"C1,C4,C11",Capacitor_SMD:C_0805_2012Metric,C15850
-100n 50V,C2,Capacitor_SMD:C_0603_1608Metric,C14663
-100n 50V,"C3,C5,C6,C7,C8,C9,C10",Capacitor_SMD:C_0402_1005Metric,C1525
-LED RED,D1,LED_SMD:LED_0603_1608Metric,C2286
-LED GREEN,D2,LED_SMD:LED_0603_1608Metric,C72043
-USB-c,J1,otter:USB-C 16Pin,
-4u7,L1,Inductor_SMD:L_1210_3225Metric,C86070
-DNP,"R1,R2",Resistor_SMD:R_0402_1005Metric,
-100,R13,Resistor_SMD:R_0402_1005Metric,C25076
-3k3,"R5,R3,R4,R7,R10,R11",Resistor_SMD:R_0402_1005Metric,C25890
-10k,"R6,R12",Resistor_SMD:R_0402_1005Metric,C25744
-0,"R8,R9",Resistor_SMD:R_0402_1005Metric,C17168
-SW_Push,SW1,Button_Switch_SMD:SW_SPST_PTS810,
-USBLC6-4,U1,Package_TO_SOT_SMD:SOT-23-6,C111212
-STM32F072C8Tx,U2,Package_QFP:LQFP-48_7x7mm_P0.5mm,C80488
-SY8201,U3,Package_TO_SOT_SMD:SOT-23-6,C108052
-FUSB302BMPX,U4,Package_DFN_QFN:WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm,C132291
diff --git a/pcb/minikbd_v2_gerber.zip b/pcb/minikbd_v2_gerber.zip
new file mode 100644
index 0000000..5963c44
--- /dev/null
+++ b/pcb/minikbd_v2_gerber.zip
Binary files differ
diff --git a/pcb/production/OtterPill-gerber-v1.2-x2.zip b/pcb/production/OtterPill-gerber-v1.2-x2.zip
deleted file mode 100755
index 3c57e13..0000000
--- a/pcb/production/OtterPill-gerber-v1.2-x2.zip
+++ /dev/null
Binary files differ
diff --git a/pcb/production/OtterPill-gerber-v1.2-x4.zip b/pcb/production/OtterPill-gerber-v1.2-x4.zip
deleted file mode 100755
index ed39551..0000000
--- a/pcb/production/OtterPill-gerber-v1.2-x4.zip
+++ /dev/null
Binary files differ
diff --git a/pcb/production/OtterPill-gerber-v1.2.zip b/pcb/production/OtterPill-gerber-v1.2.zip
deleted file mode 100644
index 0ac96ba..0000000
--- a/pcb/production/OtterPill-gerber-v1.2.zip
+++ /dev/null
Binary files differ
diff --git a/pcb/production/OtterPill-top-pos-x2.csv b/pcb/production/OtterPill-top-pos-x2.csv
deleted file mode 100644
index c467ff3..0000000
--- a/pcb/production/OtterPill-top-pos-x2.csv
+++ /dev/null
@@ -1,65 +0,0 @@
-Designator,Val,Package,Mid X,Mid Y,Rotation,Layer
-C1,10u 25V,C_0805_2012Metric,51.58,-23.89,180,top
-C2,100n 50V,C_0603_1608Metric,51.18,-29.34,270,top
-C3,100n 50V,C_0402_1005Metric,53.72,-23.87,270,top
-C4,10u 25V,C_0805_2012Metric,56.5,-28.96,270,top
-C5,100n 50V,C_0402_1005Metric,49.93,-31,270,top
-C6,100n 50V,C_0402_1005Metric,48.92,-30.255,270,top
-C7,100n 50V,C_0402_1005Metric,36.47,-27.7,270,top
-C8,100n 50V,C_0402_1005Metric,34.6,-31.4,270,top
-C9,100n 50V,C_0402_1005Metric,46.1,-23.8,315,top
-C10,100n 50V,C_0402_1005Metric,33.6,-31.4,270,top
-C11,10u 25V,C_0805_2012Metric,54.69,-28.95,270,top
-D1,LED RED,LED_0603_1608Metric,28.5,-23.6,180,top
-D2,LED GREEN,LED_0603_1608Metric,28.5,-33.6,180,top
-L1,4u7,L_1210_3225Metric,55.82,-25.1,270,top
-R1,DNP,R_0402_1005Metric,29,-31,180,top
-R2,DNP,R_0402_1005Metric,29.2,-26,180,top
-R3,3k3,R_0402_1005Metric,29.2,-24.9,0,top
-R4,3k3,R_0402_1005Metric,29,-32.2,0,top
-R5,3k3,R_0402_1005Metric,48.8,-33.095,90,top
-R6,10k,R_0402_1005Metric,49.93,-28.99,90,top
-R7,3k3,R_0402_1005Metric,49.6,-27.085,90,top
-R8,0,R_0402_1005Metric,31.6,-26,0,top
-R9,0,R_0402_1005Metric,31.6,-31,0,top
-R10,3k3,R_0402_1005Metric,35.69,-25.770001,90,top
-R11,3k3,R_0402_1005Metric,36.69,-25.770001,90,top
-R12,10k,R_0402_1005Metric,52.8,-28.75,0,top
-R13,100,R_0402_1005Metric,49.83,-33.09,90,top
-SW1,SW_Push,SW_SPST_PTS810,54.7,-32.512,180,top
-U1,USBLC6-4,SOT-23-6,29.7,-28.5,0,top
-U2,STM32F072C8Tx,LQFP-48_7x7mm_P0.5mm,42.7,-28.589949,135,top
-U3,SY8201,SOT-23-6,51.97,-26.48,180,top
-U4,FUSB302BMPX,WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm,34,-28.5,90,top
-C1,10u 25V,C_0805_2012Metric,51.58,-2.89,180,top
-C2,100n 50V,C_0603_1608Metric,51.18,-8.34,270,top
-C3,100n 50V,C_0402_1005Metric,53.72,-2.87,270,top
-C4,10u 25V,C_0805_2012Metric,56.5,-7.96,270,top
-C5,100n 50V,C_0402_1005Metric,49.93,-10,270,top
-C6,100n 50V,C_0402_1005Metric,48.92,-9.255,270,top
-C7,100n 50V,C_0402_1005Metric,36.47,-6.7,270,top
-C8,100n 50V,C_0402_1005Metric,34.6,-10.4,270,top
-C9,100n 50V,C_0402_1005Metric,46.1,-2.8,315,top
-C10,100n 50V,C_0402_1005Metric,33.6,-10.4,270,top
-C11,10u 25V,C_0805_2012Metric,54.69,-7.95,270,top
-D1,LED RED,LED_0603_1608Metric,28.5,-2.6,180,top
-D2,LED GREEN,LED_0603_1608Metric,28.5,-12.6,180,top
-L1,4u7,L_1210_3225Metric,55.82,-4.1,270,top
-R1,DNP,R_0402_1005Metric,29,-10,180,top
-R2,DNP,R_0402_1005Metric,29.2,-5,180,top
-R3,3k3,R_0402_1005Metric,29.2,-3.9,0,top
-R4,3k3,R_0402_1005Metric,29,-11.2,0,top
-R5,3k3,R_0402_1005Metric,48.8,-12.095,90,top
-R6,10k,R_0402_1005Metric,49.93,-7.99,90,top
-R7,3k3,R_0402_1005Metric,49.6,-6.085,90,top
-R8,0,R_0402_1005Metric,31.6,-5,0,top
-R9,0,R_0402_1005Metric,31.6,-10,0,top
-R10,3k3,R_0402_1005Metric,35.69,-4.770001,90,top
-R11,3k3,R_0402_1005Metric,36.69,-4.770001,90,top
-R12,10k,R_0402_1005Metric,52.8,-7.75,0,top
-R13,100,R_0402_1005Metric,49.83,-12.09,90,top
-SW1,SW_Push,SW_SPST_PTS810,54.7,-11.512,180,top
-U1,USBLC6-4,SOT-23-6,29.7,-7.5,0,top
-U2,STM32F072C8Tx,LQFP-48_7x7mm_P0.5mm,42.7,-7.589949,135,top
-U3,SY8201,SOT-23-6,51.97,-5.48,180,top
-U4,FUSB302BMPX,WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm,34,-7.5,90,top
diff --git a/pcb/production/OtterPill-top-pos-x4.csv b/pcb/production/OtterPill-top-pos-x4.csv
deleted file mode 100644
index e834f39..0000000
--- a/pcb/production/OtterPill-top-pos-x4.csv
+++ /dev/null
@@ -1,129 +0,0 @@
-Designator,Val,Package,Mid X,Mid Y,Rotation,Layer
-C1,10u 25V,C_0805_2012Metric,51.58,-23.89,180,top
-C2,100n 50V,C_0603_1608Metric,51.18,-29.34,270,top
-C3,100n 50V,C_0402_1005Metric,53.72,-23.87,270,top
-C4,10u 25V,C_0805_2012Metric,56.5,-28.96,270,top
-C5,100n 50V,C_0402_1005Metric,49.93,-31,270,top
-C6,100n 50V,C_0402_1005Metric,48.92,-30.255,270,top
-C7,100n 50V,C_0402_1005Metric,36.47,-27.7,270,top
-C8,100n 50V,C_0402_1005Metric,34.6,-31.4,270,top
-C9,100n 50V,C_0402_1005Metric,46.1,-23.8,315,top
-C10,100n 50V,C_0402_1005Metric,33.6,-31.4,270,top
-C11,10u 25V,C_0805_2012Metric,54.69,-28.95,270,top
-D1,LED RED,LED_0603_1608Metric,28.5,-23.6,180,top
-D2,LED GREEN,LED_0603_1608Metric,28.5,-33.6,180,top
-L1,4u7,L_1210_3225Metric,55.82,-25.1,270,top
-R1,DNP,R_0402_1005Metric,29,-31,180,top
-R2,DNP,R_0402_1005Metric,29.2,-26,180,top
-R3,3k3,R_0402_1005Metric,29.2,-24.9,0,top
-R4,3k3,R_0402_1005Metric,29,-32.2,0,top
-R5,3k3,R_0402_1005Metric,48.8,-33.095,90,top
-R6,10k,R_0402_1005Metric,49.93,-28.99,90,top
-R7,3k3,R_0402_1005Metric,49.6,-27.085,90,top
-R8,0,R_0402_1005Metric,31.6,-26,0,top
-R9,0,R_0402_1005Metric,31.6,-31,0,top
-R10,3k3,R_0402_1005Metric,35.69,-25.770001,90,top
-R11,3k3,R_0402_1005Metric,36.69,-25.770001,90,top
-R12,10k,R_0402_1005Metric,52.8,-28.75,0,top
-R13,100,R_0402_1005Metric,49.83,-33.09,90,top
-SW1,SW_Push,SW_SPST_PTS810,54.7,-32.512,180,top
-U1,USBLC6-4,SOT-23-6,29.7,-28.5,0,top
-U2,STM32F072C8Tx,LQFP-48_7x7mm_P0.5mm,42.7,-28.589949,135,top
-U3,SY8201,SOT-23-6,51.97,-26.48,180,top
-U4,FUSB302BMPX,WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm,34,-28.5,90,top
-C1,10u 25V,C_0805_2012Metric,51.58,-2.89,180,top
-C2,100n 50V,C_0603_1608Metric,51.18,-8.34,270,top
-C3,100n 50V,C_0402_1005Metric,53.72,-2.87,270,top
-C4,10u 25V,C_0805_2012Metric,56.5,-7.96,270,top
-C5,100n 50V,C_0402_1005Metric,49.93,-10,270,top
-C6,100n 50V,C_0402_1005Metric,48.92,-9.255,270,top
-C7,100n 50V,C_0402_1005Metric,36.47,-6.7,270,top
-C8,100n 50V,C_0402_1005Metric,34.6,-10.4,270,top
-C9,100n 50V,C_0402_1005Metric,46.1,-2.8,315,top
-C10,100n 50V,C_0402_1005Metric,33.6,-10.4,270,top
-C11,10u 25V,C_0805_2012Metric,54.69,-7.95,270,top
-D1,LED RED,LED_0603_1608Metric,28.5,-2.6,180,top
-D2,LED GREEN,LED_0603_1608Metric,28.5,-12.6,180,top
-L1,4u7,L_1210_3225Metric,55.82,-4.1,270,top
-R1,DNP,R_0402_1005Metric,29,-10,180,top
-R2,DNP,R_0402_1005Metric,29.2,-5,180,top
-R3,3k3,R_0402_1005Metric,29.2,-3.9,0,top
-R4,3k3,R_0402_1005Metric,29,-11.2,0,top
-R5,3k3,R_0402_1005Metric,48.8,-12.095,90,top
-R6,10k,R_0402_1005Metric,49.93,-7.99,90,top
-R7,3k3,R_0402_1005Metric,49.6,-6.085,90,top
-R8,0,R_0402_1005Metric,31.6,-5,0,top
-R9,0,R_0402_1005Metric,31.6,-10,0,top
-R10,3k3,R_0402_1005Metric,35.69,-4.770001,90,top
-R11,3k3,R_0402_1005Metric,36.69,-4.770001,90,top
-R12,10k,R_0402_1005Metric,52.8,-7.75,0,top
-R13,100,R_0402_1005Metric,49.83,-12.09,90,top
-SW1,SW_Push,SW_SPST_PTS810,54.7,-11.512,180,top
-U1,USBLC6-4,SOT-23-6,29.7,-7.5,0,top
-U2,STM32F072C8Tx,LQFP-48_7x7mm_P0.5mm,42.7,-7.589949,135,top
-U3,SY8201,SOT-23-6,51.97,-5.48,180,top
-U4,FUSB302BMPX,WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm,34,-7.5,90,top
-C1,10u 25V,C_0805_2012Metric,51.58,18.11,180,top
-C2,100n 50V,C_0603_1608Metric,51.18,12.66,270,top
-C3,100n 50V,C_0402_1005Metric,53.72,18.13,270,top
-C4,10u 25V,C_0805_2012Metric,56.5,13.04,270,top
-C5,100n 50V,C_0402_1005Metric,49.93,11,270,top
-C6,100n 50V,C_0402_1005Metric,48.92,11.745,270,top
-C7,100n 50V,C_0402_1005Metric,36.47,14.3,270,top
-C8,100n 50V,C_0402_1005Metric,34.6,10.6,270,top
-C9,100n 50V,C_0402_1005Metric,46.1,18.2,315,top
-C10,100n 50V,C_0402_1005Metric,33.6,10.6,270,top
-C11,10u 25V,C_0805_2012Metric,54.69,13.05,270,top
-D1,LED RED,LED_0603_1608Metric,28.5,18.4,180,top
-D2,LED GREEN,LED_0603_1608Metric,28.5,8.4,180,top
-L1,4u7,L_1210_3225Metric,55.82,16.9,270,top
-R1,DNP,R_0402_1005Metric,29,11,180,top
-R2,DNP,R_0402_1005Metric,29.2,16,180,top
-R3,3k3,R_0402_1005Metric,29.2,17.1,0,top
-R4,3k3,R_0402_1005Metric,29,9.8,0,top
-R5,3k3,R_0402_1005Metric,48.8,8.905,90,top
-R6,10k,R_0402_1005Metric,49.93,13.01,90,top
-R7,3k3,R_0402_1005Metric,49.6,14.915,90,top
-R8,0,R_0402_1005Metric,31.6,16,0,top
-R9,0,R_0402_1005Metric,31.6,11,0,top
-R10,3k3,R_0402_1005Metric,35.69,16.229999,90,top
-R11,3k3,R_0402_1005Metric,36.69,16.229999,90,top
-R12,10k,R_0402_1005Metric,52.8,13.25,0,top
-R13,100,R_0402_1005Metric,49.83,8.91,90,top
-SW1,SW_Push,SW_SPST_PTS810,54.7,9.488,180,top
-U1,USBLC6-4,SOT-23-6,29.7,13.5,0,top
-U2,STM32F072C8Tx,LQFP-48_7x7mm_P0.5mm,42.7,13.410051,135,top
-U3,SY8201,SOT-23-6,51.97,15.52,180,top
-U4,FUSB302BMPX,WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm,34,13.5,90,top
-C1,10u 25V,C_0805_2012Metric,51.58,39.11,180,top
-C2,100n 50V,C_0603_1608Metric,51.18,33.66,270,top
-C3,100n 50V,C_0402_1005Metric,53.72,39.13,270,top
-C4,10u 25V,C_0805_2012Metric,56.5,34.04,270,top
-C5,100n 50V,C_0402_1005Metric,49.93,32,270,top
-C6,100n 50V,C_0402_1005Metric,48.92,32.745,270,top
-C7,100n 50V,C_0402_1005Metric,36.47,35.3,270,top
-C8,100n 50V,C_0402_1005Metric,34.6,31.6,270,top
-C9,100n 50V,C_0402_1005Metric,46.1,39.2,315,top
-C10,100n 50V,C_0402_1005Metric,33.6,31.6,270,top
-C11,10u 25V,C_0805_2012Metric,54.69,34.05,270,top
-D1,LED RED,LED_0603_1608Metric,28.5,39.4,180,top
-D2,LED GREEN,LED_0603_1608Metric,28.5,29.4,180,top
-L1,4u7,L_1210_3225Metric,55.82,37.9,270,top
-R1,DNP,R_0402_1005Metric,29,32,180,top
-R2,DNP,R_0402_1005Metric,29.2,37,180,top
-R3,3k3,R_0402_1005Metric,29.2,38.1,0,top
-R4,3k3,R_0402_1005Metric,29,30.8,0,top
-R5,3k3,R_0402_1005Metric,48.8,29.905,90,top
-R6,10k,R_0402_1005Metric,49.93,34.01,90,top
-R7,3k3,R_0402_1005Metric,49.6,35.915,90,top
-R8,0,R_0402_1005Metric,31.6,37,0,top
-R9,0,R_0402_1005Metric,31.6,32,0,top
-R10,3k3,R_0402_1005Metric,35.69,37.229999,90,top
-R11,3k3,R_0402_1005Metric,36.69,37.229999,90,top
-R12,10k,R_0402_1005Metric,52.8,34.25,0,top
-R13,100,R_0402_1005Metric,49.83,29.91,90,top
-SW1,SW_Push,SW_SPST_PTS810,54.7,30.488,180,top
-U1,USBLC6-4,SOT-23-6,29.7,34.5,0,top
-U2,STM32F072C8Tx,LQFP-48_7x7mm_P0.5mm,42.7,34.410051,135,top
-U3,SY8201,SOT-23-6,51.97,36.52,180,top
-U4,FUSB302BMPX,WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm,34,34.5,90,top
diff --git a/pcb/production/OtterPill-top-pos.csv b/pcb/production/OtterPill-top-pos.csv
deleted file mode 100644
index 5f7ad59..0000000
--- a/pcb/production/OtterPill-top-pos.csv
+++ /dev/null
@@ -1,33 +0,0 @@
-Designator,Val,Package,Mid X,Mid Y,Rotation,Layer
-C1,10u 25V,C_0805_2012Metric,51.58,-23.89,180,top
-C2,100n 50V,C_0603_1608Metric,51.18,-29.34,270,top
-C3,100n 50V,C_0402_1005Metric,53.72,-23.87,270,top
-C4,10u 25V,C_0805_2012Metric,56.5,-28.96,270,top
-C5,100n 50V,C_0402_1005Metric,49.93,-31,270,top
-C6,100n 50V,C_0402_1005Metric,48.92,-30.255,270,top
-C7,100n 50V,C_0402_1005Metric,36.47,-27.7,270,top
-C8,100n 50V,C_0402_1005Metric,34.6,-31.4,270,top
-C9,100n 50V,C_0402_1005Metric,46.1,-23.8,315,top
-C10,100n 50V,C_0402_1005Metric,33.6,-31.4,270,top
-C11,10u 25V,C_0805_2012Metric,54.69,-28.95,270,top
-D1,LED RED,LED_0603_1608Metric,28.5,-23.6,180,top
-D2,LED GREEN,LED_0603_1608Metric,28.5,-33.6,180,top
-L1,4u7,L_1210_3225Metric,55.82,-25.1,270,top
-R1,DNP,R_0402_1005Metric,29,-31,180,top
-R2,DNP,R_0402_1005Metric,29.2,-26,180,top
-R3,3k3,R_0402_1005Metric,29.2,-24.9,0,top
-R4,3k3,R_0402_1005Metric,29,-32.2,0,top
-R5,3k3,R_0402_1005Metric,48.8,-33.095,90,top
-R6,10k,R_0402_1005Metric,49.93,-28.99,90,top
-R7,3k3,R_0402_1005Metric,49.6,-27.085,90,top
-R8,0,R_0402_1005Metric,31.6,-26,0,top
-R9,0,R_0402_1005Metric,31.6,-31,0,top
-R10,3k3,R_0402_1005Metric,35.69,-25.770001,90,top
-R11,3k3,R_0402_1005Metric,36.69,-25.770001,90,top
-R12,10k,R_0402_1005Metric,52.8,-28.75,0,top
-R13,100,R_0402_1005Metric,49.83,-33.09,90,top
-SW1,SW_Push,SW_SPST_PTS810,54.7,-32.512,180,top
-U1,USBLC6-4,SOT-23-6,29.7,-28.5,0,top
-U2,STM32F072C8Tx,LQFP-48_7x7mm_P0.5mm,42.7,-28.589949,135,top
-U3,SY8201,SOT-23-6,51.97,-26.48,180,top
-U4,FUSB302BMPX,WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm,34,-28.5,90,top
diff --git a/pcb/production/OtterPillBOM.csv b/pcb/production/OtterPillBOM.csv
deleted file mode 100644
index f62ca17..0000000
--- a/pcb/production/OtterPillBOM.csv
+++ /dev/null
@@ -1,19 +0,0 @@
-Comment,Designator,Footprint, LCSC
-10u 25V,"C1,C4,C11",Capacitor_SMD:C_0805_2012Metric,C15850
-100n 50V,C2,Capacitor_SMD:C_0603_1608Metric,C14663
-100n 50V,"C3,C5,C6,C7,C8,C9,C10",Capacitor_SMD:C_0402_1005Metric,C1525
-LED RED,D1,LED_SMD:LED_0603_1608Metric,C2286
-LED GREEN,D2,LED_SMD:LED_0603_1608Metric,C72043
-USB-c,J1,otter:USB-C 16Pin,C167321
-4u7,L1,Inductor_SMD:L_1210_3225Metric,C86070
-DNP,"R1,R2",Resistor_SMD:R_0402_1005Metric,
-100,R13,Resistor_SMD:R_0402_1005Metric,C25076
-3k3,"R5,R3,R4,R10,R11",Resistor_SMD:R_0402_1005Metric,C25890
-100k,"R12,R6",Resistor_SMD:R_0402_1005Metric,C25741
-0,"R8,R9",Resistor_SMD:R_0402_1005Metric,C17168
-SW_Push,SW1,Button_Switch_SMD:SW_SPST_PTS810,C127488
-USBLC6-4,U1,Package_TO_SOT_SMD:SOT-23-6,C84837
-STM32F072CBTx,U2,Package_QFP:LQFP-48_7x7mm_P0.5mm,C81720
-SY8201,U3,Package_TO_SOT_SMD:SOT-23-6,C108052
-FUSB302BMPX,U4,Package_DFN_QFN:WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm,C132291
-22.1k,R7,Resistor_SMD:R_0402_1005Metric, C25768