summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorjaseg <git-bigdata-wsl-arch@jaseg.de>2020-10-08 19:33:59 +0200
committerjaseg <git-bigdata-wsl-arch@jaseg.de>2020-10-08 19:33:59 +0200
commit3f96477311268abf7c60b81f710d6d86874da50c (patch)
treea6b33bc1503ca69c448cce6adb14bb5f16509743
parente246e55c7a7941168e7e93c741dbcb2d3b83bc24 (diff)
downloadihsm-3f96477311268abf7c60b81f710d6d86874da50c.tar.gz
ihsm-3f96477311268abf7c60b81f710d6d86874da50c.tar.bz2
ihsm-3f96477311268abf7c60b81f710d6d86874da50c.zip
Route rotor base PCB
-rw-r--r--mech_pcbs/rotor_base_pcb/fp-info-cache2001
-rw-r--r--mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_pcb21899
-rw-r--r--mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_prl22
-rw-r--r--mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_pro84
-rw-r--r--mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch4427
5 files changed, 27487 insertions, 946 deletions
diff --git a/mech_pcbs/rotor_base_pcb/fp-info-cache b/mech_pcbs/rotor_base_pcb/fp-info-cache
index fb56f4a..1030d1c 100644
--- a/mech_pcbs/rotor_base_pcb/fp-info-cache
+++ b/mech_pcbs/rotor_base_pcb/fp-info-cache
@@ -1,29 +1,1996 @@
-6406185595048
-common_footprints
-8mm_base
-
-
+455210506680941
+Package_SO
+Diodes_PSOP-8
+8-Lead Plastic PSOP, Exposed Die Pad (see https://www.diodes.com/assets/Datasheets/AP2204.pdf)
+SSOP 0.50 exposed pad
+0
+12
+9
+Package_SO
+Diodes_SO-8EP
+8-Lead Plastic SO, Exposed Die Pad (see https://www.diodes.com/assets/Package-Files/SO-8EP.pdf)
+SO exposed pad
+0
+9
+9
+Package_SO
+ETSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3x4.2mm
+20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body with Exposed Pad [eTSSOP] (see Microchip Packaging Specification 00000049BS.pdf)
+SSOP 0.65
+0
+27
+21
+Package_SO
+HSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.1mm
+HSOP, 8 Pin (https://www.st.com/resource/en/datasheet/l5973d.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HSOP SO
+0
+13
+9
+Package_SO
+HSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.1mm_ThermalVias
+HSOP, 8 Pin (https://www.st.com/resource/en/datasheet/l5973d.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HSOP SO
+0
+20
+9
+Package_SO
+HSOP-20-1EP_11.0x15.9mm_P1.27mm_SlugDown
+HSOP 11.0x15.9mm Pitch 1.27mm Slug Down (PowerSO-20) [JEDEC MO-166] (http://www.st.com/resource/en/datasheet/tda7266d.pdf, www.st.com/resource/en/application_note/cd00003801.pdf)
+HSOP 11.0 x 15.9mm Pitch 1.27mm
+0
+23
+21
+Package_SO
+HSOP-20-1EP_11.0x15.9mm_P1.27mm_SlugDown_ThermalVias
+HSOP 11.0x15.9mm Pitch 1.27mm Slug Down Thermal Vias (PowerSO-20) [JEDEC MO-166] (http://www.st.com/resource/en/datasheet/tda7266d.pdf, www.st.com/resource/en/application_note/cd00003801.pdf)
+HSOP 11.0 x 15.9mm Pitch 1.27mm
+0
+45
+21
+Package_SO
+HSOP-20-1EP_11.0x15.9mm_P1.27mm_SlugUp
+HSOP 11.0x15.9mm Pitch 1.27mm Slug Up (PowerSO-20) [JEDEC MO-166] (http://www.st.com/resource/en/datasheet/tda7266d.pdf, www.st.com/resource/en/application_note/cd00003801.pdf)
+HSOP 11.0 x 15.9mm Pitch 1.27mm
+0
+20
+20
+Package_SO
+HSOP-36-1EP_11.0x15.9mm_P0.65mm_SlugDown
+HSOP 11.0x15.9mm Pitch 0.65mm Slug Down (PowerSO-36) [JEDEC MO-166] (http://www.st.com/resource/en/datasheet/vn808cm-32-e.pdf, http://www.st.com/resource/en/application_note/cd00003801.pdf)
+HSOP 11.0 x 15.9mm Pitch 0.65mm
+0
+39
+37
+Package_SO
+HSOP-36-1EP_11.0x15.9mm_P0.65mm_SlugDown_ThermalVias
+HSOP 11.0x15.9mm Pitch 0.65mm Slug Down Thermal Vias (PowerSO-36) [JEDEC MO-166] (http://www.st.com/resource/en/datasheet/vn808cm-32-e.pdf, http://www.st.com/resource/en/application_note/cd00003801.pdf)
+HSOP 11.0 x 15.9mm Pitch 0.65mm
+0
+61
+37
+Package_SO
+HSOP-36-1EP_11.0x15.9mm_P0.65mm_SlugUp
+HSOP 11.0x15.9mm Pitch 0.65mm Slug Up (PowerSO-36) [JEDEC MO-166] (http://www.st.com/resource/en/datasheet/vn808cm-32-e.pdf, http://www.st.com/resource/en/application_note/cd00003801.pdf)
+HSOP 11.0 x 15.9mm Pitch 0.65mm
+0
+36
+36
+Package_SO
+HTSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.4x3.2mm
+HTSOP, 8 Pin (https://media.digikey.com/pdf/Data%20Sheets/Rohm%20PDFs/BD9G341EFJ.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSOP SO
+0
+13
+9
+Package_SO
+HTSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.4x3.2mm_ThermalVias
+HTSOP, 8 Pin (https://media.digikey.com/pdf/Data%20Sheets/Rohm%20PDFs/BD9G341EFJ.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSOP SO
+0
+20
+9
+Package_SO
+HTSSOP-14-1EP_4.4x5mm_P0.65mm_EP3.4x5mm_Mask3x3.1mm
+HTSSOP, 14 Pin (http://www.ti.com/lit/ds/symlink/lm5161.pdf#page=34), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+20
+15
+Package_SO
+HTSSOP-14-1EP_4.4x5mm_P0.65mm_EP3.4x5mm_Mask3x3.1mm_ThermalVias
+HTSSOP, 14 Pin (http://www.ti.com/lit/ds/symlink/lm5161.pdf#page=34), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+36
+15
+Package_SO
+HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3.4x5mm
+16-Lead Plastic HTSSOP (4.4x5x1.2mm); Thermal pad; (http://www.ti.com/lit/ds/symlink/drv8833.pdf)
+SSOP 0.65
+0
+29
+17
+Package_SO
+HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3.4x5mm_Mask2.46x2.31mm
+HTSSOP, 16 Pin (http://www.analog.com/media/en/technical-documentation/data-sheets/LTC7810.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+20
+17
+Package_SO
+HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3.4x5mm_Mask2.46x2.31mm_ThermalVias
+HTSSOP, 16 Pin (http://www.analog.com/media/en/technical-documentation/data-sheets/LTC7810.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+27
+17
+Package_SO
+HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3.4x5mm_Mask3x3mm_ThermalVias
+16-Lead Plastic HTSSOP (4.4x5x1.2mm); Thermal pad with vias; (http://www.ti.com/lit/ds/symlink/drv8800.pdf)
+SSOP 0.65
+0
+46
+17
+Package_SO
+HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3x3mm
+HTSSOP, 16 Pin (https://www.st.com/resource/en/datasheet/stp08cp05.pdf#page=20), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+21
+17
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP2.85x4mm
+HTSSOP, 20 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0108.PDF U20E-1), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+23
+21
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm
+20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [HTSSOP], with thermal pad with vias
+HTSSOP 0.65
+0
+29
+21
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.4x3.7mm
+HTSSOP, 20 Pin (http://www.ti.com/lit/ds/symlink/bq24006.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+24
+21
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.75x3.43mm
+HTSSOP, 20 Pin (http://www.ti.com/lit/ds/symlink/tlc5971.pdf#page=37&zoom=160,-90,3), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+26
+21
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.75x3.43mm_ThermalVias
+HTSSOP, 20 Pin (http://www.ti.com/lit/ds/symlink/tlc5971.pdf#page=37&zoom=160,-90,3), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+42
+21
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.75x3.43mm_ThermalVias_HandSolder
+HTSSOP, 20 Pin (http://www.ti.com/lit/ds/symlink/tlc5971.pdf#page=37&zoom=160,-90,3), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+42
+21
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.96x2.96mm
+HTSSOP, 20 Pin (https://www.ti.com/lit/ds/symlink/tps2663.pdf#page=49), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+23
+21
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.96x2.96mm_ThermalVias
+HTSSOP, 20 Pin (https://www.ti.com/lit/ds/symlink/tps2663.pdf#page=49), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+42
+21
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_ThermalVias
+20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [HTSSOP], with thermal pad with vias
+HTSSOP 0.65
+0
+45
+21
+Package_SO
+HTSSOP-24-1EP_4.4x7.8mm_P0.65mm_EP3.2x5mm
+HTSSOP, 24 Pin (https://www.st.com/resource/en/datasheet/stp16cp05.pdf#page=25), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+31
+25
+Package_SO
+HTSSOP-24-1EP_4.4x7.8mm_P0.65mm_EP3.4x7.8mm_Mask2.4x4.68mm
+HTSSOP, 24 Pin (http://www.ti.com/lit/ds/symlink/tps703.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+28
+25
+Package_SO
+HTSSOP-24-1EP_4.4x7.8mm_P0.65mm_EP3.4x7.8mm_Mask2.4x4.68mm_ThermalVias
+HTSSOP, 24 Pin (http://www.ti.com/lit/ds/symlink/tps703.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+47
+25
+Package_SO
+HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP2.85x5.4mm
+HTSSOP, 28 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0108.PDF), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+30
+29
+Package_SO
+HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP2.85x5.4mm_ThermalVias
+HTSSOP, 28 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0108.PDF), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+41
+29
+Package_SO
+HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP3.4x9.5mm
+HTSSOP28: plastic thin shrink small outline package; 28 leads; body width 4.4 mm; thermal pad
+TSSOP HTSSOP 0.65 thermal pad
+0
+39
+29
+Package_SO
+HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP3.4x9.5mm_Mask2.4x6.17mm
+HTSSOP28: plastic thin shrink small outline package; 28 leads; body width 4.4 mm; thermal pad
+TSSOP HTSSOP 0.65 thermal pad
+0
+40
+29
+Package_SO
+HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP3.4x9.5mm_Mask2.4x6.17mm_ThermalVias
+HTSSOP28: plastic thin shrink small outline package; 28 leads; body width 4.4 mm; thermal pad
+TSSOP HTSSOP 0.65 thermal pad
+0
+55
+29
+Package_SO
+HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP3.4x9.5mm_ThermalVias
+HTSSOP28: plastic thin shrink small outline package; 28 leads; body width 4.4 mm; thermal pad
+TSSOP HTSSOP 0.65 thermal pad
+0
+58
+29
+Package_SO
+HTSSOP-32-1EP_6.1x11mm_P0.65mm_EP5.2x11mm_Mask4.11x4.36mm
+HTSSOP32: plastic thin shrink small outline package; 32 leads; body width 6.1 mm; lead pitch 0.65 mm (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot487-1_po.pdf)
+SSOP 0.65 PowerPAD
+0
+43
+33
+Package_SO
+HTSSOP-32-1EP_6.1x11mm_P0.65mm_EP5.2x11mm_Mask4.11x4.36mm_ThermalVias
+HTSSOP32: plastic thin shrink small outline package; 32 leads; body width 6.1 mm; lead pitch 0.65 mm (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot487-1_po.pdf)
+SSOP 0.65 PowerPAD
+0
+83
+33
+Package_SO
+HTSSOP-38-1EP_6.1x12.5mm_P0.65mm_EP5.2x12.5mm_Mask3.39x6.35mm
+HTSSOP, 38 Pin (http://www.ti.com/lit/ds/symlink/tlc5951.pdf#page=47&zoom=140,-67,15), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+46
+39
+Package_SO
+HTSSOP-38-1EP_6.1x12.5mm_P0.65mm_EP5.2x12.5mm_Mask3.39x6.35mm_ThermalVias
+HTSSOP, 38 Pin (http://www.ti.com/lit/ds/symlink/tlc5951.pdf#page=47&zoom=140,-67,15), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HTSSOP SO
+0
+87
+39
+Package_SO
+HTSSOP-56-1EP_6.1x14mm_P0.5mm_EP3.61x6.35mm
+HTSSOP56: plastic thin shrink small outline package http://www.ti.com/lit/ds/symlink/drv8301.pdf
+HTSSOP 0.5
+0
+72
+57
+Package_SO
+Infineon_PG-DSO-8-27_3.9x4.9mm_EP2.65x3mm
+Infineon PG-DSO, 8 Pin (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-8-27), generated with kicad-footprint-generator ipc_gullwing_generator.py
+Infineon PG-DSO SO
+0
+13
+9
+Package_SO
+Infineon_PG-DSO-8-27_3.9x4.9mm_EP2.65x3mm_ThermalVias
+Infineon PG-DSO, 8 Pin (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-8-27), generated with kicad-footprint-generator ipc_gullwing_generator.py
+Infineon PG-DSO SO
+0
+23
+9
+Package_SO
+Infineon_PG-DSO-8-43
+Infineon_PG-DSO-8-43
+DSO DSO-8 SOIC SOIC-8
+0
+12
+9
+Package_SO
+Infineon_PG-DSO-12-9
+Infineon PG-DSO 12 pin, exposed pad: 4.5x8.1mm, with thermal vias (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-12-9/)
+PG-DSO
+0
+23
+13
+Package_SO
+Infineon_PG-DSO-12-9_ThermalVias
+Infineon PG-DSO 12 pin, exposed pad: 4.5x8.1mm, with thermal vias (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-12-9/)
+PG-DSO
+0
+42
+13
+Package_SO
+Infineon_PG-DSO-12-11
+Infineon PG-DSO 12 pin, exposed pad: 4.5x8.1mm, with thermal vias (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-12-11/)
+PG-DSO
+0
+23
+13
+Package_SO
+Infineon_PG-DSO-12-11_ThermalVias
+Infineon PG-DSO 12 pin, exposed pad: 4.5x8.1mm, with thermal vias (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-12-11/)
+PG-DSO
+0
+42
+13
+Package_SO
+Infineon_PG-DSO-20-30
+Infineon SO package 20pin, exposed pad 4.5x7mm (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-71/)
+DSO-20
+0
+31
+21
+Package_SO
+Infineon_PG-DSO-20-30_ThermalVias
+Infineon SO package 20pin, exposed pad 4.5x7mm (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-71/)
+DSO-20
+0
+45
+21
+Package_SO
+Infineon_PG-DSO-20-32
+Infineon SO package 20pin without exposed pad (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-32/)
+DSO-20
+0
+20
+20
+Package_SO
+Infineon_PG-DSO-20-85
+Infineon SO package 20pin with exposed pad (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-85/)
+DSO-20
+0
+31
+21
+Package_SO
+Infineon_PG-DSO-20-85_ThermalVias
+Infineon SO package 20pin with exposed pad (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-85/)
+DSO-20
+0
+113
+21
+Package_SO
+Infineon_PG-DSO-20-87
+Infineon SO package 20pin without exposed pad (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-87/)
+DSO-20
+0
+20
+20
+Package_SO
+Infineon_PG-TSDSO-14-22
+Infineon_PG-TSDSO-14-22
+Infineon TSDSO 14-22
+0
+17
+15
+Package_SO
+Linear_MSOP-12-16-1EP_3x4mm_P0.5mm
+12-Lead Plastic Micro Small Outline Package (MS) [MSOP], variant of MSOP-16 (see http://cds.linear.com/docs/en/datasheet/3630fd.pdf)
+SSOP 0.5
+0
+15
+13
+Package_SO
+Linear_MSOP-12-16_3x4mm_P0.5mm
+12-Lead Plastic Micro Small Outline Package (MS) [MSOP], variant of MSOP-16 (see https://www.analog.com/media/en/technical-documentation/data-sheets/3748fb.pdf)
+SSOP 0.5
+0
+12
+12
+Package_SO
+MFSOP6-4_4.4x3.6mm_P1.27mm
+https://toshiba.semicon-storage.com/ap-en/design-support/package/detail.4pin%20MFSOP6.html
+MFSOP 4 pin SMD
+0
+4
+4
+Package_SO
+MFSOP6-5_4.4x3.6mm_P1.27mm
+https://toshiba.semicon-storage.com/ap-en/design-support/package/detail.5pin%20MFSOP6.html
+MFSOP 4 pin SMD
+0
+5
+5
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP1.68x1.88mm
+MSOP, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/4440fb.pdf#page=13), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+13
+9
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP1.68x1.88mm_ThermalVias
+MSOP, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/4440fb.pdf#page=13), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+18
+9
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP1.73x1.85mm
+MSOP, 8 Pin (http://www.ti.com/lit/ds/symlink/lm25085.pdf#page=32), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+13
+9
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP1.73x1.85mm_ThermalVias
+MSOP, 8 Pin (http://www.ti.com/lit/ds/symlink/lm25085.pdf#page=32), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+18
+9
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP1.95x2.15mm
+MSOP, 8 Pin (http://www.st.com/resource/en/datasheet/pm8834.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+13
+9
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP1.95x2.15mm_ThermalVias
+MSOP, 8 Pin (http://www.st.com/resource/en/datasheet/pm8834.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+18
+9
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP2.5x3mm_Mask1.73x2.36mm
+MSOP, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/mic5355_6.pdf#page=15), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+14
+9
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP2.5x3mm_Mask1.73x2.36mm_ThermalVias
+MSOP, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/mic5355_6.pdf#page=15), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+19
+9
+Package_SO
+MSOP-8_3x3mm_P0.65mm
+MSOP, 8 Pin (https://www.jedec.org/system/files/docs/mo-187F.pdf variant AA), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+8
+8
+Package_SO
+MSOP-10-1EP_3x3mm_P0.5mm_EP1.68x1.88mm
+MSOP, 10 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/3805fg.pdf#page=18), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+15
+11
+Package_SO
+MSOP-10-1EP_3x3mm_P0.5mm_EP1.68x1.88mm_ThermalVias
+MSOP, 10 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/3805fg.pdf#page=18), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+20
+11
+Package_SO
+MSOP-10-1EP_3x3mm_P0.5mm_EP1.73x1.98mm
+MSOP, 10 Pin (www.allegromicro.com/~/media/Files/Datasheets/A4952-3-Datasheet.ashx?la=en#page=10), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+15
+11
+Package_SO
+MSOP-10-1EP_3x3mm_P0.5mm_EP1.73x1.98mm_ThermalVias
+MSOP, 10 Pin (www.allegromicro.com/~/media/Files/Datasheets/A4952-3-Datasheet.ashx?la=en#page=10), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+20
+11
+Package_SO
+MSOP-10_3x3mm_P0.5mm
+10-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf)
+SSOP 0.5
+0
+10
+10
+Package_SO
+MSOP-12-1EP_3x4mm_P0.65mm_EP1.65x2.85mm
+MSOP, 12 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/3652fe.pdf#page=24), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+17
+13
+Package_SO
+MSOP-12-1EP_3x4mm_P0.65mm_EP1.65x2.85mm_ThermalVias
+MSOP, 12 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/3652fe.pdf#page=24), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+24
+13
+Package_SO
+MSOP-12-16-1EP_3x4mm_P0.5mm_EP1.65x2.85mm
+10-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf)
+SSOP 0.5
+0
+19
+13
+Package_SO
+MSOP-12-16-1EP_3x4mm_P0.5mm_EP1.65x2.85mm_ThermalVias
+10-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf)
+SSOP 0.5
+0
+25
+13
+Package_SO
+MSOP-12-16_3x4mm_P0.5mm
+10-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf)
+SSOP 0.5
+0
+12
+12
+Package_SO
+MSOP-12_3x4mm_P0.65mm
+MSOP, 12 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/6957fb.pdf#page=36), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+12
+12
+Package_SO
+MSOP-16-1EP_3x4.039mm_P0.5mm_EP1.651x2.845mm
+MSOP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-msop/05081667_F_MSE16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+21
+17
+Package_SO
+MSOP-16-1EP_3x4.039mm_P0.5mm_EP1.651x2.845mm_ThermalVias
+MSOP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-msop/05081667_F_MSE16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+28
+17
+Package_SO
+MSOP-16-1EP_3x4mm_P0.5mm_EP1.65x2.85mm
+MSOP, 16 Pin (http://cds.linear.com/docs/en/datasheet/37551fd.pdf#page=23), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+21
+17
+Package_SO
+MSOP-16-1EP_3x4mm_P0.5mm_EP1.65x2.85mm_ThermalVias
+MSOP, 16 Pin (http://cds.linear.com/docs/en/datasheet/37551fd.pdf#page=23), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+28
+17
+Package_SO
+MSOP-16_3x4.039mm_P0.5mm
+MSOP, 16 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-msop/05081669_A_MS16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+16
+16
+Package_SO
+MSOP-16_3x4mm_P0.5mm
+MSOP, 16 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/436412f.pdf#page=22), generated with kicad-footprint-generator ipc_gullwing_generator.py
+MSOP SO
+0
+16
+16
+Package_SO
+ONSemi_SO-8FL_488AA
+ON Semi DFN5 5x6mm 1.27P SO-8FL CASE 488A https://www.onsemi.com/pub/Collateral/488AA.PDF
+ON Semi DFN5 5x6mm 1.27P SO-8FL CASE 488A
+0
+5
+5
+Package_SO
+OnSemi_Micro8
+ON Semiconductor Micro8 (Case846A-02): https://www.onsemi.com/pub/Collateral/846A-02.PDF
+micro8
+0
+8
+8
+Package_SO
+PSOP-44_16.9x27.17mm_P1.27mm
+PSOP44: plastic thin shrink small outline package; 44 leads; body width 16.90 mm
+PSOP 1.27
+0
+44
+44
+Package_SO
+PowerIntegrations_SO-8
+Power-Integrations variant of 8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC], see https://ac-dc.power.com/sites/default/files/product-docs/senzero_family_datasheet.pdf
+SOIC 1.27
+0
+8
+8
+Package_SO
+PowerIntegrations_SO-8B
+Power-Integrations variant of 8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC], see https://www.mouser.com/ds/2/328/linkswitch-pl_family_datasheet-12517.pdf
+SOIC 1.27
+0
+7
+7
+Package_SO
+PowerIntegrations_SO-8C
+Power-Integrations variant of 8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC], see https://www.mouser.com/ds/2/328/linkswitch-pl_family_datasheet-12517.pdf
+SOIC 1.27
+0
+7
+7
+Package_SO
+PowerIntegrations_eSOP-12B
+eSOP-12B SMT Flat Package with Heatsink Tab, see https://ac-dc.power.com/sites/default/files/product-docs/topswitch-jx_family_datasheet.pdf
+Power Integrations K Package
+0
+12
+12
+Package_SO
+PowerPAK_SO-8_Dual
+PowerPAK SO-8 Dual (https://www.vishay.com/docs/71655/powerpak.pdf, https://www.vishay.com/docs/72600/72600.pdf)
+PowerPAK SO-8 Dual
+0
+10
+6
+Package_SO
+PowerPAK_SO-8_Single
+PowerPAK SO-8 Single (https://www.vishay.com/docs/71655/powerpak.pdf, https://www.vishay.com/docs/72599/72599.pdf)
+PowerPAK SO-8 Single
+0
+9
+5
+Package_SO
+QSOP-16_3.9x4.9mm_P0.635mm
+16-Lead Plastic Shrink Small Outline Narrow Body (QR)-.150" Body [QSOP] (see Microchip Packaging Specification 00000049BS.pdf)
+SSOP 0.635
+0
+16
+16
+Package_SO
+QSOP-20_3.9x8.7mm_P0.635mm
+20-Lead Plastic Shrink Small Outline Narrow Body (http://www.analog.com/media/en/technical-documentation/data-sheets/ADuM7640_7641_7642_7643.pdf)
+QSOP 0.635
+0
+20
+20
+Package_SO
+QSOP-24_3.9x8.7mm_P0.635mm
+24-Lead Plastic Shrink Small Outline Narrow Body (QR)-.150" Body [QSOP] (see Microchip Packaging Specification 00000049CH.pdf)
+QSOP 0.635
+0
+24
+24
+Package_SO
+SC-74-6_1.5x2.9mm_P0.95mm
+SC-74, 6 Pin (https://www.nxp.com/docs/en/package-information/SOT457.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SC-74 SO
+0
+6
+6
+Package_SO
+SO-4_4.4x2.3mm_P1.27mm
+4-Lead Plastic Small Outline (SO), see http://datasheet.octopart.com/OPIA403BTRE-Optek-datasheet-5328560.pdf
+SO SOIC 1.27
+0
+4
+4
+Package_SO
+SO-4_4.4x3.6mm_P2.54mm
+4-Lead Plastic Small Outline (SO), see https://www.elpro.org/de/index.php?controller=attachment&id_attachment=339
+SO SOIC 2.54
+0
+4
+4
+Package_SO
+SO-4_4.4x3.9mm_P2.54mm
+SO, 4 Pin (https://toshiba.semicon-storage.com/info/docget.jsp?did=10047&prodName=TLP3123), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SO SO
+0
+4
+4
+Package_SO
+SO-4_4.4x4.3mm_P2.54mm
+4-Lead Plastic Small Outline (SO), see https://docs.broadcom.com/docs/AV02-0173EN
+SO SOIC 2.54
+0
+4
+4
+Package_SO
+SO-4_7.6x3.6mm_P2.54mm
+4-Lead Plastic Small Outline (SO) (http://www.everlight.com/file/ProductFile/201407061745083848.pdf)
+SO SOIC 2.54
+0
+4
+4
+Package_SO
+SO-5_4.4x3.6mm_P1.27mm
+5-Lead Plastic Small Outline (SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SO SOIC 1.27
+0
+5
+5
+Package_SO
+SO-6L_10x3.84mm_P1.27mm
+6-pin plasic small outline 7,5mm long https://toshiba.semicon-storage.com/info/docget.jsp?did=53548&prodName=TLP2770
+SO-6L
+0
+6
+6
+Package_SO
+SO-6_4.4x3.6mm_P1.27mm
+6-Lead Plastic Small Outline (SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SO SOIC 1.27
+0
+6
+6
+Package_SO
+SO-8_3.9x4.9mm_P1.27mm
+SO, 8 Pin (https://www.nxp.com/docs/en/data-sheet/PCF8523.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SO SO
+0
+8
+8
+Package_SO
+SO-8_5.3x6.2mm_P1.27mm
+SO, 8 Pin (https://www.ti.com/lit/ml/msop001a/msop001a.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SO SO
+0
+8
+8
+Package_SO
+SO-14_5.3x10.2mm_P1.27mm
+SO, 14 Pin (https://www.ti.com/lit/ml/msop002a/msop002a.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SO SO
+0
+14
+14
+Package_SO
+SO-16_5.3x10.2mm_P1.27mm
+SO, 16 Pin (https://www.ti.com/lit/ml/msop002a/msop002a.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SO SO
+0
+16
+16
+Package_SO
+SO-20-1EP_7.52x12.825mm_P1.27mm_EP6.045x12.09mm_Mask3.56x4.47mm
+SO, 20 Pin (http://www.ti.com/lit/ds/symlink/opa569.pdf, http://www.ti.com/lit/an/slma004b/slma004b.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SO SO
+0
+23
+21
+Package_SO
+SO-20-1EP_7.52x12.825mm_P1.27mm_EP6.045x12.09mm_Mask3.56x4.47mm_ThermalVias
+SO, 20 Pin (http://www.ti.com/lit/ds/symlink/opa569.pdf, http://www.ti.com/lit/an/slma004b/slma004b.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SO SO
+0
+51
+21
+Package_SO
+SO-20_5.3x12.6mm_P1.27mm
+SO, 20 Pin (https://www.ti.com/lit/ml/msop002a/msop002a.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SO SO
+0
+20
+20
+Package_SO
+SO-20_12.8x7.5mm_P1.27mm
+SO-20, 12.8x7.5mm, https://www.nxp.com/docs/en/data-sheet/SA605.pdf
+S0-20
+0
+20
+20
+Package_SO
+SO-24_5.3x15mm_P1.27mm
+SO, 24 Pin (https://www.ti.com/lit/ml/msop002a/msop002a.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SO SO
+0
+24
+24
+Package_SO
+SOIC-4_4.55x2.6mm_P1.27mm
+SOIC, 4 Pin (https://toshiba.semicon-storage.com/info/docget.jsp?did=12884&prodName=TLP291), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+4
+4
+Package_SO
+SOIC-4_4.55x3.7mm_P2.54mm
+SOIC, 6 Pin (https://toshiba.semicon-storage.com/info/docget.jsp?did=11791&prodName=TLP185), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+4
+4
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm
+SOIC, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+13
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm_ThermalVias
+SOIC, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+20
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.3mm
+SOIC, 8 Pin (http://www.allegromicro.com/~/media/Files/Datasheets/A4950-Datasheet.ashx#page=8), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+13
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.3mm_ThermalVias
+SOIC, 8 Pin (http://www.allegromicro.com/~/media/Files/Datasheets/A4950-Datasheet.ashx#page=8), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+20
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.81mm
+SOIC, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+13
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.81mm_ThermalVias
+SOIC, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+20
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.95x4.9mm_Mask2.71x3.4mm
+SOIC, 8 Pin (http://www.ti.com/lit/ds/symlink/lm5017.pdf#page=31), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+14
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.95x4.9mm_Mask2.71x3.4mm_ThermalVias
+SOIC, 8 Pin (http://www.ti.com/lit/ds/symlink/lm5017.pdf#page=31), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+23
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.514x3.2mm
+SOIC, 8 Pin (https://www.renesas.com/eu/en/www/doc/datasheet/hip2100.pdf#page=13), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+13
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.514x3.2mm_ThermalVias
+SOIC, 8 Pin (https://www.renesas.com/eu/en/www/doc/datasheet/hip2100.pdf#page=13), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+20
+9
+Package_SO
+SOIC-8-N7_3.9x4.9mm_P1.27mm
+8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC], pin 7 removed (Microchip Packaging Specification 00000049BS.pdf, http://www.onsemi.com/pub/Collateral/NCP1207B.PDF)
+SOIC 1.27
+0
+7
+7
+Package_SO
+SOIC-8_3.9x4.9mm_P1.27mm
+SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+8
+8
+Package_SO
+SOIC-8_5.23x5.23mm_P1.27mm
+SOIC, 8 Pin (http://www.winbond.com/resource-files/w25q32jv%20revg%2003272018%20plus.pdf#page=68), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+8
+8
+Package_SO
+SOIC-8_5.275x5.275mm_P1.27mm
+SOIC, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/20005045C.pdf#page=23), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+8
+8
+Package_SO
+SOIC-8_7.5x5.85mm_P1.27mm
+SOIC, 8 Pin (http://www.ti.com/lit/ml/mpds382b/mpds382b.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+8
+8
+Package_SO
+SOIC-14W_7.5x9mm_P1.27mm
+SOIC, 14 Pin (JEDEC MS-013AF, https://www.analog.com/media/en/package-pcb-resources/package/54614177245586rw_14.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+14
+14
+Package_SO
+SOIC-14_3.9x8.7mm_P1.27mm
+SOIC, 14 Pin (JEDEC MS-012AB, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_14.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+14
+14
+Package_SO
+SOIC-16W-12_7.5x10.3mm_P1.27mm
+SOIC-16 With 12 Pin Placed - Wide, 7.50 mm Body [SOIC] (https://docs.broadcom.com/docs/AV02-0169EN)
+SOIC 1.27 16 12 Wide
+0
+12
+12
+Package_SO
+SOIC-16W_5.3x10.2mm_P1.27mm
+16-Lead Plastic Small Outline (SO) - Wide, 5.3 mm Body (http://www.ti.com/lit/ml/msop002a/msop002a.pdf)
+SOIC 1.27
+0
+16
+16
+Package_SO
+SOIC-16W_7.5x10.3mm_P1.27mm
+SOIC, 16 Pin (JEDEC MS-013AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_wide-rw/rw_16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+16
+16
+Package_SO
+SOIC-16W_7.5x12.8mm_P1.27mm
+SOIC, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ri_soic_ic/ri_16_1.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+16
+16
+Package_SO
+SOIC-16_3.9x9.9mm_P1.27mm
+SOIC, 16 Pin (JEDEC MS-012AC, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+16
+16
+Package_SO
+SOIC-16_4.55x10.3mm_P1.27mm
+SOIC, 16 Pin (https://toshiba.semicon-storage.com/info/docget.jsp?did=12858&prodName=TLP291-4), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+16
+16
+Package_SO
+SOIC-18W_7.5x11.6mm_P1.27mm
+SOIC, 18 Pin (JEDEC MS-013AB, https://www.analog.com/media/en/package-pcb-resources/package/33254132129439rw_18.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+18
+18
+Package_SO
+SOIC-20W_7.5x12.8mm_P1.27mm
+SOIC, 20 Pin (JEDEC MS-013AC, https://www.analog.com/media/en/package-pcb-resources/package/233848rw_20.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+20
+20
+Package_SO
+SOIC-24W_7.5x15.4mm_P1.27mm
+SOIC, 24 Pin (JEDEC MS-013AD, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_wide-rw/RW_24.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+24
+24
+Package_SO
+SOIC-28W_7.5x17.9mm_P1.27mm
+SOIC, 28 Pin (JEDEC MS-013AE, https://www.analog.com/media/en/package-pcb-resources/package/35833120341221rw_28.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+28
+28
+Package_SO
+SOIC-28W_7.5x18.7mm_P1.27mm
+SOIC, 28 Pin (https://www.akm.com/akm/en/file/datasheet/AK5394AVS.pdf#page=23), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOIC SO
+0
+28
+28
+Package_SO
+SOIJ-8_5.3x5.3mm_P1.27mm
+8-Lead Plastic Small Outline (SM) - Medium, 5.28 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)
+SOIC 1.27
+0
+8
+8
+Package_SO
+SOJ-36_10.16x23.49mm_P1.27mm
+SOJ, 36 Pin (http://www.issi.com/WW/pdf/61-64C5128AL.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOJ SO
+0
+36
+36
+Package_SO
+SOP-4_3.8x4.1mm_P2.54mm
+SOP, 4 Pin (http://www.ixysic.com/home/pdfs.nsf/www/CPC1017N.pdf/$file/CPC1017N.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOP SO
+0
+4
+4
+Package_SO
+SOP-4_4.4x2.6mm_P1.27mm
+SOP, 4 Pin (http://www.vishay.com/docs/83510/tcmt1100.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOP SO
+0
+4
+4
+Package_SO
+SOP-8-1EP_4.57x4.57mm_P1.27mm_EP4.57x4.45mm
+SOP, 8 Pin (https://ww2.minicircuits.com/case_style/XX112.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOP SO
+0
+13
+9
+Package_SO
+SOP-8-1EP_4.57x4.57mm_P1.27mm_EP4.57x4.45mm_ThermalVias
+SOP, 8 Pin (https://ww2.minicircuits.com/case_style/XX112.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOP SO
+0
+20
+9
+Package_SO
+SOP-8_3.9x4.9mm_P1.27mm
+SOP, 8 Pin (http://www.macronix.com/Lists/Datasheet/Attachments/7534/MX25R3235F,%20Wide%20Range,%2032Mb,%20v1.6.pdf#page=79), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOP SO
+0
+8
+8
+Package_SO
+SOP-8_3.76x4.96mm_P1.27mm
+SOP, 8 Pin (https://ww2.minicircuits.com/case_style/XX211.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOP SO
+0
+8
+8
+Package_SO
+SOP-8_5.28x5.23mm_P1.27mm
+SOP, 8 Pin (http://www.macronix.com/Lists/Datasheet/Attachments/7534/MX25R3235F,%20Wide%20Range,%2032Mb,%20v1.6.pdf#page=80), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOP SO
+0
+8
+8
+Package_SO
+SOP-8_6.62x9.15mm_P2.54mm
+SOP, 8 Pin (http://www.ti.com/lit/ds/symlink/iso1050.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOP SO
+0
+8
+8
+Package_SO
+SOP-16_4.4x10.4mm_P1.27mm
+16-Lead Plastic Small Outline http://www.vishay.com/docs/49633/sg2098.pdf
+SOP 1.27
+0
+16
+16
+Package_SO
+SOP-16_4.55x10.3mm_P1.27mm
+SOP, 16 Pin (https://toshiba.semicon-storage.com/info/docget.jsp?did=12855&prodName=TLP290-4), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOP SO
+0
+16
+16
+Package_SO
+SOP-18_7x12.5mm_P1.27mm
+SOP, 18 Pin (https://toshiba.semicon-storage.com/info/docget.jsp?did=30523), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOP SO
+0
+18
+18
+Package_SO
+SOP-24_7.5x15.4mm_P1.27mm
+SOP, 24 Pin (http://www.issi.com/WW/pdf/31FL3218.pdf#page=14), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SOP SO
+0
+24
+24
+Package_SO
+SSO-4_6.7x5.1mm_P2.54mm_Clearance8mm
+4-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://www.vishay.com/docs/84299/vor1142b4.pdf
+SSO Stretched SO SOIC 2.54
+0
+4
+4
+Package_SO
+SSO-6_6.8x4.6mm_P1.27mm_Clearance7mm
+8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SSO Stretched SO SOIC 1.27
+0
+6
+6
+Package_SO
+SSO-6_6.8x4.6mm_P1.27mm_Clearance8mm
+8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SSO Stretched SO SOIC 1.27
+0
+6
+6
+Package_SO
+SSO-8_6.7x9.8mm_P2.54mm_Clearance8mm
+8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://www.vishay.com/docs/83831/lh1533ab.pdf
+SSO Stretched SO SOIC Pitch 2.54
+0
+8
+8
+Package_SO
+SSO-8_6.8x5.9mm_P1.27mm_Clearance7mm
+8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SSO Stretched SO SOIC Pitch 1.27
+0
+8
+8
+Package_SO
+SSO-8_6.8x5.9mm_P1.27mm_Clearance8mm
+8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SSO Stretched SO SOIC Pitch 1.27
+0
+8
+8
+Package_SO
+SSO-8_9.6x6.3mm_P1.27mm_Clearance10.5mm
+8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SSO Stretched SO SOIC Pitch 1.27
+0
+8
+8
+Package_SO
+SSO-8_13.6x6.3mm_P1.27mm_Clearance14.2mm
+8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SSO Stretched SO SOIC Pitch 1.27
+0
+8
+8
+Package_SO
+SSOP-8_2.95x2.8mm_P0.65mm
+SSOP-8 2.9 x2.8mm Pitch 0.65mm
+SSOP-8 2.95x2.8mm Pitch 0.65mm
+0
+8
+8
+Package_SO
+SSOP-8_3.9x5.05mm_P1.27mm
+SSOP, 8 Pin (http://www.fujitsu.com/downloads/MICRO/fsa/pdf/products/memory/fram/MB85RS16-DS501-00014-6v0-E.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SSOP SO
+0
+8
+8
+Package_SO
+SSOP-8_3.95x5.21x3.27mm_P1.27mm
+SSOP-8 3.95x5.21x3.27mm Pitch 1.27mm
+SSOP-8 3.95x5.21x3.27mm 1.27mm
+0
+8
+8
+Package_SO
+SSOP-8_5.25x5.24mm_P1.27mm
+SSOP, 8 Pin (http://www.fujitsu.com/ca/en/Images/MB85RS2MT-DS501-00023-1v0-E.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SSOP SO
+0
+8
+8
+Package_SO
+SSOP-10_3.9x4.9mm_P1.00mm
+10-Lead SSOP, 3.9 x 4.9mm body, 1.00mm pitch (http://www.st.com/resource/en/datasheet/viper01.pdf)
+SSOP 3.9 4.9 1.00
+0
+10
+10
+Package_SO
+SSOP-14_5.3x6.2mm_P0.65mm
+SSOP14: plastic shrink small outline package; 14 leads; body width 5.3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot337-1_po.pdf)
+SSOP 0.65
+0
+14
+14
+Package_SO
+SSOP-16_3.9x4.9mm_P0.635mm
+SSOP16: plastic shrink small outline package; 16 leads; body width 3.9 mm; lead pitch 0.635; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot519-1_po.pdf)
+SSOP 0.635
+0
+16
+16
+Package_SO
+SSOP-16_4.4x5.2mm_P0.65mm
+SSOP16: plastic shrink small outline package; 16 leads; body width 4.4 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot369-1_po.pdf)
+SSOP 0.65
0
16
16
-common_footprints
-8mm_plug
-
-
+Package_SO
+SSOP-16_5.3x6.2mm_P0.65mm
+SSOP16: plastic shrink small outline package; 16 leads; body width 5.3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot338-1_po.pdf)
+SSOP 0.65
0
16
16
-common_footprints
-15mm_base
-
-
+Package_SO
+SSOP-18_4.4x6.5mm_P0.65mm
+SSOP18: plastic shrink small outline package; 18 leads; body width 4.4 mm (http://toshiba.semicon-storage.com/info/docget.jsp?did=30523&prodName=TBD62783APG)
+SSOP 0.65
+0
+18
+18
+Package_SO
+SSOP-20_3.9x8.7mm_P0.635mm
+SSOP20: plastic shrink small outline package; 24 leads; body width 3.9 mm; lead pitch 0.635; (see http://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT231X.pdf)
+SSOP 0.635
+0
+20
+20
+Package_SO
+SSOP-20_4.4x6.5mm_P0.65mm
+SSOP20: plastic shrink small outline package; 20 leads; body width 4.4 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot266-1_po.pdf)
+SSOP 0.65
0
20
20
-common_footprints
-15mm_plug
-
-
+Package_SO
+SSOP-20_5.3x7.2mm_P0.65mm
+SSOP, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/40001800C.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SSOP SO
0
20
20
+Package_SO
+SSOP-24_3.9x8.7mm_P0.635mm
+SSOP24: plastic shrink small outline package; 24 leads; body width 3.9 mm; lead pitch 0.635; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot556-1_po.pdf)
+SSOP 0.635
+0
+24
+24
+Package_SO
+SSOP-24_5.3x8.2mm_P0.65mm
+24-Lead Plastic Shrink Small Outline (SS)-5.30 mm Body [SSOP] (see Microchip Packaging Specification 00000049BS.pdf)
+SSOP 0.65
+0
+24
+24
+Package_SO
+SSOP-28_3.9x9.9mm_P0.635mm
+SSOP28: plastic shrink small outline package; 28 leads; body width 3.9 mm; lead pitch 0.635; (see http://cds.linear.com/docs/en/datasheet/38901fb.pdf)
+SSOP 0.635
+0
+28
+28
+Package_SO
+SSOP-28_5.3x10.2mm_P0.65mm
+28-Lead Plastic Shrink Small Outline (SS)-5.30 mm Body [SSOP] (see Microchip Packaging Specification 00000049BS.pdf)
+SSOP 0.65
+0
+28
+28
+Package_SO
+SSOP-32_11.305x20.495mm_P1.27mm
+SSOP, 32 Pin (http://www.issi.com/WW/pdf/61-64C5128AL.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+SSOP SO
+0
+32
+32
+Package_SO
+SSOP-44_5.3x12.8mm_P0.5mm
+44-Lead Plastic Shrink Small Outline (SS)-5.30 mm Body [SSOP] (http://cds.linear.com/docs/en/datasheet/680313fa.pdf)
+SSOP 0.5
+0
+44
+44
+Package_SO
+SSOP-48_7.5x15.9mm_P0.635mm
+SSOP48: plastic shrink small outline package; 48 leads; body width 7.5 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot370-1_po.pdf)
+SSOP 0.635
+0
+48
+48
+Package_SO
+SSOP-56_7.5x18.5mm_P0.635mm
+SSOP56: plastic shrink small outline package; 56 leads; body width 7.5 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot371-1_po.pdf)
+SSOP 0.635
+0
+56
+56
+Package_SO
+STC_SOP-16_3.9x9.9mm_P1.27mm
+STC SOP, 16 Pin (https://www.stcmicro.com/datasheet/STC15F2K60S2-en.pdf#page=156), generated with kicad-footprint-generator ipc_gullwing_generator.py
+STC SOP SO
+0
+16
+16
+Package_SO
+ST_MultiPowerSO-30
+MultiPowerSO-30 3EP 16.0x17.2mm Pitch 1mm (http://www.st.com/resource/en/datasheet/vnh2sp30-e.pdf)
+MultiPowerSO-30 3EP 16.0x17.2mm Pitch 1mm
+0
+33
+33
+Package_SO
+ST_PowerSSO-24_SlugDown
+ST PowerSSO-24 1EP 7.5x10.3mm Pitch 0.8mm [JEDEC MO-271] (http://www.st.com/resource/en/datasheet/tda7266p.pdf, http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf)
+ST PowerSSO-24 1EP 7.5x10.3mm Pitch 0.8mm
+0
+25
+25
+Package_SO
+ST_PowerSSO-24_SlugDown_ThermalVias
+ST PowerSSO-24 1EP 7.5x10.3mm Pitch 0.8mm [JEDEC MO-271] (http://www.st.com/resource/en/datasheet/tda7266p.pdf, http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf)
+ST PowerSSO-24 1EP 7.5x10.3mm Pitch 0.8mm
+0
+38
+25
+Package_SO
+ST_PowerSSO-24_SlugUp
+ST PowerSSO-24 1EP 7.5x10.3mm Pitch 0.8mm [JEDEC MO-271] (http://www.st.com/resource/en/datasheet/tda7266p.pdf, http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf)
+ST PowerSSO-24 1EP 7.5x10.3mm Pitch 0.8mm
+0
+24
+24
+Package_SO
+ST_PowerSSO-36_SlugDown
+ST PowerSSO-36 1EP 7.5x10.3mm Pitch 0.8mm [JEDEC MO-271] (http://www.st.com/resource/en/datasheet/tda7492p.pdf, http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf)
+ST PowerSSO-36 1EP 7.5x10.3mm Pitch 0.8mm
+0
+37
+37
+Package_SO
+ST_PowerSSO-36_SlugDown_ThermalVias
+ST PowerSSO-36 1EP 7.5x10.3mm Pitch 0.8mm [JEDEC MO-271] (http://www.st.com/resource/en/datasheet/tda7492p.pdf, http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf)
+ST PowerSSO-36 1EP 7.5x10.3mm Pitch 0.8mm
+0
+50
+37
+Package_SO
+ST_PowerSSO-36_SlugUp
+ST PowerSSO-36 1EP 7.5x10.3mm Pitch 0.8mm [JEDEC MO-271] (http://www.st.com/resource/en/datasheet/tda7492p.pdf, http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf)
+ST PowerSSO-36 1EP 7.5x10.3mm Pitch 0.8mm
+0
+36
+36
+Package_SO
+TI_SO-PowerPAD-8
+8-Lead Plastic PSOP, Exposed Die Pad (TI DDA0008B, see http://www.ti.com/lit/ds/symlink/lm3404.pdf)
+SSOP 0.50 exposed pad
+0
+12
+9
+Package_SO
+TI_SO-PowerPAD-8_ThermalVias
+8-pin HTSOP package with 1.27mm pin pitch, compatible with SOIC-8, 3.9x4.9mm² body, exposed pad, thermal vias with large copper area, as proposed in http://www.ti.com/lit/ds/symlink/tps5430.pdf
+HTSOP 1.27
+0
+19
+9
+Package_SO
+TSOP-5_1.65x3.05mm_P0.95mm
+TSOP-5 package (comparable to TSOT-23), https://www.vishay.com/docs/71200/71200.pdf
+Jedec MO-193C TSOP-5L
+0
+5
+5
+Package_SO
+TSOP-6_1.65x3.05mm_P0.95mm
+TSOP-6 package (comparable to TSOT-23), https://www.vishay.com/docs/71200/71200.pdf
+Jedec MO-193C TSOP-6L
+0
+6
+6
+Package_SO
+TSOP-I-28_11.8x8mm_P0.55mm
+TSOP I, 28 pins, 18.8x8mm body, 0.55mm pitch, IPC-calculated pads (http://ww1.microchip.com/downloads/en/devicedoc/doc0807.pdf)
+TSOP I 28 pins
+0
+28
+28
+Package_SO
+TSOP-I-32_11.8x8mm_P0.5mm
+TSOP-I, 32 Pin (http://www.issi.com/WW/pdf/61-64C5128AL.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSOP-I SO
+0
+32
+32
+Package_SO
+TSOP-I-32_18.4x8mm_P0.5mm
+TSOP I, 32 pins, 18.4x8mm body (https://www.micron.com/~/media/documents/products/technical-note/nor-flash/tn1225_land_pad_design.pdf, http://www.fujitsu.com/downloads/MICRO/fma/pdfmcu/f32pm25.pdf)
+TSOP I 32
+0
+32
+32
+Package_SO
+TSOP-I-32_18.4x8mm_P0.5mm_Reverse
+TSOP I, 32 pins, 18.4x8mm body (http://www.futurlec.com/Datasheet/Memory/628128.pdf), reverse mount
+TSOP I 32 reverse
+0
+32
+32
+Package_SO
+TSOP-I-48_18.4x12mm_P0.5mm
+TSOP I, 32 pins, 18.4x8mm body (https://www.micron.com/~/media/documents/products/technical-note/nor-flash/tn1225_land_pad_design.pdf)
+TSOP I 32
+0
+48
+48
+Package_SO
+TSOP-I-56_18.4x14mm_P0.5mm
+TSOP I, 32 pins, 18.4x8mm body (https://www.micron.com/~/media/documents/products/technical-note/nor-flash/tn1225_land_pad_design.pdf)
+TSOP I 32
+0
+56
+56
+Package_SO
+TSOP-II-32_21.0x10.2mm_P1.27mm
+32-lead plastic TSOP; Type II
+TSOP-II 32
+0
+32
+32
+Package_SO
+TSOP-II-44_10.16x18.41mm_P0.8mm
+TSOP-II, 44 Pin (http://www.issi.com/WW/pdf/61-64C5128AL.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSOP-II SO
+0
+44
+44
+Package_SO
+TSOP-II-54_22.2x10.16mm_P0.8mm
+54-lead TSOP typ II package
+TSOPII TSOP2
+0
+54
+54
+Package_SO
+TSSOP-8_3x3mm_P0.65mm
+TSSOP8: plastic thin shrink small outline package; 8 leads; body width 3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot505-1_po.pdf)
+SSOP 0.65
+0
+8
+8
+Package_SO
+TSSOP-8_4.4x3mm_P0.65mm
+TSSOP, 8 Pin (JEDEC MO-153 Var AA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+8
+8
+Package_SO
+TSSOP-10_3x3mm_P0.5mm
+TSSOP10: plastic thin shrink small outline package; 10 leads; body width 3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot552-1_po.pdf)
+SSOP 0.5
+0
+10
+10
+Package_SO
+TSSOP-14-1EP_4.4x5mm_P0.65mm
+14-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] with exposed pad (http://cds.linear.com/docs/en/datasheet/34301fa.pdf)
+SSOP 0.65 exposed pad
+0
+18
+15
+Package_SO
+TSSOP-14_4.4x5mm_P0.65mm
+TSSOP, 14 Pin (JEDEC MO-153 Var AB-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+14
+14
+Package_SO
+TSSOP-16-1EP_4.4x5mm_P0.65mm
+FE Package; 16-Lead Plastic TSSOP (4.4mm); Exposed Pad Variation BB; (see Linear Technology 1956f.pdf)
+SSOP 0.65
+0
+24
+17
+Package_SO
+TSSOP-16_4.4x5mm_P0.65mm
+TSSOP, 16 Pin (JEDEC MO-153 Var AB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+16
+16
+Package_SO
+TSSOP-20_4.4x5mm_P0.5mm
+TSSOP, 20 Pin (JEDEC MO-153 Var BA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+20
+20
+Package_SO
+TSSOP-20_4.4x6.5mm_P0.65mm
+TSSOP, 20 Pin (JEDEC MO-153 Var AC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+20
+20
+Package_SO
+TSSOP-24_4.4x5mm_P0.4mm
+TSSOP, 24 Pin (JEDEC MO-153 Var CA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+24
+24
+Package_SO
+TSSOP-24_4.4x6.5mm_P0.5mm
+TSSOP, 24 Pin (JEDEC MO-153 Var BB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+24
+24
+Package_SO
+TSSOP-24_4.4x7.8mm_P0.65mm
+TSSOP, 24 Pin (JEDEC MO-153 Var AD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+24
+24
+Package_SO
+TSSOP-24_6.1x7.8mm_P0.65mm
+TSSOP, 24 Pin (JEDEC MO-153 Var DA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+24
+24
+Package_SO
+TSSOP-28-1EP_4.4x9.7mm_P0.65mm
+TSSOP28: plastic thin shrink small outline package; 28 leads; body width 4.4 mm; Exposed Pad Variation; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot361-1_po.pdf)
+SSOP 0.65
+0
+36
+29
+Package_SO
+TSSOP-28_4.4x7.8mm_P0.5mm
+TSSOP, 28 Pin (JEDEC MO-153 Var BC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+28
+28
+Package_SO
+TSSOP-28_4.4x9.7mm_P0.65mm
+TSSOP, 28 Pin (JEDEC MO-153 Var AE https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+28
+28
+Package_SO
+TSSOP-28_6.1x7.8mm_P0.5mm
+TSSOP, 28 Pin (JEDEC MO-153 Var EA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+28
+28
+Package_SO
+TSSOP-28_6.1x9.7mm_P0.65mm
+TSSOP, 28 Pin (JEDEC MO-153 Var DB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+28
+28
+Package_SO
+TSSOP-28_8x9.7mm_P0.65mm
+TSSOP, 28 Pin (JEDEC MO-153 Var GA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+28
+28
+Package_SO
+TSSOP-30_4.4x7.8mm_P0.5mm
+TSSOP, 30 Pin (JEDEC MO-153 Var BC-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+30
+30
+Package_SO
+TSSOP-30_6.1x9.7mm_P0.65mm
+TSSOP, 30 Pin (JEDEC MO-153 Var DB-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+30
+30
+Package_SO
+TSSOP-32_4.4x6.5mm_P0.4mm
+TSSOP, 32 Pin (JEDEC MO-153 Var CB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+32
+32
+Package_SO
+TSSOP-32_6.1x11mm_P0.65mm
+TSSOP, 32 Pin (JEDEC MO-153 Var DC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+32
+32
+Package_SO
+TSSOP-32_8x11mm_P0.65mm
+TSSOP, 32 Pin (JEDEC MO-153 Var GB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+32
+32
+Package_SO
+TSSOP-36_4.4x7.8mm_P0.4mm
+TSSOP, 36 Pin (JEDEC MO-153 Var CC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+36
+36
+Package_SO
+TSSOP-36_4.4x9.7mm_P0.5mm
+TSSOP, 36 Pin (JEDEC MO-153 Var BD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+36
+36
+Package_SO
+TSSOP-36_6.1x7.8mm_P0.4mm
+TSSOP, 36 Pin (JEDEC MO-153 Var FA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+36
+36
+Package_SO
+TSSOP-36_6.1x9.7mm_P0.5mm
+TSSOP, 36 Pin (JEDEC MO-153 Var EB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+36
+36
+Package_SO
+TSSOP-36_6.1x12.5mm_P0.65mm
+TSSOP, 36 Pin (JEDEC MO-153 Var DD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+36
+36
+Package_SO
+TSSOP-36_8x9.7mm_P0.5mm
+TSSOP, 36 Pin (JEDEC MO-153 Var HA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+36
+36
+Package_SO
+TSSOP-36_8x12.5mm_P0.65mm
+TSSOP, 36 Pin (JEDEC MO-153 Var GC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+36
+36
+Package_SO
+TSSOP-38_4.4x9.7mm_P0.5mm
+TSSOP, 38 Pin (JEDEC MO-153 Var BD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+38
+38
+Package_SO
+TSSOP-38_6.1x12.5mm_P0.65mm
+TSSOP, 38 Pin (JEDEC MO-153 Var DD-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+38
+38
+Package_SO
+TSSOP-40_6.1x11mm_P0.5mm
+TSSOP, 40 Pin (JEDEC MO-153 Var EC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+40
+40
+Package_SO
+TSSOP-40_6.1x14mm_P0.65mm
+TSSOP, 40 Pin (JEDEC MO-153 Var DE https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+40
+40
+Package_SO
+TSSOP-40_8x11mm_P0.5mm
+TSSOP, 40 Pin (JEDEC MO-153 Var HB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+40
+40
+Package_SO
+TSSOP-40_8x14mm_P0.65mm
+TSSOP, 40 Pin (JEDEC MO-153 Var GD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+40
+40
+Package_SO
+TSSOP-44_4.4x11.2mm_P0.5mm
+TSSOP44: plastic thin shrink small outline package; 44 leads; body width 4.4 mm (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot510-1_po.pdf)
+SSOP 0.5
+0
+44
+44
+Package_SO
+TSSOP-44_4.4x11mm_P0.5mm
+TSSOP, 44 Pin (JEDEC MO-153 Var BE https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+44
+44
+Package_SO
+TSSOP-44_6.1x11mm_P0.5mm
+TSSOP, 44 Pin (JEDEC MO-153 Var EC-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+44
+44
+Package_SO
+TSSOP-48_4.4x9.7mm_P0.4mm
+TSSOP, 48 Pin (JEDEC MO-153 Var CD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+48
+48
+Package_SO
+TSSOP-48_6.1x9.7mm_P0.4mm
+TSSOP, 48 Pin (JEDEC MO-153 Var FB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+48
+48
+Package_SO
+TSSOP-48_6.1x12.5mm_P0.5mm
+TSSOP, 48 Pin (JEDEC MO-153 Var ED https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+48
+48
+Package_SO
+TSSOP-48_8x9.7mm_P0.4mm
+TSSOP, 48 Pin (JEDEC MO-153 Var JA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+48
+48
+Package_SO
+TSSOP-48_8x12.5mm_P0.5mm
+TSSOP, 48 Pin (JEDEC MO-153 Var HC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+48
+48
+Package_SO
+TSSOP-50_4.4x12.5mm_P0.5mm
+TSSOP, 50 Pin (JEDEC MO-153 Var BF https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+50
+50
+Package_SO
+TSSOP-52_6.1x11mm_P0.4mm
+TSSOP, 52 Pin (JEDEC MO-153 Var FC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+52
+52
+Package_SO
+TSSOP-52_8x11mm_P0.4mm
+TSSOP, 52 Pin (JEDEC MO-153 Var JB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+52
+52
+Package_SO
+TSSOP-56_6.1x12.5mm_P0.4mm
+TSSOP, 56 Pin (JEDEC MO-153 Var FD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+56
+56
+Package_SO
+TSSOP-56_6.1x14mm_P0.5mm
+TSSOP, 56 Pin (JEDEC MO-153 Var EE https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+56
+56
+Package_SO
+TSSOP-56_8x12.5mm_P0.4mm
+TSSOP, 56 Pin (JEDEC MO-153 Var JC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+56
+56
+Package_SO
+TSSOP-56_8x14mm_P0.5mm
+TSSOP, 56 Pin (JEDEC MO-153 Var HD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+56
+56
+Package_SO
+TSSOP-60_8x12.5mm_P0.4mm
+TSSOP, 60 Pin (JEDEC MO-153 Var JC-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+60
+60
+Package_SO
+TSSOP-64_6.1x14mm_P0.4mm
+TSSOP, 64 Pin (JEDEC MO-153 Var FE https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+64
+64
+Package_SO
+TSSOP-64_6.1x17mm_P0.5mm
+TSSOP, 64 Pin (JEDEC MO-153 Var EF https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+64
+64
+Package_SO
+TSSOP-64_8x14mm_P0.4mm
+TSSOP, 64 Pin (JEDEC MO-153 Var JD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+64
+64
+Package_SO
+TSSOP-68_8x14mm_P0.4mm
+TSSOP, 68 Pin (JEDEC MO-153 Var JD-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+68
+68
+Package_SO
+TSSOP-80_6.1x17mm_P0.4mm
+TSSOP, 80 Pin (JEDEC MO-153 Var FF https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py
+TSSOP SO
+0
+80
+80
+Package_SO
+Texas_HSOP-8-1EP_3.9x4.9mm_P1.27mm
+Texas Instruments HSOP 9, 1.27mm pitch, 3.9x4.9mm body, exposed pad, DDA0008J (http://www.ti.com/lit/ds/symlink/tps5430.pdf)
+HSOP 1.27
+0
+11
+9
+Package_SO
+Texas_HSOP-8-1EP_3.9x4.9mm_P1.27mm_ThermalVias
+Texas Instruments HSOP 9, 1.27mm pitch, 3.9x4.9mm body, exposed pad, thermal vias, DDA0008J (http://www.ti.com/lit/ds/symlink/tps5430.pdf)
+HSOP 1.27
+0
+20
+9
+Package_SO
+Texas_HTSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.95x4.9mm_Mask2.4x3.1mm_ThermalVias
+8-pin HTSOP package with 1.27mm pin pitch, compatible with SOIC-8, 3.9x4.9mm body, exposed pad, thermal vias, http://www.ti.com/lit/ds/symlink/drv8870.pdf
+HTSOP 1.27
+0
+18
+9
+Package_SO
+Texas_PWP0020A
+20-Pin Thermally Enhanced Thin Shrink Small-Outline Package, Body 4.4x6.5x1.1mm, Pad 3.0x4.2mm, Texas Instruments (see http://www.ti.com/lit/ds/symlink/lm5118.pdf)
+PWP HTSSOP 0.65mm
+0
+25
+21
+Package_SO
+Texas_R-PDSO-G8_EP2.95x4.9mm_Mask2.4x3.1mm
+HSOIC, 8 Pin (http://www.ti.com/lit/ds/symlink/lmr14030.pdf#page=28, http://www.ti.com/lit/ml/msoi002j/msoi002j.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HSOIC SO
+0
+16
+9
+Package_SO
+Texas_R-PDSO-G8_EP2.95x4.9mm_Mask2.4x3.1mm_ThermalVias
+HSOIC, 8 Pin (http://www.ti.com/lit/ds/symlink/lmr14030.pdf#page=28, http://www.ti.com/lit/ml/msoi002j/msoi002j.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+HSOIC SO
+0
+23
+9
+Package_SO
+Texas_R-PDSO-N5
+Plastic Small outline http://www.ti.com/lit/ml/mpds158c/mpds158c.pdf
+SOT23 R-PDSO-N5
+0
+5
+5
+Package_SO
+VSO-40_7.6x15.4mm_P0.762mm
+VSO40: plastic very small outline package; 40 leads (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot158-1_po.pdf)
+SSOP 0.762
+0
+40
+40
+Package_SO
+VSO-56_11.1x21.5mm_P0.75mm
+VSO56: plastic very small outline package; 56 leads (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot190-1_po.pdf)
+SSOP 0.75
+0
+56
+56
+Package_SO
+VSSOP-8_2.3x2mm_P0.5mm
+VSSOP-8 2.3x2mm Pitch 0.5mm
+VSSOP-8 2.3x2mm Pitch 0.5mm
+0
+8
+8
+Package_SO
+VSSOP-8_2.4x2.1mm_P0.5mm
+http://www.ti.com/lit/ml/mpds050d/mpds050d.pdf
+VSSOP DCU R-PDSO-G8 Pitch0.5mm
+0
+8
+8
+Package_SO
+VSSOP-8_3.0x3.0mm_P0.65mm
+VSSOP-8 3.0 x 3.0, http://www.ti.com/lit/ds/symlink/lm75b.pdf
+VSSOP-8 3.0 x 3.0
+0
+8
+8
+Package_SO
+VSSOP-10_3x3mm_P0.5mm
+VSSOP, 10 Pin (http://www.ti.com/lit/ds/symlink/ads1115.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py
+VSSOP SO
+0
+10
+10
+Package_SO
+Vishay_PowerPAK_1212-8_Dual
+PowerPAK 1212-8 Dual (https://www.vishay.com/docs/71656/ppak12128.pdf, https://www.vishay.com/docs/72598/72598.pdf)
+Vishay_PowerPAK_1212-8_Dual
+0
+6
+6
+Package_SO
+Vishay_PowerPAK_1212-8_Single
+PowerPAK 1212-8 Single (https://www.vishay.com/docs/71656/ppak12128.pdf, https://www.vishay.com/docs/72597/72597.pdf)
+Vishay PowerPAK 1212-8 Single
+0
+5
+5
+Package_SO
+Zetex_SM8
+Zetex, SMD, 8 pin package (http://datasheet.octopart.com/ZDT6758TA-Zetex-datasheet-68057.pdf)
+Zetex SM8
+0
+8
+8
diff --git a/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_pcb b/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_pcb
index d756ab8..445dda3 100644
--- a/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_pcb
+++ b/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_pcb
@@ -1,41 +1,49 @@
-(kicad_pcb (version 20200811) (host pcbnew "(5.99.0-2687-geae739d98)")
+(kicad_pcb (version 20201002) (generator pcbnew)
(general
(thickness 1.6)
- (drawings 42)
- (tracks 0)
- (modules 3)
- (nets 61)
)
(paper "A4")
(layers
(0 "F.Cu" signal)
(31 "B.Cu" signal)
- (32 "B.Adhes" user)
- (33 "F.Adhes" user)
+ (32 "B.Adhes" user "B.Adhesive")
+ (33 "F.Adhes" user "F.Adhesive")
(34 "B.Paste" user)
(35 "F.Paste" user)
- (36 "B.SilkS" user)
- (37 "F.SilkS" user)
+ (36 "B.SilkS" user "B.Silkscreen")
+ (37 "F.SilkS" user "F.Silkscreen")
(38 "B.Mask" user)
(39 "F.Mask" user)
- (40 "Dwgs.User" user)
- (41 "Cmts.User" user)
- (42 "Eco1.User" user)
- (43 "Eco2.User" user)
+ (40 "Dwgs.User" user "User.Drawings")
+ (41 "Cmts.User" user "User.Comments")
+ (42 "Eco1.User" user "User.Eco1")
+ (43 "Eco2.User" user "User.Eco2")
(44 "Edge.Cuts" user)
(45 "Margin" user)
- (46 "B.CrtYd" user)
- (47 "F.CrtYd" user)
+ (46 "B.CrtYd" user "B.Courtyard")
+ (47 "F.CrtYd" user "F.Courtyard")
(48 "B.Fab" user)
(49 "F.Fab" user)
)
(setup
- (grid_origin 150 100)
+ (stackup
+ (layer "F.SilkS" (type "Top Silk Screen"))
+ (layer "F.Paste" (type "Top Solder Paste"))
+ (layer "F.Mask" (type "Top Solder Mask") (color "Green") (thickness 0.01))
+ (layer "F.Cu" (type "copper") (thickness 0.035))
+ (layer "dielectric 1" (type "core") (thickness 1.51) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02))
+ (layer "B.Cu" (type "copper") (thickness 0.035))
+ (layer "B.Mask" (type "Bottom Solder Mask") (color "Green") (thickness 0.01))
+ (layer "B.Paste" (type "Bottom Solder Paste"))
+ (layer "B.SilkS" (type "Bottom Silk Screen"))
+ (copper_finish "None")
+ (dielectric_constraints no)
+ )
(pcbplotparams
- (layerselection 0x010fc_ffffffff)
+ (layerselection 0x00010fc_ffffffff)
(usegerberextensions false)
(usegerberattributes true)
(usegerberadvancedattributes true)
@@ -66,71 +74,534 @@
)
)
+
(net 0 "")
- (net 1 "Net-(J1-Pad20)")
- (net 2 "Net-(J1-Pad19)")
- (net 3 "Net-(J1-Pad18)")
- (net 4 "Net-(J1-Pad17)")
- (net 5 "Net-(J1-Pad16)")
- (net 6 "Net-(J1-Pad15)")
- (net 7 "Net-(J1-Pad14)")
- (net 8 "Net-(J1-Pad13)")
- (net 9 "Net-(J1-Pad12)")
- (net 10 "Net-(J1-Pad11)")
- (net 11 "Net-(J1-Pad10)")
- (net 12 "Net-(J1-Pad9)")
- (net 13 "Net-(J1-Pad8)")
- (net 14 "Net-(J1-Pad7)")
- (net 15 "Net-(J1-Pad6)")
- (net 16 "Net-(J1-Pad5)")
- (net 17 "Net-(J1-Pad4)")
- (net 18 "Net-(J1-Pad3)")
- (net 19 "Net-(J1-Pad2)")
- (net 20 "Net-(J1-Pad1)")
- (net 21 "Net-(J2-Pad20)")
- (net 22 "Net-(J2-Pad19)")
- (net 23 "Net-(J2-Pad18)")
- (net 24 "Net-(J2-Pad17)")
- (net 25 "Net-(J2-Pad16)")
- (net 26 "Net-(J2-Pad15)")
- (net 27 "Net-(J2-Pad14)")
- (net 28 "Net-(J2-Pad13)")
- (net 29 "Net-(J2-Pad12)")
- (net 30 "Net-(J2-Pad11)")
- (net 31 "Net-(J2-Pad10)")
- (net 32 "Net-(J2-Pad9)")
- (net 33 "Net-(J2-Pad8)")
- (net 34 "Net-(J2-Pad7)")
- (net 35 "Net-(J2-Pad6)")
- (net 36 "Net-(J2-Pad5)")
- (net 37 "Net-(J2-Pad4)")
- (net 38 "Net-(J2-Pad3)")
- (net 39 "Net-(J2-Pad2)")
- (net 40 "Net-(J2-Pad1)")
- (net 41 "Net-(J3-Pad20)")
- (net 42 "Net-(J3-Pad19)")
- (net 43 "Net-(J3-Pad18)")
- (net 44 "Net-(J3-Pad17)")
- (net 45 "Net-(J3-Pad16)")
- (net 46 "Net-(J3-Pad15)")
- (net 47 "Net-(J3-Pad14)")
- (net 48 "Net-(J3-Pad13)")
- (net 49 "Net-(J3-Pad12)")
- (net 50 "Net-(J3-Pad11)")
- (net 51 "Net-(J3-Pad10)")
- (net 52 "Net-(J3-Pad9)")
- (net 53 "Net-(J3-Pad8)")
- (net 54 "Net-(J3-Pad7)")
- (net 55 "Net-(J3-Pad6)")
- (net 56 "Net-(J3-Pad5)")
- (net 57 "Net-(J3-Pad4)")
- (net 58 "Net-(J3-Pad3)")
- (net 59 "Net-(J3-Pad2)")
- (net 60 "Net-(J3-Pad1)")
+ (net 1 "GND")
+ (net 2 "+3V3")
+ (net 3 "Net-(C4-Pad1)")
+ (net 4 "/DC_PREREG")
+ (net 5 "Net-(D1-Pad2)")
+ (net 6 "Net-(D2-Pad2)")
+ (net 7 "Net-(D3-Pad2)")
+ (net 8 "Net-(D4-Pad2)")
+ (net 9 "/AC_IN_B")
+ (net 10 "/AC_IN_A")
+ (net 11 "/mesh_vert0up_0s")
+ (net 12 "/mesh_vert0up_1s")
+ (net 13 "/mesh_vert0up_1r")
+ (net 14 "/mesh_vert0up_0r")
+ (net 15 "/mesh_top_0r")
+ (net 16 "/mesh_top_1r")
+ (net 17 "/mesh_top_1s")
+ (net 18 "/mesh_top_0s")
+ (net 19 "Net-(J1-Pad3)")
+ (net 20 "Net-(J1-Pad13)")
+ (net 21 "/mesh_vert0dn_0s")
+ (net 22 "Net-(J2-Pad3)")
+ (net 23 "Net-(J2-Pad13)")
+ (net 24 "/mesh_vert0dn_1s")
+ (net 25 "/mesh_vert0dn_1r")
+ (net 26 "/mesh_vert0dn_0r")
+ (net 27 "/mesh_bot_0r")
+ (net 28 "/mesh_bot_1r")
+ (net 29 "Net-(J3-Pad3)")
+ (net 30 "Net-(J3-Pad13)")
+ (net 31 "/mesh_bot_1s")
+ (net 32 "/mesh_bot_0s")
+ (net 33 "/mesh_vert1up_0s")
+ (net 34 "/mesh_vert1up_1s")
+ (net 35 "/mesh_vert1up_1r")
+ (net 36 "/mesh_vert1up_0r")
+ (net 37 "/mesh_vert1dn_0s")
+ (net 38 "/mesh_vert1dn_1s")
+ (net 39 "/mesh_vert1dn_1r")
+ (net 40 "/mesh_vert1dn_0r")
+ (net 41 "/mesh_vert2up_0s")
+ (net 42 "/mesh_vert2up_1s")
+ (net 43 "/mesh_vert2up_1r")
+ (net 44 "/mesh_vert2up_0r")
+ (net 45 "/mesh_vert2dn_0s")
+ (net 46 "/mesh_vert2dn_1s")
+ (net 47 "/mesh_vert2dn_1r")
+ (net 48 "/mesh_vert2dn_0r")
+ (net 49 "/SWCLK")
+ (net 50 "/SWDIO")
+ (net 51 "/mesh_global_stim_0s")
+ (net 52 "/mesh_global_stim_1s")
+ (net 53 "/LED_TX")
+ (net 54 "Net-(U1-Pad14)")
+ (net 55 "Net-(U1-Pad36)")
+ (net 56 "Net-(U1-Pad35)")
+ (net 57 "/DBG_SENSE")
+ (net 58 "/MOSI")
+ (net 59 "/MISO")
+ (net 60 "/SCK")
+ (net 61 "/DBG_RX")
+ (net 62 "/DBG_TX")
+ (net 63 "/XT_HSE_B")
+ (net 64 "/XT_HSE_A")
+ (net 65 "/XT_LSE_B")
+ (net 66 "/XT_LSE_A")
+ (net 67 "Net-(U1-Pad2)")
+ (net 68 "Net-(C13-Pad1)")
+ (net 69 "Net-(C14-Pad1)")
+ (net 70 "Net-(C15-Pad1)")
+ (net 71 "/~AIS_CS1")
+ (net 72 "/~AIS_CS2")
+ (net 73 "/~AIS_CS3")
+ (net 74 "/~AIS_CS4")
+ (net 75 "Net-(D1-Pad1)")
+ (net 76 "Net-(Q1-Pad1)")
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 0030cca1-9e37-4d03-b81b-3d50a8e5848f)
+ (at 144.2 148.5 -90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/61f19ebe-0ac5-4fe8-b7f0-91569091e23e")
+ (attr smd)
+ (fp_text reference "R5" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 0240228c-5741-4203-8b1a-b5e210381551)
+ )
+ (fp_text value "0" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 494c99d1-8d06-4bcd-a800-dee52ece3918)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp f9731ee6-f0c5-47c1-8480-863dcdbef0b6)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 571fbc79-3820-48a7-948e-5577186f9abc))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 66faa2f9-768b-4cd7-8711-182075787a3b))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1d741510-3946-4669-b8e7-882068d0b7b8))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 31428b17-05f2-40a0-860c-c79c8f22b84f))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 851a66f0-f757-4564-b04b-65ebf696cc36))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d15ed120-23fb-4ed0-8571-a09a9f07bb4d))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 545fcbe3-fbf5-48f6-ab66-af70e4a3af81))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d0e12057-9e9f-495b-9044-d56eb00f380b))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e8a5e9c1-f119-4e70-89d7-cae52f7951cc))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f088be0a-2bf9-425a-a247-69983cb31ea2))
+ (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 51 "/mesh_global_stim_0s") (tstamp adb952be-4041-4103-b7f9-cb6af024063b))
+ (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 18 "/mesh_top_0s") (tstamp 82833057-5f4c-460d-9ca4-ebb22063cd63))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 01b3040b-e05f-48b3-9a27-bb9b847bb9bd)
+ (at 198 83)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/5cf9b135-1ca8-48a6-8df3-29e6d5949c89")
+ (attr smd)
+ (fp_text reference "R15" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 6592b437-19ee-41a5-b6c1-aab6f252b8b5)
+ )
+ (fp_text value "0" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1ffc3bae-5cbd-415f-b61f-e5575317b344)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 7ebf1744-21ee-43d8-a232-695c73c0e2f2)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 2d4893c4-46c9-4553-9aa0-1e4a8bbfb83f))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp f2348551-65d8-49dd-a50c-f7ed17395a51))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5b8e3b0d-b549-463b-bc0a-adca3b4d3aa9))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 786e7eaa-8ac1-45d6-91db-f3bb34ae2147))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 977e148d-da6b-4db1-8221-e9d2d6550e98))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp af516cd1-9c8c-450c-8756-e7353f8cd030))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 0ef39109-e592-4d2a-89ca-8e4a5e9ba46d))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 766eaaca-3f62-468d-a483-b208d6758ec0))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 8d525ba2-2b4d-46b4-a044-be2717b3a984))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp e4d0fdbf-b157-4be8-b308-3df04e2e280e))
+ (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 51 "/mesh_global_stim_0s") (tstamp ac7b2888-e4a3-4ca4-b3f4-c9446f0e65dc))
+ (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 45 "/mesh_vert2dn_0s") (tstamp d5c13bdd-c5a4-4c28-8f19-5ee15c8331f0))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_SO:SO-8_3.9x4.9mm_P1.27mm" (layer "F.Cu") (tedit 5C509AD1) (tstamp 066c9a90-9350-41f0-ad84-21ee45290216)
+ (at 149.5 134.25 90)
+ (descr "SO, 8 Pin (https://www.nxp.com/docs/en/data-sheet/PCF8523.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+ (tags "SO SO")
+ (property "Reichelt" "Stock (TBD)")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/7a0d0b5b-1bd2-4cc3-a5a0-d174fe6f3ae2")
+ (attr smd)
+ (fp_text reference "U5" (at 0 -3.4 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 90b233d6-8dd2-410e-aee6-2272541b8b14)
+ )
+ (fp_text value "AIS1120SX" (at 0 3.4 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 8f9e5192-95a7-4eab-befe-4171c8164690)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.98 0.98) (thickness 0.15)))
+ (tstamp 6e06573f-29b9-4290-bf36-69c951fcb081)
+ )
+ (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 150761a3-0ec6-409e-803a-173b9e44b66d))
+ (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp b567f7ae-d4ab-4db5-9998-d1ff64d4e661))
+ (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp bfa6a967-94b7-4a66-89e2-5eaf09e4a86b))
+ (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12) (tstamp c86ea067-d4cd-43c9-a7ae-3e865acd2dd8))
+ (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp b65922a7-052e-4c7f-8e24-ee50368ac0b3))
+ (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp c05becfd-92d8-4db8-8128-483c075c1806))
+ (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp c9290d68-dbd6-4c35-a7ff-67aa2fcf75a8))
+ (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp ec83bbb2-9f2d-448b-a666-4003df2d273e))
+ (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1) (tstamp 22126fa2-00ba-45c8-b575-4fe3ee0f2718))
+ (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 98791076-f04c-4552-9a15-df54008eb004))
+ (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp cf9799f7-ed0d-4a2a-91d3-6201a1fd8084))
+ (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1) (tstamp d38443ae-b07c-436c-8b32-b4d362f0d4ab))
+ (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1) (tstamp e79a88c3-63ef-4ce3-b02b-6438edde1169))
+ (pad "1" smd roundrect (at -2.575 -1.905 90) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 60 "/SCK") (pinfunction "SCL") (tstamp 26e105ab-ebe5-46f3-9c00-5bc8c73d82a8))
+ (pad "2" smd roundrect (at -2.575 -0.635 90) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 58 "/MOSI") (pinfunction "SDI") (tstamp 7deeaf64-e678-49f1-b1a5-10ba0369a3b5))
+ (pad "3" smd roundrect (at -2.575 0.635 90) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 59 "/MISO") (pinfunction "SDO") (tstamp 53e3a129-c41b-4ecb-9be3-5af79a651b09))
+ (pad "4" smd roundrect (at -2.575 1.905 90) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 73 "/~AIS_CS3") (pinfunction "CS") (tstamp de603224-b93c-49c9-866b-1c62c2c3276c))
+ (pad "5" smd roundrect (at 2.575 1.905 90) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "GND") (tstamp fed33bf7-6115-425a-81c0-cc71e7dace73))
+ (pad "6" smd roundrect (at 2.575 0.635 90) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 69 "Net-(C14-Pad1)") (pinfunction "VREG") (tstamp 75df39e3-8081-4f0f-95b0-9203859f509d))
+ (pad "7" smd roundrect (at 2.575 -0.635 90) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "VDD") (tstamp 603eb265-dc65-4d61-8fd0-35e59736d4db))
+ (pad "8" smd roundrect (at 2.575 -1.905 90) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "MP") (tstamp 49be4cd1-9aa4-4bc3-bab5-2df64e5b67d7))
+ (model "${KISYS3DMOD}/Package_SO.3dshapes/SO-8_3.9x4.9mm_P1.27mm.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 0a44c48b-df19-47e8-b0ed-ff131e6ce16c)
+ (at 149.3 148.5 -90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/c47d523e-a80c-48c2-a3c9-6a9c96e3ddc6")
+ (attr smd)
+ (fp_text reference "R8" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 12dbb2c5-6d0b-42b5-a88f-f7bbbe07bc79)
+ )
+ (fp_text value "0" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 929f49ae-ac2e-47fb-a6f1-5f7e44c43589)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp ca763d7a-76f6-4bdf-bea2-544ccadc5678)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 2a1c1a9f-17ab-425a-bc07-b53cad0c7158))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 4e44d27a-6de3-440b-9ca9-0133daca3455))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2e3605d3-8b27-4fc4-9835-b7838876f5fb))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a0d163be-b27c-4f06-9f51-c8e89ae12028))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a3b39d80-75f0-453c-adec-b32e8eb67ea7))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e29c2ae6-eae1-4ecb-9f5f-2c9c0145fca0))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 02daf059-6da1-4364-9275-bfa23240aec2))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0d560913-4951-4f85-b4f5-cf97d0d5dbce))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 68d02529-f09d-49f4-a52f-6a587300546b))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 9ee05ead-e085-4797-9697-7a7de833b631))
+ (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 52 "/mesh_global_stim_1s") (tstamp df05cebc-8d6f-4bb4-bcb9-33229cf3316c))
+ (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 31 "/mesh_bot_1s") (tstamp 69bdcb64-b624-4210-9a88-b5ab9f50781b))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp 1456e116-33d8-4df6-84a5-06083aba6b03)
+ (at 173.5 143.5 180)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "X7R-G0603 100N")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/66f86923-ef92-42a1-922e-41f32db77f54")
+ (attr smd)
+ (fp_text reference "C12" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp be1f3e9f-4b26-4183-b31a-fdc74417bba2)
+ )
+ (fp_text value "100n" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 761efba8-c66f-4f14-bccd-c5fa6d3fff1f)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 4b1ff772-090f-4396-94b7-bce416b713a4)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 21ed1746-72a9-4547-a3f2-f53d38bb7a1e))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp c2af6ccc-08e5-4411-a7db-3b7179aec586))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 691fdcca-151c-430f-bf8b-b85b982299c5))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 72e2933d-5dfe-4aa4-8b6b-a98bfce3c079))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a10ebc9b-5eb1-459b-b8c7-1a0fef683819))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp fad550dd-4223-4e41-a433-6c1479d519be))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 5abcfb5b-68ef-40fd-b2b1-47617c639276))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 759cb160-2cd2-43b7-94b9-ac7be38d1388))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 8aa65a2c-975d-4f04-b410-1bad34918465))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ff9bb5b1-25b1-4323-b1f3-ae7ae91f1c9a))
+ (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp e169bb95-57f8-40f2-b3a0-09a31a841c8f))
+ (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 4bc5b079-53f1-4594-ad04-d0b725325ea6))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 1cd8ef96-8852-4638-8dfe-8ded60024234)
+ (at 177.5 139.5 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "DNP" "DNP")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/6f847c9b-c54d-4385-ab17-477eb2ded7cd")
+ (attr smd)
+ (fp_text reference "R24" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 99322d28-8f58-4c42-b87d-30f4fe231ab0)
+ )
+ (fp_text value "47k" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 0095b5ca-d083-4cfd-b5b7-6f725e2f91da)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 06a151e1-fa72-48ae-96da-e9d3b36e82f4)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 6ff02d36-c8f2-4709-9d17-247d2ad531fb))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp faf6cc3d-b6bc-4cb8-803b-e19d8e344bc9))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 54eaf4bd-b109-4c54-894a-ceb3bd5eabf9))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 61ca11a6-3597-44fe-9e6f-f3e7abb74c11))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 853b5139-9c65-4a02-b37b-da6da815ea43))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e041cf72-ce77-4d07-81f6-8653164230fa))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2c75b438-712d-45c8-8730-7f57948ebd32))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 6b8b1711-8f01-495f-a2d3-a356395420f4))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8b1288c5-fa82-4be1-85cd-5381759fe951))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ba4ec1a1-aeb3-4964-be21-e051bdb9fb61))
+ (pad "1" smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 71 "/~AIS_CS1") (tstamp 89c0d4f5-bbb8-47a4-9124-f40be182138a))
+ (pad "2" smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp ddd7376c-25a4-4d58-80ce-e6bb02da2d2f))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 1f317944-62c0-4291-8896-9016a97d0664)
+ (at 161 123)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/022ba49e-05fc-4b00-baf0-d6f0bd12e5c7")
+ (attr smd)
+ (fp_text reference "R23" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp e400f15f-c2ac-4bfc-838a-85ef8369f34a)
+ )
+ (fp_text value "1k" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 183ad681-1031-44eb-91f3-f79622af1f8a)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 649a6d9d-d0be-4fda-8421-9049f5c24bc9)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 0385bda5-836a-4164-bb12-ad2c18b404a5))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp db5089d2-feaa-46b3-8a08-eb3555abc6c1))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 22b3dbe4-4a81-4cca-9cc0-fb21d7efd4e0))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 78be639d-90b0-4d80-921b-2c6105bb96f1))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 975b71d7-596f-4e55-b18e-f41cc32fe1c6))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9e5e9147-1ee5-4de5-85f7-56462da8b06a))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 636e1bd9-8190-410f-80a7-a54bd1c855b4))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 82baa1a7-db00-4e75-a67a-da20c270ba44))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp b3601492-78b5-42c9-9628-1cb292d91c73))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f484c2af-f115-4a60-bce5-31701df8178f))
+ (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 53 "/LED_TX") (tstamp f73e3191-194c-491c-8b0e-ecf908255b5d))
+ (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 76 "Net-(Q1-Pad1)") (tstamp e90577c3-e47c-4911-be6b-3cdba1f44cfa))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp 340e087d-b72f-44a3-b2ac-5095237b855e)
+ (at 183.2875 136.75 180)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "X7R-G0603 100N")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/18fb3743-49d4-4ed9-9a66-15606e400fe4")
+ (attr smd)
+ (fp_text reference "C11" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 29f7a7c6-6ca2-40e8-b1d2-1739ef1f5b63)
+ )
+ (fp_text value "100n" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp dfc136ed-129c-4d62-932c-40520b742bca)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp de3f294b-0bbf-4d3b-af4f-deb7314d1fe6)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 800b7cb0-ca7c-4697-8bc4-ab3d151186d6))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 86094acc-612c-45ef-847e-e4bdd63ae49c))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3c12c534-2c39-4383-8054-59f8fd50a321))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5fcc3ad2-6589-41a3-881b-84818ee8eb78))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp be1f0c97-d128-48e1-bed3-ecbc555ed4f7))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ec420738-4a05-45fe-978c-c221891b7ef7))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2213d509-25a8-4e09-ab1d-035392053daa))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2e0a323e-1dcb-4ae9-90e8-c9d752e9b9f2))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 686f202d-eae9-4811-b5f1-e0e667ed8b06))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 94c193ca-d229-44dd-97a7-82261db27eea))
+ (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 36770381-dbc8-4881-9678-590e8a6196ac))
+ (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 8b68c14e-04ef-445c-a1c5-56166c94e511))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 37774f63-fe71-4bd2-8f4f-72e65b37dbe2)
+ (at 161.5 73.25 -90)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (property "Reichelt" "BAT 64-04 INF")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/5aee4248-535d-4f9c-a242-830a15891907")
+ (attr smd)
+ (fp_text reference "D7" (at 0 -2.5 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp ac8c7cd6-a022-4c8d-875a-1ccbe8d755e8)
+ )
+ (fp_text value "BAT64-04" (at 0 2.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp d4001125-f87c-4442-9846-c515d3bc1c93)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
+ (tstamp e125238e-e812-4cf7-b795-cf4e5c48269c)
+ )
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12) (tstamp 577e8b9a-7988-4e7e-81f0-31e4a9df4482))
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12) (tstamp 7a65f781-abf3-49e3-b09c-27385a9497ad))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12) (tstamp c072d028-dc17-4ec8-accf-7170ac0a1ff3))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12) (tstamp d1bdb979-dbd2-4414-9cc7-b0864ed19e30))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 2d516228-013a-4dc0-9901-ecefb7b38f2d))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp 7dbd5d45-1480-4ead-8add-f98020a94a5a))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 95d23499-1515-489a-8f75-29012b696829))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp feb83771-61d3-44fb-b687-3078d99f5b77))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp 1d6ae35a-344c-4d8c-aa63-91accd82982a))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1) (tstamp 42bafba0-53d7-4674-b8d2-c1aa9f47edde))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp 77044f72-bf3c-43ea-b48c-a3a270eeb76f))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1) (tstamp d752485d-d445-440d-92e2-8479ffb8ede6))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1) (tstamp fb9b939f-4087-4212-86f3-d98305497b1a))
+ (pad "1" smd rect (at -1 -0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "GND") (pinfunction "A") (tstamp be7d2da9-d36b-4f47-8f85-4413f0a323a9))
+ (pad "2" smd rect (at -1 0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 4 "/DC_PREREG") (pinfunction "K") (tstamp 047fcd8e-ff90-4f66-9c62-a2a13408d22d))
+ (pad "3" smd rect (at 1 0 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 10 "/AC_IN_A") (pinfunction "common") (tstamp 8312aaa6-f1b3-47fa-be71-64f1714e1180))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Inductor_SMD:L_1210_3225Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp 451e54b6-5ce7-4f6f-85af-4ecb587a1c80)
+ (at 137 72.7)
+ (descr "Inductor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "inductor")
+ (property "DNP" "DNP")
+ (property "Reichelt" "L-1210F 100µ")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/b075cd79-6da6-4549-9f91-cda24db19958")
+ (attr smd)
+ (fp_text reference "L1" (at 0 -2.28) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp f91f4b6c-41ed-4c73-bf4a-d4408c3feb3d)
+ )
+ (fp_text value "100u" (at 0 2.28) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp ee1b7a40-89a4-43e6-a8ee-1ace80f686a1)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ (tstamp d8d6e2cc-e6f1-4d1c-8a28-356d2ce2642e)
+ )
+ (fp_line (start -0.602064 1.36) (end 0.602064 1.36) (layer "F.SilkS") (width 0.12) (tstamp 2d71f7d0-bf08-482b-8b20-a211d6108368))
+ (fp_line (start -0.602064 -1.36) (end 0.602064 -1.36) (layer "F.SilkS") (width 0.12) (tstamp 566a215d-6c02-4831-9b09-720e8e0bf3a2))
+ (fp_line (start 2.28 -1.58) (end 2.28 1.58) (layer "F.CrtYd") (width 0.05) (tstamp 2159fd05-3521-4675-b6aa-6823498901bf))
+ (fp_line (start 2.28 1.58) (end -2.28 1.58) (layer "F.CrtYd") (width 0.05) (tstamp 258a5723-91b5-4da5-a4e5-3a6a553c73bc))
+ (fp_line (start -2.28 -1.58) (end 2.28 -1.58) (layer "F.CrtYd") (width 0.05) (tstamp 328a3fa4-7679-4dd4-9ecb-43a023e8181a))
+ (fp_line (start -2.28 1.58) (end -2.28 -1.58) (layer "F.CrtYd") (width 0.05) (tstamp 59f68860-57b6-4652-a073-cc33869d8f3d))
+ (fp_line (start -1.6 1.25) (end -1.6 -1.25) (layer "F.Fab") (width 0.1) (tstamp 46103e68-1bed-44cc-88ff-15ebb1978cc4))
+ (fp_line (start 1.6 -1.25) (end 1.6 1.25) (layer "F.Fab") (width 0.1) (tstamp 9239ac1c-3c72-447f-a91c-6959b1d28290))
+ (fp_line (start 1.6 1.25) (end -1.6 1.25) (layer "F.Fab") (width 0.1) (tstamp bdaec9a4-ce69-47e0-8194-83753d31fcf5))
+ (fp_line (start -1.6 -1.25) (end 1.6 -1.25) (layer "F.Fab") (width 0.1) (tstamp d29dccf5-5489-4120-ac2b-11449b5d85db))
+ (pad "1" smd roundrect (at -1.4 0) (size 1.25 2.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2)
+ (net 10 "/AC_IN_A") (pinfunction "1") (tstamp a47b4678-4b2b-4de9-8d0c-69418b5f50e6))
+ (pad "2" smd roundrect (at 1.4 0) (size 1.25 2.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2)
+ (net 9 "/AC_IN_B") (pinfunction "2") (tstamp 0385bd23-92d9-45d7-b9d8-af40de031576))
+ (model "${KISYS3DMOD}/Inductor_SMD.3dshapes/L_1210_3225Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
(module "common_footprints:15mm_base" (layer "F.Cu") (tedit 5F75A762) (tstamp 47e35ce8-8cde-45aa-9cfe-1267e6ac891f)
(at 149.98 154.99)
+ (property "Reichelt" "n/a")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
(path "/a56a8a68-3eb9-47fd-9b40-a271d9769e60")
+ (attr through_hole)
(fp_text reference "J1" (at 7.43 -3.51 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp cde7aa2d-1709-4723-a809-698e0ce7d2d9)
@@ -141,50 +612,319 @@
)
(fp_rect (start -7.5 0.8) (end 7.5 -0.8) (layer "Dwgs.User") (width 0.1) (tstamp b139bd9c-fb49-426c-bdec-0ef471c865d1))
(pad "1" smd rect (at -5 1.8) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 20 "Net-(J1-Pad1)") (tstamp 3c03100c-e80a-48a4-a66c-818190891a32))
+ (net 11 "/mesh_vert0up_0s") (tstamp 3c03100c-e80a-48a4-a66c-818190891a32))
(pad "2" smd rect (at -2.5 1.8) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 19 "Net-(J1-Pad2)") (tstamp 054211a6-bcf2-46a7-8321-56e4b1ec2fc1))
+ (net 12 "/mesh_vert0up_1s") (tstamp 054211a6-bcf2-46a7-8321-56e4b1ec2fc1))
(pad "3" smd rect (at 0 1.8) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 18 "Net-(J1-Pad3)") (tstamp 65a42e7f-1e40-403c-b4b5-387aa2888c4c))
+ (net 19 "Net-(J1-Pad3)") (tstamp 65a42e7f-1e40-403c-b4b5-387aa2888c4c))
(pad "4" smd rect (at 2.5 1.8) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 17 "Net-(J1-Pad4)") (tstamp 78a1f5ae-634c-4549-a6c7-c68b1fc64be2))
+ (net 13 "/mesh_vert0up_1r") (tstamp 78a1f5ae-634c-4549-a6c7-c68b1fc64be2))
(pad "5" smd rect (at 5 1.8) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 16 "Net-(J1-Pad5)") (tstamp 6fc6ac4e-0268-493e-bc4d-c25d9f9b95fd))
+ (net 14 "/mesh_vert0up_0r") (tstamp 6fc6ac4e-0268-493e-bc4d-c25d9f9b95fd))
(pad "6" smd rect (at 5 -1.8) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 15 "Net-(J1-Pad6)") (tstamp da71713f-3cd3-43cd-a22c-26822396eaaa))
+ (net 15 "/mesh_top_0r") (tstamp da71713f-3cd3-43cd-a22c-26822396eaaa))
(pad "7" smd rect (at 2.5 -1.8) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 14 "Net-(J1-Pad7)") (tstamp 645a258b-d42f-4810-a04f-dbe514671b9b))
+ (net 16 "/mesh_top_1r") (tstamp 645a258b-d42f-4810-a04f-dbe514671b9b))
(pad "8" smd rect (at 0 -1.8) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 13 "Net-(J1-Pad8)") (tstamp 8aac680c-857b-4f95-9d5d-69e114a896e3))
+ (net 2 "+3V3") (tstamp 8aac680c-857b-4f95-9d5d-69e114a896e3))
(pad "9" smd rect (at -2.5 -1.8) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 12 "Net-(J1-Pad9)") (tstamp 4e832736-a061-472b-869b-dfb345129107))
+ (net 17 "/mesh_top_1s") (tstamp 4e832736-a061-472b-869b-dfb345129107))
(pad "10" smd rect (at -5 -1.8) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 11 "Net-(J1-Pad10)") (tstamp 08212a57-775f-4776-8621-28b6a9d42da9))
+ (net 18 "/mesh_top_0s") (tstamp 08212a57-775f-4776-8621-28b6a9d42da9))
(pad "11" smd rect (at -5 1.8) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 10 "Net-(J1-Pad11)") (solder_mask_margin 0.1) (tstamp 64d0d04f-8101-4c2b-a04c-fee36fe899c2))
+ (net 21 "/mesh_vert0dn_0s") (solder_mask_margin 0.1) (tstamp 64d0d04f-8101-4c2b-a04c-fee36fe899c2))
(pad "12" smd rect (at -2.5 1.8) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 9 "Net-(J1-Pad12)") (solder_mask_margin 0.1) (tstamp 88a31677-b26b-4c0b-ada0-36f432127315))
+ (net 24 "/mesh_vert0dn_1s") (solder_mask_margin 0.1) (tstamp 88a31677-b26b-4c0b-ada0-36f432127315))
(pad "13" smd rect (at 0 1.8) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 8 "Net-(J1-Pad13)") (solder_mask_margin 0.1) (tstamp ba589870-be99-4f8f-8824-0e744eab6f32))
+ (net 20 "Net-(J1-Pad13)") (solder_mask_margin 0.1) (tstamp ba589870-be99-4f8f-8824-0e744eab6f32))
(pad "14" smd rect (at 2.5 1.8) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 7 "Net-(J1-Pad14)") (solder_mask_margin 0.1) (tstamp 313c7ed2-63bd-435d-9fd0-63a19e759118))
+ (net 25 "/mesh_vert0dn_1r") (solder_mask_margin 0.1) (tstamp 313c7ed2-63bd-435d-9fd0-63a19e759118))
(pad "15" smd rect (at 5 1.8) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 6 "Net-(J1-Pad15)") (solder_mask_margin 0.1) (tstamp dbcac4c5-fd5a-40c7-8254-a87dec6b36a4))
+ (net 26 "/mesh_vert0dn_0r") (solder_mask_margin 0.1) (tstamp dbcac4c5-fd5a-40c7-8254-a87dec6b36a4))
(pad "16" smd rect (at 5 -1.8) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 5 "Net-(J1-Pad16)") (solder_mask_margin 0.1) (tstamp a3e80e6b-4dbf-477f-be76-6b3124dc8805))
+ (net 27 "/mesh_bot_0r") (solder_mask_margin 0.1) (tstamp a3e80e6b-4dbf-477f-be76-6b3124dc8805))
(pad "17" smd rect (at 2.5 -1.8) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 4 "Net-(J1-Pad17)") (solder_mask_margin 0.1) (tstamp 3ad98179-1f2b-4db0-8b4d-01f9f344954f))
+ (net 28 "/mesh_bot_1r") (solder_mask_margin 0.1) (tstamp 3ad98179-1f2b-4db0-8b4d-01f9f344954f))
(pad "18" smd rect (at 0 -1.8) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 3 "Net-(J1-Pad18)") (solder_mask_margin 0.1) (tstamp 3ae3b81a-1727-4d8a-a778-7993ce4c339f))
+ (net 2 "+3V3") (solder_mask_margin 0.1) (tstamp 3ae3b81a-1727-4d8a-a778-7993ce4c339f))
(pad "19" smd rect (at -2.5 -1.8) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 2 "Net-(J1-Pad19)") (solder_mask_margin 0.1) (tstamp ec2df55d-2164-4bd5-beea-95dc7effb384))
+ (net 31 "/mesh_bot_1s") (solder_mask_margin 0.1) (tstamp ec2df55d-2164-4bd5-beea-95dc7effb384))
(pad "20" smd rect (at -5 -1.8) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 1 "Net-(J1-Pad20)") (solder_mask_margin 0.1) (tstamp f827cace-4bf0-40b6-8c92-b32a3548346c))
+ (net 32 "/mesh_bot_0s") (solder_mask_margin 0.1) (tstamp f827cace-4bf0-40b6-8c92-b32a3548346c))
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 4e242a91-369e-4c3d-a8fd-2509e4e791ed)
+ (at 198 89)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/cfb92d5f-0afd-49ea-bda6-11e9236c6cf4")
+ (attr smd)
+ (fp_text reference "R14" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp afd78d88-2abd-4d7d-932e-10cebc6bb0ef)
+ )
+ (fp_text value "0" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 24794c15-754a-404c-831d-981e76d8fb5c)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp f0b627d4-8ccb-455a-b4c2-c25a45e428d9)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 5234908f-74e2-4be8-9500-33971a6d7df4))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp c26761b7-cfae-4b66-8e5e-d56a8541174a))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4c34e7b6-befe-47eb-afba-35d3d005ecec))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 83569ec9-77ac-4369-9658-3f4ba130a12d))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp cdb515f7-49ea-4fd0-a00f-95d042d6665f))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d4d91450-2223-45e4-99d5-b78f39ef19b5))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 2e56e3c2-7a89-42ae-a6c0-67db2abd731a))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8a3cff56-c3df-4ec4-9505-452ad6085492))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8cdd12c3-b0cf-404f-8eb7-2d20334ea660))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 9fe61a8d-a224-42fc-91a2-984e0a38c5bb))
+ (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 52 "/mesh_global_stim_1s") (tstamp cdeaeca2-fcf1-40c5-a8ab-e4e0e969c39b))
+ (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 42 "/mesh_vert2up_1s") (tstamp 7e7c47a1-7d82-4447-a113-6e3e5e4a16ef))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" (layer "F.Cu") (tedit 59FED5CC) (tstamp 57b537d3-2210-47bd-937c-d7a07494c911)
+ (at 165.75 73.5)
+ (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row")
+ (tags "Through hole pin header THT 1x03 2.54mm single row")
+ (property "DNP" "DNP")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/4deb68c2-2968-440b-984c-67d0eca59659")
+ (attr through_hole)
+ (fp_text reference "J6" (at 0 -2.33) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp a743aa65-cd3e-4ac2-a162-dc65bb4937c8)
+ )
+ (fp_text value "Conn_01x03" (at 0 7.41) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp ee76df15-46f8-4731-a658-c30baa279ac0)
+ )
+ (fp_text user "${REFERENCE}" (at 0 2.54 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp a9d38829-7ac6-4586-9f47-26951d9c7890)
+ )
+ (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer "F.SilkS") (width 0.12) (tstamp 1daf4508-db21-4a09-82c4-5104a5a26881))
+ (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer "F.SilkS") (width 0.12) (tstamp 49341f90-b01f-4036-a382-301205f43f3b))
+ (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer "F.SilkS") (width 0.12) (tstamp 7983cbd8-b2b9-45fc-a70c-490cd879e888))
+ (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer "F.SilkS") (width 0.12) (tstamp 96faaa62-e1ef-4f2e-b741-066b545d5b6b))
+ (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 9ce95397-037f-48d7-ab41-27c13ff5a2d3))
+ (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12) (tstamp f5fc6fda-102a-40df-8012-6d466199d4cc))
+ (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer "F.CrtYd") (width 0.05) (tstamp 4ad88707-55cf-4984-99fe-b04d7a603903))
+ (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer "F.CrtYd") (width 0.05) (tstamp 866b03ee-8bc9-4105-b352-c148e79e385c))
+ (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 914f0f78-9347-4f33-9090-b134c3df530a))
+ (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp eefca680-d4c7-4b0f-a2d3-c3484bd532dc))
+ (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer "F.Fab") (width 0.1) (tstamp 6e405c2b-00bf-45fe-921e-b786a78e343a))
+ (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer "F.Fab") (width 0.1) (tstamp 9512dff6-f6fc-4190-a313-b5ea62aff41f))
+ (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer "F.Fab") (width 0.1) (tstamp a4b585e1-c4e7-4a85-946d-230d6fa0cbe1))
+ (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer "F.Fab") (width 0.1) (tstamp ad5c1148-5762-46f4-ba57-464d6c30d767))
+ (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer "F.Fab") (width 0.1) (tstamp fc0d6536-b263-45bb-80eb-fa5de4e190e5))
+ (pad "1" thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 9 "/AC_IN_B") (pinfunction "Pin_1") (tstamp 0e508a66-f218-444e-8715-e8b4f53b2ca8))
+ (pad "2" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (remove_unused_layers) (keep_end_layers)
+ (net 1 "GND") (pinfunction "Pin_2") (tstamp 5da5f161-a337-4112-82ae-dcc131577e14))
+ (pad "3" thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (remove_unused_layers) (keep_end_layers)
+ (net 10 "/AC_IN_A") (pinfunction "Pin_3") (tstamp 538ec3ee-3253-4412-8d27-58de5fb6837c))
+ (model "${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 58cb5900-648a-4499-84d4-b329c0d6de45)
+ (at 114.2875 64 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/2fdbe3f2-e81c-4bd2-87c5-4d679a28f536")
+ (attr smd)
+ (fp_text reference "R11" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 6c64075b-8379-40de-a44c-6b83bb0ffbb1)
+ )
+ (fp_text value "0" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp a25e0ef3-8fba-4525-b0eb-0f36b52d2ccd)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 466b0c67-7e29-4b24-983b-90bfd3d555a0)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp a7f14075-e729-44f4-acb4-db73218b6f99))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp b2f47678-2253-4345-86e5-448895980f2d))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 06098ee2-e1c0-4de4-9281-bce15c285d5a))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8b1f376c-40d1-412d-b76a-6802771bddcd))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp bc54a819-97f7-4bb0-848d-ad34d752caaa))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e5acb1ed-8a66-4789-ae0c-334a9dc668d6))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 5836a70c-f903-4ff7-83df-aeb91f4d47d6))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5930a345-1337-4d18-b582-b033d455bb2a))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c25cca50-19bf-4c1e-b1ba-d7141ca108b5))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d54ddd80-c362-4284-80c7-ba136252c068))
+ (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 51 "/mesh_global_stim_0s") (tstamp d805543b-df6d-4f18-98bc-a177c9bef407))
+ (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 37 "/mesh_vert1dn_0s") (tstamp 42c6e35a-672c-4612-8447-cbfc327f92eb))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 58e1d514-13a4-4ef7-8fe9-a50659cf8520)
+ (at 161 120 90)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (property "Reichelt" "BC 847C SMD ")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/24fc75e6-72dc-4625-aa31-751fd6b7d70d")
+ (attr smd)
+ (fp_text reference "Q1" (at 0 -2.5 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp c57b26d8-a21b-4038-9c7f-0550f3f5b09a)
+ )
+ (fp_text value "BC847C" (at 0 2.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 875dca37-3297-4458-aabf-19edd4bd660a)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
+ (tstamp 1ef21bc7-0af8-4501-95a7-b11e397d5892)
+ )
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12) (tstamp 3771784d-7460-47fc-ae00-b27493e38c3a))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12) (tstamp 67edaf16-784c-495a-9a7f-c7ff63097aea))
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12) (tstamp bf54353d-5f51-4751-a161-387b9cfe6621))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12) (tstamp f893aaf7-ddcb-49d2-874c-59040994c410))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp 06cf94e3-707e-49b6-8483-ae441bca2b0e))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 734c3456-28d1-4c20-a464-15c153f52328))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 844eb213-42d6-4775-bc16-939af8deaf88))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp c4017eeb-b433-4ed4-95b7-31a8abedf75c))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1) (tstamp 1e343dc3-2ed5-4a45-b35e-adcfb677ef5b))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1) (tstamp 44bbc3d7-2f8b-4e29-a9f3-cc05772df0c5))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp 507eb630-f918-4150-825c-087ab702f73b))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1) (tstamp 6e667d12-4638-43f2-9af2-3dadd179cea5))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp 7d1fb3e1-603f-4f94-aa94-e3530e43b91c))
+ (pad "1" smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 76 "Net-(Q1-Pad1)") (pinfunction "B") (tstamp 453cdc2b-0d1d-4eab-8f24-bcfb32806c35))
+ (pad "2" smd rect (at -1 0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "GND") (pinfunction "E") (tstamp 80eeb910-e80a-4e04-9c2a-78bb13362385))
+ (pad "3" smd rect (at 1 0 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 75 "Net-(D1-Pad1)") (pinfunction "C") (tstamp 544fd27b-efb0-47e5-b6c6-8d4589058843))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp 63afbb5e-ebbc-416f-b577-7054df76f11f)
+ (at 172 147.2125 -90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "X7R-G0603 100N")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/7ac33396-556f-4a9a-9d77-7193c982dc03")
+ (attr smd)
+ (fp_text reference "C8" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 3532334b-5d47-46da-bdff-9f6d6848e684)
+ )
+ (fp_text value "100n" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 9d3f3f9e-c703-4f13-aa8f-f6bf59525164)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp ce95351c-051f-4c50-bdfd-6be7169771fc)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 71a31f60-d028-4f19-b874-efc10180f514))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp abb7b7f9-0304-442b-b17a-ad8a00cec4ec))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1b3dd17e-f384-4957-b745-5c4500e1b71f))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ad883a99-1817-4518-86f2-1fdf3ef667ba))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d62e71e5-f332-4308-b478-24896d66e8a9))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ddbc0457-9dec-4799-9852-51e3baeb7804))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 15e61b3f-ad27-4a96-9a66-614052f42ff4))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 627f5c73-322c-4d8c-9779-8c6e97f815d8))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f6ef5ce6-6468-4e3c-a74a-4f3ccdd46c52))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ffafe31a-108d-492b-b36e-ee76b831c39d))
+ (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 75f8a198-3253-460b-9a40-85f335d94106))
+ (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp f750ee76-91c1-4ed6-a153-be081e089df8))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_2220_5650Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp 64d40322-8efb-43e0-af83-484b3228f64c)
+ (at 143.75 80.5 110)
+ (descr "Capacitor SMD 2220 (5650 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "ECC KTS250B336K")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/7bd9667f-f165-4ddf-b20b-79491d331538")
+ (attr smd)
+ (fp_text reference "C5" (at 0 -3.65 110) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 06cad515-ca13-45ab-b785-6bb870d01c54)
+ )
+ (fp_text value "33/25" (at 0 3.65 110) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 3fceb8b6-739c-463b-9eb2-5e803ba4c58c)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 110) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1d54dadf-e474-42a8-8d9f-8a7c33b7f17a)
+ )
+ (fp_line (start -1.415748 2.61) (end 1.415748 2.61) (layer "F.SilkS") (width 0.12) (tstamp 304be9e1-a74f-4763-805a-9a83828b82d2))
+ (fp_line (start -1.415748 -2.61) (end 1.415748 -2.61) (layer "F.SilkS") (width 0.12) (tstamp 8e2a2d2c-8a1b-4992-9c8d-19d7240cd615))
+ (fp_line (start 3.7 2.95) (end -3.7 2.95) (layer "F.CrtYd") (width 0.05) (tstamp 1cbc0c9d-fca5-41dc-92d9-1d052a62be2a))
+ (fp_line (start 3.7 -2.95) (end 3.7 2.95) (layer "F.CrtYd") (width 0.05) (tstamp 2384d49d-f911-4b5f-a4a1-8500c75e4432))
+ (fp_line (start -3.7 -2.95) (end 3.7 -2.95) (layer "F.CrtYd") (width 0.05) (tstamp 4500106a-9021-4c8e-aada-1fdab15ea42e))
+ (fp_line (start -3.7 2.95) (end -3.7 -2.95) (layer "F.CrtYd") (width 0.05) (tstamp 84cf3f14-c696-4985-883b-20cbf4a26510))
+ (fp_line (start 2.85 2.5) (end -2.85 2.5) (layer "F.Fab") (width 0.1) (tstamp 40f564dd-0243-4483-8e3d-6a5f62aa8e73))
+ (fp_line (start -2.85 2.5) (end -2.85 -2.5) (layer "F.Fab") (width 0.1) (tstamp 83240c28-60f5-49ae-9115-e354659118e9))
+ (fp_line (start 2.85 -2.5) (end 2.85 2.5) (layer "F.Fab") (width 0.1) (tstamp 94a8da60-c9e9-4ba7-a625-efbd0e6e944d))
+ (fp_line (start -2.85 -2.5) (end 2.85 -2.5) (layer "F.Fab") (width 0.1) (tstamp f334382c-6c36-40ae-8a72-641a62d850cb))
+ (pad "1" smd roundrect (at -2.55 0 110) (size 1.8 5.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.138889)
+ (net 1 "GND") (tstamp ce69b2e8-6b8c-47be-a4b3-2e77c4095e24))
+ (pad "2" smd roundrect (at 2.55 0 110) (size 1.8 5.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.138889)
+ (net 4 "/DC_PREREG") (tstamp a65b5598-ed6b-4763-a216-be59de5024df))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_2220_5650Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
)
(module "common_footprints:15mm_base" (layer "F.Cu") (tedit 5F75A762) (tstamp 6aa9e5bb-8fef-4d90-9fd5-cc69e99f6d3d)
(at 197.65 72.51 120)
+ (property "Reichelt" "n/a")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
(path "/fb0bb324-bc42-42e5-ac43-48fa44dd28d8")
+ (attr through_hole)
(fp_text reference "J3" (at -7.620357 -2.321154 300 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 7c28aad3-c23d-4299-af02-fc80e13ada38)
@@ -195,50 +935,627 @@
)
(fp_rect (start -7.5 0.8) (end 7.5 -0.8) (layer "Dwgs.User") (width 0.1) (tstamp de787f8f-ced1-47b0-ab61-14b5e6085203))
(pad "1" smd rect (at -5 1.8 120) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 60 "Net-(J3-Pad1)") (tstamp 88cebb2d-9a8b-4679-a693-3545939aa007))
+ (net 41 "/mesh_vert2up_0s") (tstamp 88cebb2d-9a8b-4679-a693-3545939aa007))
(pad "2" smd rect (at -2.5 1.8 120) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 59 "Net-(J3-Pad2)") (tstamp 747c75a0-8a83-4787-8adf-20def92f4b6a))
+ (net 42 "/mesh_vert2up_1s") (tstamp 747c75a0-8a83-4787-8adf-20def92f4b6a))
(pad "3" smd rect (at 0 1.8 120) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 58 "Net-(J3-Pad3)") (tstamp 9e334194-aff0-4da3-8a89-68ad2721af02))
+ (net 29 "Net-(J3-Pad3)") (tstamp 9e334194-aff0-4da3-8a89-68ad2721af02))
(pad "4" smd rect (at 2.5 1.8 120) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 57 "Net-(J3-Pad4)") (tstamp e7d76805-3aac-4531-8397-ecb039fec06e))
+ (net 43 "/mesh_vert2up_1r") (tstamp e7d76805-3aac-4531-8397-ecb039fec06e))
(pad "5" smd rect (at 5 1.8 120) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 56 "Net-(J3-Pad5)") (tstamp 181dcb45-6750-4b93-9b11-b5fd6310051b))
+ (net 44 "/mesh_vert2up_0r") (tstamp 181dcb45-6750-4b93-9b11-b5fd6310051b))
(pad "6" smd rect (at 5 -1.8 120) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 55 "Net-(J3-Pad6)") (tstamp 1b17e4fc-a09b-4488-8f8e-7458ccafda4c))
+ (net 1 "GND") (tstamp 1b17e4fc-a09b-4488-8f8e-7458ccafda4c))
(pad "7" smd rect (at 2.5 -1.8 120) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 54 "Net-(J3-Pad7)") (tstamp 4323a721-e172-4eac-b91e-c5585f987fa5))
+ (net 1 "GND") (tstamp 4323a721-e172-4eac-b91e-c5585f987fa5))
(pad "8" smd rect (at 0 -1.8 120) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 53 "Net-(J3-Pad8)") (tstamp 46e6e9fd-39a4-4755-adad-4dd5febfed40))
+ (net 2 "+3V3") (tstamp 46e6e9fd-39a4-4755-adad-4dd5febfed40))
(pad "9" smd rect (at -2.5 -1.8 120) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 52 "Net-(J3-Pad9)") (tstamp cf333ab3-a5b2-43b6-bfaa-340534437c50))
+ (net 1 "GND") (tstamp cf333ab3-a5b2-43b6-bfaa-340534437c50))
(pad "10" smd rect (at -5 -1.8 120) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 51 "Net-(J3-Pad10)") (tstamp 0d27893a-6c8b-410a-a76d-beff58962ffa))
+ (net 1 "GND") (tstamp 0d27893a-6c8b-410a-a76d-beff58962ffa))
(pad "11" smd rect (at -5 1.8 120) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 50 "Net-(J3-Pad11)") (solder_mask_margin 0.1) (tstamp d14993af-7313-4493-8660-9bab882e18f8))
+ (net 45 "/mesh_vert2dn_0s") (solder_mask_margin 0.1) (tstamp d14993af-7313-4493-8660-9bab882e18f8))
(pad "12" smd rect (at -2.5 1.8 120) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 49 "Net-(J3-Pad12)") (solder_mask_margin 0.1) (tstamp fc1179d9-26d6-4675-ba30-96ef46cd8cad))
+ (net 46 "/mesh_vert2dn_1s") (solder_mask_margin 0.1) (tstamp fc1179d9-26d6-4675-ba30-96ef46cd8cad))
(pad "13" smd rect (at 0 1.8 120) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 48 "Net-(J3-Pad13)") (solder_mask_margin 0.1) (tstamp a93a0973-195a-4742-b27f-743c1360174b))
+ (net 30 "Net-(J3-Pad13)") (solder_mask_margin 0.1) (tstamp a93a0973-195a-4742-b27f-743c1360174b))
(pad "14" smd rect (at 2.5 1.8 120) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 47 "Net-(J3-Pad14)") (solder_mask_margin 0.1) (tstamp f9d0b4db-86c2-4ae2-809c-47bdb26d0e66))
+ (net 47 "/mesh_vert2dn_1r") (solder_mask_margin 0.1) (tstamp f9d0b4db-86c2-4ae2-809c-47bdb26d0e66))
(pad "15" smd rect (at 5 1.8 120) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 46 "Net-(J3-Pad15)") (solder_mask_margin 0.1) (tstamp 85b8e04c-1287-47a6-a2e6-09de25ca774a))
+ (net 48 "/mesh_vert2dn_0r") (solder_mask_margin 0.1) (tstamp 85b8e04c-1287-47a6-a2e6-09de25ca774a))
(pad "16" smd rect (at 5 -1.8 120) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 45 "Net-(J3-Pad16)") (solder_mask_margin 0.1) (tstamp 7746bb64-18bb-43ae-9528-8ea2bd98d949))
+ (net 1 "GND") (solder_mask_margin 0.1) (tstamp 7746bb64-18bb-43ae-9528-8ea2bd98d949))
(pad "17" smd rect (at 2.5 -1.8 120) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 44 "Net-(J3-Pad17)") (solder_mask_margin 0.1) (tstamp 4f4a21ff-bd5b-4d15-877d-65b123e675d1))
+ (net 1 "GND") (solder_mask_margin 0.1) (tstamp 4f4a21ff-bd5b-4d15-877d-65b123e675d1))
(pad "18" smd rect (at 0 -1.8 120) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 43 "Net-(J3-Pad18)") (solder_mask_margin 0.1) (tstamp e7bc0c1c-def0-4976-a900-3ea7df1f943d))
+ (net 2 "+3V3") (solder_mask_margin 0.1) (tstamp e7bc0c1c-def0-4976-a900-3ea7df1f943d))
(pad "19" smd rect (at -2.5 -1.8 120) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 42 "Net-(J3-Pad19)") (solder_mask_margin 0.1) (tstamp aff52a40-4193-4745-b630-72cb05fac212))
+ (net 1 "GND") (solder_mask_margin 0.1) (tstamp aff52a40-4193-4745-b630-72cb05fac212))
(pad "20" smd rect (at -5 -1.8 120) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 41 "Net-(J3-Pad20)") (solder_mask_margin 0.1) (tstamp 7863ccae-e089-49e5-b937-441b6598c531))
+ (net 1 "GND") (solder_mask_margin 0.1) (tstamp 7863ccae-e089-49e5-b937-441b6598c531))
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 6fe3a3ae-62fb-4185-bde0-7e9e4327b708)
+ (at 139.1 148.5 -90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/303ad3f8-8fff-45d9-8fa6-e53ed4688642")
+ (attr smd)
+ (fp_text reference "R4" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 02f7e778-c24a-4787-8387-4936343d7435)
+ )
+ (fp_text value "0" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp f56066ab-91ca-4d65-8820-e2b95e80cba4)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 7d85f01e-64d1-4045-8fd0-f1e06678bd57)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp bd06c978-f635-4b7b-9d5e-4d3aaa5dd1cd))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp ea58fdd8-be40-4d73-8eaf-94068c682495))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3d3585bc-254a-46a5-8167-638e87a1c6a6))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 69f74644-d5d0-4f48-ae28-1007e5a989cf))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6fb135de-66b7-4811-a4f2-7b5488d1dbe6))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp be3a8e15-2503-41aa-8dd0-0ce661a7cb8c))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 03356007-b3c7-483c-88c9-82cbf43dd32b))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a26a966c-13fb-4694-85ab-9a1518ffb69f))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp b85bed01-28a5-401b-b86e-2e8b4ed9a13b))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c3d85d4d-0628-4ef1-a6b6-ed3d5e0a13d7))
+ (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 52 "/mesh_global_stim_1s") (tstamp 96fa271f-d83b-4616-90ae-e583b7e8de6e))
+ (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 24 "/mesh_vert0dn_1s") (tstamp e8bca09e-0395-4860-ac1c-53683bc8265b))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 71e369d3-f2d2-459d-a229-13caaef211a5)
+ (at 145.9 148.5 -90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/c2bd4cb1-c982-42da-97fd-fa00a9fcbd3a")
+ (attr smd)
+ (fp_text reference "R7" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 5c9d7826-09c1-4082-bcb5-a2f4354f4d4d)
+ )
+ (fp_text value "0" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 9f9b1e86-efef-4034-bac9-233979ea59c6)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 8e0140e5-95f7-435c-b6cd-06d4ce326574)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 1a3019a2-6d16-4748-a112-dc27fe314005))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp dc012031-5fac-4797-a42b-4f1b4d9ea3a9))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2de6e423-55c8-4736-9103-c0c0eda09d97))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3a61db1c-4883-4b02-bae8-24968d3272c5))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4a19d91b-71e5-4a87-aa94-324ec34892c4))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9330720b-5584-4abf-93c1-ae183d9994ff))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5645c159-b49a-4bff-9b45-70fee32089a4))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 79820891-8fe5-45d4-b7f7-d87e2e20578b))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp d8ad6b8b-4519-4c77-9c0b-82003ce6e6b5))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f7d038a3-926a-49d1-98d0-c0e63fa1874e))
+ (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 51 "/mesh_global_stim_0s") (tstamp 7cc10eff-ce8f-475d-9093-fe9b7861878e))
+ (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 32 "/mesh_bot_0s") (tstamp 45ab383f-d0af-4857-857f-ba455964bb12))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 736d2ba8-3bcb-4634-b4a5-892e09c32f7e)
+ (at 130 102.5 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/540298f1-b724-4e12-9c85-b5bf1e7ee34d")
+ (attr smd)
+ (fp_text reference "R19" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 385312d6-0e25-4f51-bc33-e5757dfc353a)
+ )
+ (fp_text value "68" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1c8985c6-dde8-4f7a-a6ba-b40136bba060)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp cc1ce743-919d-486d-a13b-f18844f8823b)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 144a664a-2a8c-46f5-b99b-2eb80cd51aec))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 8dfcf158-bf9c-434b-89f9-2ad1aac76942))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7e7e7a96-885c-46fc-a0f5-dad0ea755350))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d599bba3-4c1f-4b0c-a9aa-a297e17b5dbe))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e6e88e61-c276-4f99-bcfc-b224114c5dae))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e84629e7-c475-4847-a265-7716fb4c34b7))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 187b0186-c684-4f45-b23a-1bb7750d2fb7))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d6454813-3801-4d73-b18e-42b59a3056c0))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp e1a5a83b-8301-460e-bacb-670d18a1d015))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ef9c70ec-64b3-4391-9976-aabb2e01fe37))
+ (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp f9f821a7-f48c-446d-a0f0-0db42f7009a4))
+ (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 6 "Net-(D2-Pad2)") (tstamp 2e0ecc96-4565-4e59-96e0-cd4dd199a046))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "LED_SMD:LED_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp 838cb7dc-bcc1-47a9-83aa-c92ad8530d4f)
+ (at 130 100 180)
+ (descr "LED SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "diode")
+ (property "Reichelt" "IR 19-21C EVL ")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/bbcc5822-47f5-4511-ad99-7a3d28b29917")
+ (attr smd)
+ (fp_text reference "D2" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 4c7a1278-84ec-4c41-8127-f4a144bb4154)
+ )
+ (fp_text value "IR" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 2dac23eb-2ec7-4255-a698-a37a2b281ddd)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 5e10d548-e0ce-4fec-ba2c-5ff5f815e86e)
+ )
+ (fp_line (start -1.485 0.735) (end 0.8 0.735) (layer "F.SilkS") (width 0.12) (tstamp 6fce0478-e37c-46ed-81f6-329907b760f2))
+ (fp_line (start -1.485 -0.735) (end -1.485 0.735) (layer "F.SilkS") (width 0.12) (tstamp 7dc4437d-c6d4-4894-b7e3-b0a2f02e8871))
+ (fp_line (start 0.8 -0.735) (end -1.485 -0.735) (layer "F.SilkS") (width 0.12) (tstamp dffaf0f2-7360-42ac-a170-4d0bf7c45417))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 92fc9299-2e50-41a9-b44f-c836b19bb3e2))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a9e8dcae-6791-4517-832b-73b6260386bf))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp bfe19918-5d4e-4a97-9036-4f8a6eb2db9a))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e7fc810e-0f10-42ec-b892-f8d329bbe4f3))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 212e89ac-b93d-4af0-b37a-8bd882bc0b82))
+ (fp_line (start -0.5 -0.4) (end -0.8 -0.1) (layer "F.Fab") (width 0.1) (tstamp 31cc92d2-a5b1-40f1-9e2a-f54b1a17d435))
+ (fp_line (start 0.8 -0.4) (end -0.5 -0.4) (layer "F.Fab") (width 0.1) (tstamp 7dae4bb3-6071-4b4a-b56b-1fa01ef99df3))
+ (fp_line (start -0.8 -0.1) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 8248381c-c2b3-448f-86f3-6cca61b17312))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ca09ddab-22df-4c98-9a61-e368bb6b8d4e))
+ (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 75 "Net-(D1-Pad1)") (pinfunction "K") (tstamp 7581201f-b4e7-45f8-8683-1fc0a7e183fb))
+ (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 6 "Net-(D2-Pad2)") (pinfunction "A") (tstamp 2da1f5c7-ba1b-44f6-8a62-58c4cacb2578))
+ (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 867c6202-bd50-4aac-932e-f353d04dc809)
+ (at 142.5 148.5 -90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/78d576d6-c374-45da-88c6-e23caa6e39dd")
+ (attr smd)
+ (fp_text reference "R3" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 657d9f96-0432-46d0-b2cb-83296c2e3f51)
+ )
+ (fp_text value "0" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 7d65add8-bb09-4c15-a563-4ef9ef367a18)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 80523798-73a3-410c-978d-509351f62715)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 18f4ecad-4685-4048-bf04-861b63f647f0))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 19c1cc33-3420-41c8-a448-f70f93d5729b))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3677f350-debb-469b-8f2d-0318c6d97833))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3f9f6d97-80ea-432a-9865-f64f2be8fdd2))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 83798d61-032f-4ea8-8f67-01daa00f8681))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 910c186f-eef6-47b0-a2e1-402be191a5ab))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 197530a6-7954-45bd-9a0c-781cd103fb76))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 4e6aa1b6-125e-4c96-a479-83ce326eacc1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 86ffe885-a1f7-45b0-a5b2-a343ef416c58))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d8dff4c4-559b-4248-8263-8685ac65225d))
+ (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 51 "/mesh_global_stim_0s") (tstamp 75145a8c-84d5-4da8-b23e-763e04e257fe))
+ (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 21 "/mesh_vert0dn_0s") (tstamp d354b839-01a8-4784-b9a3-b85220ba06cb))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 8750dfdf-3a0e-46ec-8259-8e9703fc80c8)
+ (at 147.8 120 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/e7d97573-481b-47f8-ac2a-d324f0a7cb95")
+ (attr smd)
+ (fp_text reference "R20" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 0374a9ab-32f9-48b7-8a52-2c3d160235aa)
+ )
+ (fp_text value "68" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 4b3935d6-cdd8-4ec0-b591-25aa91f3e0bd)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 524dbc81-a2d0-40ce-ba0a-f7725917e003)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 38b35cd7-a16c-4450-8678-479d2d627d58))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp c503c157-2596-498d-ba18-6097dd884229))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 234a2334-23e7-43bb-b1cd-e158837af98d))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 33d54876-f6a5-4a25-a962-209bd9d70651))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 67482647-9ac2-4138-b999-062fbc19eb5c))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 857b95e6-cb28-4072-b0e9-b7321d8157e5))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2aa75a27-2189-464f-82bb-a9f38bf3fa82))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a8ee8e0e-364e-4caf-93c1-8f85bbdf192d))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp dcb972dc-2907-4c6e-b79a-ee8b8501d002))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp fb4de221-364d-47d3-a510-46454c00c2a5))
+ (pad "1" smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp a0fb489a-9eb2-4b1b-8374-0258788db4d0))
+ (pad "2" smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 7 "Net-(D3-Pad2)") (tstamp 6f1e6eed-97e9-4511-9ee0-8d42db89c6b2))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp 8a9d0892-26be-4bc7-ae81-7a74d625fc08)
+ (at 173.5 141.5 180)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "X7R-G0603 1,0/16")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/c46ec18f-ee83-453b-ac2e-d9b0d45bd1c2")
+ (attr smd)
+ (fp_text reference "C4" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 62da733d-84bf-441d-82d5-dead6d8e80ae)
+ )
+ (fp_text value "1u 10V" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp bcce3ee1-0c92-440e-b418-f32c7ba3ae77)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 0842c13f-091c-467b-a176-1239085b4804)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 0d9ddbd0-8cea-4a06-9c3d-6d6d1851e900))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp a428975b-a909-4699-b79e-461b595f0be9))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 29a520c9-3258-41e9-973d-ff88e3b1f4dc))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 466d0c92-984b-4913-b400-48dc1141cb92))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7493e717-4bf5-40c8-9cb4-4898b585f249))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ea1adbd9-b0ef-4970-ab45-ad25f8c79134))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 0c294c79-04a4-4586-82a3-714df74a9e93))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 7be7cef5-bcda-4829-8654-672c23128876))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8099c572-e60d-46a6-9acc-ddeb29d261e9))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 8eef313e-c6db-4d18-94d1-bec19144a071))
+ (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 3 "Net-(C4-Pad1)") (tstamp fae445bc-e154-4406-b669-f84336bcf612))
+ (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp fdf36359-add8-4e9b-b99b-e20f2c2de249))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 8d4d4bbd-16ec-45c9-9f36-d6b710429a88)
+ (at 150 77)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/190d4939-3f06-44cd-9927-32a58f31384e")
+ (attr smd)
+ (fp_text reference "R18" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 4e4952f5-31c3-4efc-bd0e-a91e9d38e832)
+ )
+ (fp_text value "68" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp e72aabc1-7d2f-40ad-a001-486097af8d6f)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 167a6354-f01f-4da7-85b9-155bf1f4e360)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 5ea45bd7-5777-4240-8cb2-52ea36f35fce))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp cb218fa9-4de1-43fd-ba9b-46cbdc752f9b))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3c89c0a3-4e04-496c-9684-15e28b681bfa))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4fbce482-8129-4d54-878b-70b290e090d2))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c586c062-ac80-4aae-882f-73a0973b17a9))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d3c31a20-2454-4081-881f-54b6f7f99157))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 00cff813-7e33-41e1-b338-0cac59023415))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 1b2f7dad-d6f7-4087-a2ae-9dbf9d56c5bf))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 519a40b6-b197-4186-a123-796efd0c79df))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp bcb4c902-e939-412c-9542-f5b1321c0815))
+ (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 42723eb2-89a1-44f7-8708-5fd07624b8f8))
+ (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 5 "Net-(D1-Pad2)") (tstamp 64b69b87-ae9b-42f7-b3c3-0f6b13b7b263))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 92364ed9-a0c7-46c2-9032-7dc391e202d7)
+ (at 180.5 138.75 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "DNP" "DNP")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/16bcc19d-1b56-4cc0-a4c4-f5784c86b649")
+ (attr smd)
+ (fp_text reference "R25" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp ca4c3007-b0a7-42db-8c82-897b9cfac210)
+ )
+ (fp_text value "47k" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp ce99df49-68f0-47f2-a2b8-4de47a61c365)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 3c6297ef-18ee-433c-af01-128678e8761f)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp c067527b-bce6-4e0d-89ea-3aa5e969c3f1))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp da6f4bb1-0de1-44df-9cb8-e90eeed2d68f))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 50b9b0a8-7b98-446b-bd74-f91c90ac0c45))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5b5e65ce-aba1-400b-a3d7-db3c7b19b6b1))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp acb15053-d14b-45f5-b73c-9cbc8cfe467a))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp f82eb4ae-eb1a-4b0a-bb90-61d90546c488))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2d390bf9-559a-415a-95ce-4cebb1eb5612))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 858ee81c-3d35-4a96-a741-8be1e901e5f7))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 92d717dd-ce92-4323-a8b9-ae60c982be0e))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d53c5252-3460-4488-9ba7-0ddb35903f19))
+ (pad "1" smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 72 "/~AIS_CS2") (tstamp 163da2ea-29f2-49d0-9f85-3c8e8da2b78e))
+ (pad "2" smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp cdc047ad-1f83-4e42-982c-8baa487af64e))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_SO:SO-8_3.9x4.9mm_P1.27mm" (layer "F.Cu") (tedit 5C509AD1) (tstamp 9aa6d032-3cb7-47d1-9d43-e09662ae9b37)
+ (at 177.5 147.5 120)
+ (descr "SO, 8 Pin (https://www.nxp.com/docs/en/data-sheet/PCF8523.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+ (tags "SO SO")
+ (property "Reichelt" "Stock (TBD)")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/81ec419a-76d6-436f-8163-cd7fcaf7798a")
+ (attr smd)
+ (fp_text reference "U2" (at 0 -3.4 120) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1cb6a43e-3d83-4ebc-a677-a3e00a9b4729)
+ )
+ (fp_text value "AIS1120SX" (at 0 3.4 120) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 881f36a0-76d2-425b-9a60-6b491ff30ff5)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 120) (layer "F.Fab")
+ (effects (font (size 0.98 0.98) (thickness 0.15)))
+ (tstamp 9460a2d9-5f37-4f9b-b10c-4dc6f819b924)
+ )
+ (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp 12c02944-d268-438f-be90-81323bb4ee9d))
+ (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 1571f576-1ffc-477d-ac4d-6964e83b352d))
+ (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 719587ed-1d35-465f-a415-0e881684bd7e))
+ (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp a0f51ca8-5f6d-4943-b5f8-a7e457ca6363))
+ (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 4223c6ae-a111-44ee-b0ec-f296c691dbbc))
+ (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 4bd6efb4-b302-4178-ab7d-c62740cbc0e0))
+ (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 7936d8d5-2ea2-4b3a-a73d-a060f4b0d616))
+ (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 7f2aa20a-f466-4f9c-84cd-848a2de8a1a2))
+ (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1) (tstamp 38b392ed-57fb-4fae-81b5-458152bf848b))
+ (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1) (tstamp 419fe9c5-ec5d-47d9-a823-bb2553d8c3ac))
+ (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 7d255115-afad-4f66-8e0b-12abf5aab7a3))
+ (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1) (tstamp a966d40a-b576-4962-9f9c-148ea64574c7))
+ (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp c4a98ab5-3443-459f-8987-7f684dfde185))
+ (pad "1" smd roundrect (at -2.575 -1.905 120) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 60 "/SCK") (pinfunction "SCL") (tstamp 62d11f1c-a475-4a54-9767-451f2dfa5378))
+ (pad "2" smd roundrect (at -2.575 -0.635 120) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 58 "/MOSI") (pinfunction "SDI") (tstamp b77ebd05-3ef5-41ca-8cac-f380953c93c6))
+ (pad "3" smd roundrect (at -2.575 0.635 120) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 59 "/MISO") (pinfunction "SDO") (tstamp 4d1404c2-f5de-4029-983c-9f6374382788))
+ (pad "4" smd roundrect (at -2.575 1.905 120) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 71 "/~AIS_CS1") (pinfunction "CS") (tstamp b4af88e0-6f34-4ff3-a970-f572dfbd2526))
+ (pad "5" smd roundrect (at 2.575 1.905 120) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "GND") (tstamp 9cfc9a9b-89a2-4ca0-87e9-11a33b6adf2e))
+ (pad "6" smd roundrect (at 2.575 0.635 120) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 3 "Net-(C4-Pad1)") (pinfunction "VREG") (tstamp 71142c93-69fd-4380-80b4-d5702ad01f11))
+ (pad "7" smd roundrect (at 2.575 -0.635 120) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "VDD") (tstamp 8012b538-f529-4616-90d2-a4f32f96b74f))
+ (pad "8" smd roundrect (at 2.575 -1.905 120) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "MP") (tstamp bb8828e7-1ecf-4c1b-9e73-8158fd6dde1e))
+ (model "${KISYS3DMOD}/Package_SO.3dshapes/SO-8_3.9x4.9mm_P1.27mm.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Diode_SMD:D_SOT-23_ANK" (layer "F.Cu") (tedit 587CCEF9) (tstamp 9d65e371-7896-4f10-a2d9-4bd5cf6726b2)
+ (at 165.75 69 180)
+ (descr "SOT-23, Single Diode")
+ (tags "SOT-23")
+ (property "Reichelt" "SMD ZD 12")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/2c0779c7-7f7c-4ed8-ab90-ba2f89dd4054")
+ (attr smd)
+ (fp_text reference "D8" (at 0 -2.5) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp ee0b8467-c488-407b-b2e5-0a9544d88719)
+ )
+ (fp_text value "12V" (at 0 2.5) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp eae886bc-cb13-4386-ba72-cdb302de88ef)
+ )
+ (fp_text user "${REFERENCE}" (at 0 -2.5) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 8d1402a7-2d34-4116-a43a-105db2959a1e)
+ )
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12) (tstamp 3e757b78-1d9f-46a8-8ce7-14a754caebbb))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12) (tstamp c3532be5-5c34-4696-a375-5f25f4d2ef83))
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12) (tstamp e4729805-5757-479a-8dd8-76fa7a8d3b66))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12) (tstamp e4e0a8f9-f304-44b9-b494-7a815e174ef3))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp 5175ce36-8ef6-4224-9076-4403847a64e0))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 7555c7d3-adef-4b50-aec5-b3aa1f6d7f3f))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp 8c7d12e6-54ba-42fe-834a-bccd68fe0a2c))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp b5a4cfb7-4e49-42c4-82d4-5592b18f30f5))
+ (fp_line (start 0.15 -0.45) (end -0.15 -0.65) (layer "F.Fab") (width 0.1) (tstamp 055c8004-37fd-4215-ab2f-d356bf3a5c6e))
+ (fp_line (start 0.15 -0.45) (end 0.4 -0.45) (layer "F.Fab") (width 0.1) (tstamp 105e5894-3ea6-4687-ab51-efb70f28734b))
+ (fp_line (start -0.7 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1) (tstamp 23c37d1a-dc7e-4c97-bb10-5a874f6cded5))
+ (fp_line (start -0.15 -0.25) (end 0.15 -0.45) (layer "F.Fab") (width 0.1) (tstamp 31234b89-0ec3-4543-b810-6fa105d29c7e))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp 3da71f71-6c5b-4390-afbc-501fcc8e15e8))
+ (fp_line (start -0.15 -0.45) (end -0.4 -0.45) (layer "F.Fab") (width 0.1) (tstamp 98593f0d-4597-4f88-86a4-d2eba4be0b6b))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp a2c71f58-b4fe-4522-903e-074766e1ea9e))
+ (fp_line (start -0.15 -0.65) (end -0.15 -0.25) (layer "F.Fab") (width 0.1) (tstamp d215ce79-81c5-4395-bafe-658c9df8f95d))
+ (fp_line (start -0.7 -1.52) (end -0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp ec308c57-a885-403e-aae9-3da41ec8f243))
+ (fp_line (start 0.15 -0.65) (end 0.15 -0.25) (layer "F.Fab") (width 0.1) (tstamp fbda8b20-06e1-41f9-85a2-d752291782e9))
+ (pad "" smd rect (at -1 0.95 180) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c5e7b867-2712-42fd-a1b0-1a93fe960a7c))
+ (pad "1" smd rect (at 1 0 180) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 4 "/DC_PREREG") (pinfunction "K") (tstamp 50eb4d14-ec9f-4450-9974-543b334460ed))
+ (pad "2" smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "GND") (pinfunction "A") (tstamp ed776c9a-6e99-48cb-b359-a408a0221bb6))
+ (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SOT-23.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp 9ec9cef1-1b91-462a-8fca-55d372c98a33)
+ (at 151.5 129.5)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "X7R-G0603 1,0/16")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/ce44620f-a728-437c-a7fa-0896d7d18088")
+ (attr smd)
+ (fp_text reference "C14" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 99d05ad1-37b1-433c-ad0b-7461df6497fb)
+ )
+ (fp_text value "1u 10V" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 6d19c84a-6345-4653-b55d-fd23b9a43de3)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp c6dc3858-d3b8-45ba-849e-172dac09054d)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 8ccfe384-1fd2-4427-ad0d-c42ccf2b4f3e))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp fe7662b1-7c1e-489d-b0c8-6a7af6e772b3))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1000fcae-0fdc-4fe9-a492-e1e4fe75c5cb))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5c6f9629-3668-4c87-9fbb-2f7625777e47))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 955b3914-27fc-426c-8c35-4c8d79947815))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a6b59e1d-b827-4841-9936-cee32626991e))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 1f345bcb-202d-4c6f-a92b-3fb2b3d4291c))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 3d80fbf0-855b-4cb1-9a21-3c02bb1997d7))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 488b1528-867b-4cd9-a1ad-fe560489fe83))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 4ce9a9ca-745b-483e-bff5-ea2eb5aa6433))
+ (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 69 "Net-(C14-Pad1)") (tstamp e2606afa-a57d-4de7-b253-bcb71fa96288))
+ (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 98651058-05b7-462c-92eb-c16b4cfced32))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_2220_5650Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp a01ea6cc-2c0b-404e-9839-82777c32d924)
+ (at 156 79.75 80)
+ (descr "Capacitor SMD 2220 (5650 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "ECC KTS250B336K")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/642edf86-3671-4a65-af0a-81c73d53dfb3")
+ (attr smd)
+ (fp_text reference "C6" (at 0 -3.65 80) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 285cdf2f-9068-4ce9-a012-dcf2e626e266)
+ )
+ (fp_text value "33/25" (at 0 3.65 80) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp e607cf1b-6ce9-4aed-958f-28788b181c93)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 80) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp cc02e6c6-c225-4fa0-8407-a7a988f5c2f5)
+ )
+ (fp_line (start -1.415748 2.61) (end 1.415748 2.61) (layer "F.SilkS") (width 0.12) (tstamp 2d85b5a5-6f89-4d15-aa2f-dff40f2122a2))
+ (fp_line (start -1.415748 -2.61) (end 1.415748 -2.61) (layer "F.SilkS") (width 0.12) (tstamp b3f280c5-6b55-4d94-ae42-e4cb04144468))
+ (fp_line (start 3.7 2.95) (end -3.7 2.95) (layer "F.CrtYd") (width 0.05) (tstamp 39b1a47a-1d90-443f-9d7f-908be34a9589))
+ (fp_line (start -3.7 2.95) (end -3.7 -2.95) (layer "F.CrtYd") (width 0.05) (tstamp 4da047ab-64fe-4aed-9e62-8d0cd47cdfad))
+ (fp_line (start 3.7 -2.95) (end 3.7 2.95) (layer "F.CrtYd") (width 0.05) (tstamp 5a46c456-3742-4c6e-88e5-307fa15b81a3))
+ (fp_line (start -3.7 -2.95) (end 3.7 -2.95) (layer "F.CrtYd") (width 0.05) (tstamp a7bd6288-b081-48b6-af9f-e5fdc6d5faf5))
+ (fp_line (start -2.85 -2.5) (end 2.85 -2.5) (layer "F.Fab") (width 0.1) (tstamp 268251a7-2ec5-4c37-a078-acc2acce1ad3))
+ (fp_line (start -2.85 2.5) (end -2.85 -2.5) (layer "F.Fab") (width 0.1) (tstamp 90487366-eceb-4f2b-9e37-9bb52bedb308))
+ (fp_line (start 2.85 2.5) (end -2.85 2.5) (layer "F.Fab") (width 0.1) (tstamp b3509b66-ddc1-4b6e-9461-03950e293bdd))
+ (fp_line (start 2.85 -2.5) (end 2.85 2.5) (layer "F.Fab") (width 0.1) (tstamp fd8c95ae-fe10-45eb-b698-ac546490e66e))
+ (pad "1" smd roundrect (at -2.55 0 80) (size 1.8 5.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.138889)
+ (net 1 "GND") (tstamp 885664c9-1ec9-404d-9cea-53d3243b1dea))
+ (pad "2" smd roundrect (at 2.55 0 80) (size 1.8 5.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.138889)
+ (net 4 "/DC_PREREG") (tstamp 2f12f914-2e2f-423e-9ada-1590163a7678))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_2220_5650Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
)
(module "common_footprints:15mm_base" (layer "F.Cu") (tedit 5F75A762) (tstamp a2d1075b-c606-4357-a997-6aa6dc63e363)
(at 102.38 72.5 -120)
+ (property "Reichelt" "n/a")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
(path "/c0ce2bb8-f1ea-415c-a77e-282936069498")
+ (attr through_hole)
(fp_text reference "J2" (at -7.840723 -2.320531 60 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp dbe99a42-8a45-4ccc-847b-7c6aec9ff0c2)
@@ -249,45 +1566,1590 @@
)
(fp_rect (start -7.5 0.8) (end 7.5 -0.8) (layer "Dwgs.User") (width 0.1) (tstamp 73a3ba06-91f7-46c7-8a3e-86f05dfc6136))
(pad "1" smd rect (at -5 1.8 240) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 40 "Net-(J2-Pad1)") (tstamp 13142bb1-cd91-467e-aedf-682032639973))
+ (net 33 "/mesh_vert1up_0s") (tstamp 13142bb1-cd91-467e-aedf-682032639973))
(pad "2" smd rect (at -2.5 1.8 240) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 39 "Net-(J2-Pad2)") (tstamp b4681234-2830-4308-acf8-eaf1df3e3c63))
+ (net 34 "/mesh_vert1up_1s") (tstamp b4681234-2830-4308-acf8-eaf1df3e3c63))
(pad "3" smd rect (at 0 1.8 240) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 38 "Net-(J2-Pad3)") (tstamp 23a4da2b-c6b2-41e1-806c-1a3e5b15e1a1))
+ (net 22 "Net-(J2-Pad3)") (tstamp 23a4da2b-c6b2-41e1-806c-1a3e5b15e1a1))
(pad "4" smd rect (at 2.5 1.8 240) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 37 "Net-(J2-Pad4)") (tstamp 7805d320-7120-46e6-bb16-169f3fab198b))
+ (net 35 "/mesh_vert1up_1r") (tstamp 7805d320-7120-46e6-bb16-169f3fab198b))
(pad "5" smd rect (at 5 1.8 240) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 36 "Net-(J2-Pad5)") (tstamp 474c356e-9f4b-4bad-8e5b-e39407637e09))
+ (net 36 "/mesh_vert1up_0r") (tstamp 474c356e-9f4b-4bad-8e5b-e39407637e09))
(pad "6" smd rect (at 5 -1.8 240) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 35 "Net-(J2-Pad6)") (tstamp 0e8def97-6262-433e-9e5a-b375bb382b41))
+ (net 1 "GND") (tstamp 0e8def97-6262-433e-9e5a-b375bb382b41))
(pad "7" smd rect (at 2.5 -1.8 240) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 34 "Net-(J2-Pad7)") (tstamp 0f39c82e-7d57-438f-80c2-277e412cafad))
+ (net 1 "GND") (tstamp 0f39c82e-7d57-438f-80c2-277e412cafad))
(pad "8" smd rect (at 0 -1.8 240) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 33 "Net-(J2-Pad8)") (tstamp 6001a12a-9184-408d-9a35-db93ea3dfc9c))
+ (net 2 "+3V3") (tstamp 6001a12a-9184-408d-9a35-db93ea3dfc9c))
(pad "9" smd rect (at -2.5 -1.8 240) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 32 "Net-(J2-Pad9)") (tstamp e53daa65-fd90-4e69-8367-b987b82a7fb2))
+ (net 1 "GND") (tstamp e53daa65-fd90-4e69-8367-b987b82a7fb2))
(pad "10" smd rect (at -5 -1.8 240) (size 1.8 3) (layers "F.Cu" "F.Mask")
- (net 31 "Net-(J2-Pad10)") (tstamp a1305747-f40b-4c5d-adf0-89f3d8972845))
+ (net 1 "GND") (tstamp a1305747-f40b-4c5d-adf0-89f3d8972845))
(pad "11" smd rect (at -5 1.8 240) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 30 "Net-(J2-Pad11)") (solder_mask_margin 0.1) (tstamp 31a1f164-cfbb-4960-86d1-85f13e304c1f))
+ (net 37 "/mesh_vert1dn_0s") (solder_mask_margin 0.1) (tstamp 31a1f164-cfbb-4960-86d1-85f13e304c1f))
(pad "12" smd rect (at -2.5 1.8 240) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 29 "Net-(J2-Pad12)") (solder_mask_margin 0.1) (tstamp eb7f1f67-b77b-486b-9ea5-f04226e1d4e5))
+ (net 38 "/mesh_vert1dn_1s") (solder_mask_margin 0.1) (tstamp eb7f1f67-b77b-486b-9ea5-f04226e1d4e5))
(pad "13" smd rect (at 0 1.8 240) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 28 "Net-(J2-Pad13)") (solder_mask_margin 0.1) (tstamp 470cfccb-44a0-426d-a0bf-b086cffdce95))
+ (net 23 "Net-(J2-Pad13)") (solder_mask_margin 0.1) (tstamp 470cfccb-44a0-426d-a0bf-b086cffdce95))
(pad "14" smd rect (at 2.5 1.8 240) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 27 "Net-(J2-Pad14)") (solder_mask_margin 0.1) (tstamp 21af97d1-5425-46dd-801c-ddff0f3a51a5))
+ (net 39 "/mesh_vert1dn_1r") (solder_mask_margin 0.1) (tstamp 21af97d1-5425-46dd-801c-ddff0f3a51a5))
(pad "15" smd rect (at 5 1.8 240) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 26 "Net-(J2-Pad15)") (solder_mask_margin 0.1) (tstamp 6713f423-dc8b-42c8-87ae-dda089435e44))
+ (net 40 "/mesh_vert1dn_0r") (solder_mask_margin 0.1) (tstamp 6713f423-dc8b-42c8-87ae-dda089435e44))
(pad "16" smd rect (at 5 -1.8 240) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 25 "Net-(J2-Pad16)") (solder_mask_margin 0.1) (tstamp ece97853-cfc2-4113-806f-73684069f444))
+ (net 1 "GND") (solder_mask_margin 0.1) (tstamp ece97853-cfc2-4113-806f-73684069f444))
(pad "17" smd rect (at 2.5 -1.8 240) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 24 "Net-(J2-Pad17)") (solder_mask_margin 0.1) (tstamp e363c58b-ae1c-4b33-b9ae-e49acd33f78b))
+ (net 1 "GND") (solder_mask_margin 0.1) (tstamp e363c58b-ae1c-4b33-b9ae-e49acd33f78b))
(pad "18" smd rect (at 0 -1.8 240) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 23 "Net-(J2-Pad18)") (solder_mask_margin 0.1) (tstamp 45ad0e6c-3dd5-4539-a1b4-e6bbea158198))
+ (net 2 "+3V3") (solder_mask_margin 0.1) (tstamp 45ad0e6c-3dd5-4539-a1b4-e6bbea158198))
(pad "19" smd rect (at -2.5 -1.8 240) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 22 "Net-(J2-Pad19)") (solder_mask_margin 0.1) (tstamp e3acbe8e-a76f-42bf-9329-7341829677ba))
+ (net 1 "GND") (solder_mask_margin 0.1) (tstamp e3acbe8e-a76f-42bf-9329-7341829677ba))
(pad "20" smd rect (at -5 -1.8 240) (size 1.8 3) (layers "B.Cu" "B.Mask")
- (net 21 "Net-(J2-Pad20)") (solder_mask_margin 0.1) (tstamp 847b3234-47af-4116-b127-4cc8940243df))
+ (net 1 "GND") (solder_mask_margin 0.1) (tstamp 847b3234-47af-4116-b127-4cc8940243df))
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp a62872db-87e8-4664-a715-2ffa009b410d)
+ (at 143 124.5)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "X7R-G0603 100N")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/afb67993-ac46-427a-be56-7053d28c2596")
+ (attr smd)
+ (fp_text reference "C3" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1cd5c13e-6e73-4d88-a4fc-64014d85fc26)
+ )
+ (fp_text value "100n" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 99f837af-30a6-4173-8576-1a97f9848552)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp dae85e6a-619d-4817-8450-24bb70f8b39b)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 774ada85-ad16-4874-bd0a-7f63516e84c9))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp bd30f82c-eb5d-40d9-9563-1f18451597ab))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 94bfc700-a8ca-4f80-b691-cebc864bcec0))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9e0d9eb2-e939-4beb-a08d-a0410a3440c8))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp aec0eee2-a26d-468f-bc42-db447ce538e1))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ebd9fa2f-282d-4c72-8972-43f93f6bb726))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 02af64ab-188a-4ab7-8706-316159534271))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 625fe2a0-d750-476a-be4f-6825ead6491c))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d39f7be2-cc3f-4288-b5f0-6f40d18002c3))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp d7727879-9269-4680-a40d-5799aa98673f))
+ (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 8ec9890a-d936-4d95-a77e-3636717699e4))
+ (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 3c84b986-4369-44d7-a5b5-b210d3468815))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp a738467b-ba85-47d1-ab6e-f896b8c0441c)
+ (at 198 87)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/e963fe3a-f915-4f2f-820b-4d8b69315a46")
+ (attr smd)
+ (fp_text reference "R13" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp c205447d-8bb9-49c4-b39b-004395cabb31)
+ )
+ (fp_text value "0" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp eec00dfa-ebf6-46fc-be73-431af5f4b00e)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 640e8132-931c-4bdd-9119-ff76dd23c2fd)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 17f45a43-e521-48a6-aa57-dddbc5ff0ac5))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp a9f73e99-740e-4103-b036-6bb09018184f))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 05744630-cb30-4eb4-ab91-a56aa512dd1d))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1b78844c-2ae9-4393-8f57-30969c19e898))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 253a3066-f7f6-4c7c-aa79-84b16bd5f4cd))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a6d660a2-fef6-4e29-a6b2-05a8cef0e1a1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0b4645d5-5c85-47f1-b0e7-22804f45abbc))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 3a0bf227-7ae7-488c-9cb2-e7fe34a52f4b))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp aeda0a22-e6c2-4ebd-ad95-f3ae46b74ec6))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c640c8d1-b28e-485e-95b9-29154cfb6816))
+ (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 51 "/mesh_global_stim_0s") (tstamp cec5eb5d-1016-45a3-be67-cd2d6473d5b6))
+ (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 41 "/mesh_vert2up_0s") (tstamp b84ef55e-61b7-4db8-9950-bbdb64a550e8))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_QFP:LQFP-48_7x7mm_P0.5mm" (layer "F.Cu") (tedit 5C18330E) (tstamp a80844e5-d732-4c69-a0b6-f602d7ac8f85)
+ (at 135.25 127.75 -90)
+ (descr "LQFP, 48 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ltc2358-16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+ (tags "LQFP QFP")
+ (property "Reichelt" "STM32 F030C8T6")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/2be0305d-ee6b-44f2-ace0-7841529807ad")
+ (attr smd)
+ (fp_text reference "U1" (at 0 -5.85 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 2a8809ea-6c0b-468a-b1d3-4c6586131751)
+ )
+ (fp_text value "STM32F030C8Tx" (at 0 5.85 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 9a881fff-5dc2-458b-b506-4db169d379df)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp ca14b295-9399-47dc-8c3f-45a76492ee93)
+ )
+ (fp_line (start -3.61 3.61) (end -3.61 3.16) (layer "F.SilkS") (width 0.12) (tstamp 27c248e1-85be-453e-8017-10ab1f5690f1))
+ (fp_line (start -3.16 3.61) (end -3.61 3.61) (layer "F.SilkS") (width 0.12) (tstamp 2ffd6ad6-f416-4244-8f18-a477e51cc26c))
+ (fp_line (start -3.61 -3.61) (end -3.61 -3.16) (layer "F.SilkS") (width 0.12) (tstamp 4755e6b7-1759-4698-b74e-bb1e30d0e0cc))
+ (fp_line (start 3.16 3.61) (end 3.61 3.61) (layer "F.SilkS") (width 0.12) (tstamp 7ee0b3b4-735d-4a43-9ebc-f9d752c90fea))
+ (fp_line (start 3.61 3.61) (end 3.61 3.16) (layer "F.SilkS") (width 0.12) (tstamp c451b46c-5f86-4f31-bde0-ddbac252d2e9))
+ (fp_line (start 3.16 -3.61) (end 3.61 -3.61) (layer "F.SilkS") (width 0.12) (tstamp c5a27293-58e4-4a2e-9ddc-59c4155277a0))
+ (fp_line (start 3.61 -3.61) (end 3.61 -3.16) (layer "F.SilkS") (width 0.12) (tstamp ed91fc82-58e2-4f61-9da0-8e6154ebedc4))
+ (fp_line (start -3.61 -3.16) (end -4.9 -3.16) (layer "F.SilkS") (width 0.12) (tstamp f20fe222-95bb-474c-91b3-27926333068b))
+ (fp_line (start -3.16 -3.61) (end -3.61 -3.61) (layer "F.SilkS") (width 0.12) (tstamp f91ce251-d586-4990-9a40-b07e227f7115))
+ (fp_line (start -5.15 -3.15) (end -5.15 0) (layer "F.CrtYd") (width 0.05) (tstamp 07a90f5e-0e7a-4a52-bd62-17656b93b445))
+ (fp_line (start 3.75 -3.75) (end 3.75 -3.15) (layer "F.CrtYd") (width 0.05) (tstamp 141d5144-2532-43c2-9849-bb5dda298fd0))
+ (fp_line (start 3.75 3.75) (end 3.75 3.15) (layer "F.CrtYd") (width 0.05) (tstamp 2eda118f-c988-4e51-a53c-95c82f348fdc))
+ (fp_line (start -3.15 -5.15) (end -3.15 -3.75) (layer "F.CrtYd") (width 0.05) (tstamp 33c9ca0a-ea58-4ac3-bc8b-b961d54a2b32))
+ (fp_line (start -3.75 -3.75) (end -3.75 -3.15) (layer "F.CrtYd") (width 0.05) (tstamp 3db7600a-c745-4dee-b73e-886160f577f0))
+ (fp_line (start -3.75 -3.15) (end -5.15 -3.15) (layer "F.CrtYd") (width 0.05) (tstamp 3f859bcb-bc55-4231-b8af-397a825f1e14))
+ (fp_line (start 3.15 3.75) (end 3.75 3.75) (layer "F.CrtYd") (width 0.05) (tstamp 6fd614af-d8e7-4212-a3f5-74529fed77c1))
+ (fp_line (start 0 5.15) (end 3.15 5.15) (layer "F.CrtYd") (width 0.05) (tstamp 76d8696a-deb9-4c81-a0ef-bbf0d457d65c))
+ (fp_line (start 5.15 3.15) (end 5.15 0) (layer "F.CrtYd") (width 0.05) (tstamp 7f73a976-d724-48ec-a972-116854dd0fad))
+ (fp_line (start -3.15 3.75) (end -3.75 3.75) (layer "F.CrtYd") (width 0.05) (tstamp 92897f64-de2b-442b-a61d-a65a2246fef3))
+ (fp_line (start 3.15 5.15) (end 3.15 3.75) (layer "F.CrtYd") (width 0.05) (tstamp 9e931405-b0d5-4401-b996-9fa712a52ac1))
+ (fp_line (start 0 5.15) (end -3.15 5.15) (layer "F.CrtYd") (width 0.05) (tstamp 9ecb3ada-a671-441e-b25c-6df9a88f69a1))
+ (fp_line (start -5.15 3.15) (end -5.15 0) (layer "F.CrtYd") (width 0.05) (tstamp a4f098aa-37cb-4b90-a617-0b9be5ac79a7))
+ (fp_line (start -3.15 5.15) (end -3.15 3.75) (layer "F.CrtYd") (width 0.05) (tstamp b3cecf5d-360b-4d67-9503-2c197bd7642f))
+ (fp_line (start 0 -5.15) (end 3.15 -5.15) (layer "F.CrtYd") (width 0.05) (tstamp b8e4df92-135e-4cb6-8252-b3adeb70dd36))
+ (fp_line (start -3.15 -3.75) (end -3.75 -3.75) (layer "F.CrtYd") (width 0.05) (tstamp c04b9bcc-485b-466d-a037-f54d8d1c44b2))
+ (fp_line (start 3.75 -3.15) (end 5.15 -3.15) (layer "F.CrtYd") (width 0.05) (tstamp c3fcfd72-6989-4287-8f94-322e49edfb58))
+ (fp_line (start -3.75 3.75) (end -3.75 3.15) (layer "F.CrtYd") (width 0.05) (tstamp cefddc61-3aaf-4253-a839-1968f2970efc))
+ (fp_line (start 0 -5.15) (end -3.15 -5.15) (layer "F.CrtYd") (width 0.05) (tstamp cf22b123-a85f-4d25-adc2-1dcad332e576))
+ (fp_line (start 3.15 -3.75) (end 3.75 -3.75) (layer "F.CrtYd") (width 0.05) (tstamp d84ce2f0-04bc-4f10-b985-0fcc9c01a813))
+ (fp_line (start 3.75 3.15) (end 5.15 3.15) (layer "F.CrtYd") (width 0.05) (tstamp e696d246-ac6b-4418-b86c-8416cea445f2))
+ (fp_line (start 3.15 -5.15) (end 3.15 -3.75) (layer "F.CrtYd") (width 0.05) (tstamp ee90e2a1-205f-4bb8-a39a-9087ad21afde))
+ (fp_line (start 5.15 -3.15) (end 5.15 0) (layer "F.CrtYd") (width 0.05) (tstamp f02bea46-8e7d-4000-9e4b-ce533e0f32d3))
+ (fp_line (start -3.75 3.15) (end -5.15 3.15) (layer "F.CrtYd") (width 0.05) (tstamp f2edd269-bf1b-40dc-9d47-abcf27676558))
+ (fp_line (start 3.5 3.5) (end -3.5 3.5) (layer "F.Fab") (width 0.1) (tstamp 2ac2ad53-097d-4f19-a6f2-cb26e1238361))
+ (fp_line (start -3.5 -2.5) (end -2.5 -3.5) (layer "F.Fab") (width 0.1) (tstamp 2bcb2ff8-f96c-4834-93f1-4ad12b636dbc))
+ (fp_line (start 3.5 -3.5) (end 3.5 3.5) (layer "F.Fab") (width 0.1) (tstamp bc38e464-ff3e-4868-b1b4-7c4d9770d2e7))
+ (fp_line (start -3.5 3.5) (end -3.5 -2.5) (layer "F.Fab") (width 0.1) (tstamp f4fba371-be08-42dd-aeee-12e0d0c52e67))
+ (fp_line (start -2.5 -3.5) (end 3.5 -3.5) (layer "F.Fab") (width 0.1) (tstamp f5da2a49-9395-4b54-9386-b9c82da93f0e))
+ (pad "1" smd roundrect (at -4.1625 -2.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "VDD") (tstamp 26723b89-04c1-4721-817d-724c8d1e3061))
+ (pad "2" smd roundrect (at -4.1625 -2.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 67 "Net-(U1-Pad2)") (pinfunction "PC13") (tstamp db87b0f7-3ad4-4843-95b8-005494c50969))
+ (pad "3" smd roundrect (at -4.1625 -1.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 66 "/XT_LSE_A") (pinfunction "PC14") (tstamp 4a09f347-9423-4fff-a6e4-36724bbb90ff))
+ (pad "4" smd roundrect (at -4.1625 -1.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 65 "/XT_LSE_B") (pinfunction "PC15") (tstamp 2a02f516-2331-4f90-a1a1-4a9ed944972e))
+ (pad "5" smd roundrect (at -4.1625 -0.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 64 "/XT_HSE_A") (pinfunction "PF0") (tstamp 847e34df-74f6-43e4-9e3f-f49ef285e66a))
+ (pad "6" smd roundrect (at -4.1625 -0.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 63 "/XT_HSE_B") (pinfunction "PF1") (tstamp 8cd07a2d-647f-4a25-b173-0366fe41d0c6))
+ (pad "7" smd roundrect (at -4.1625 0.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "NRST") (tstamp 37073d1c-ab2c-434c-91ee-d961c74a2f57))
+ (pad "8" smd roundrect (at -4.1625 0.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "VSSA") (tstamp 4f1a21a3-dd38-4079-9704-5b945bc0525c))
+ (pad "9" smd roundrect (at -4.1625 1.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "VDDA") (tstamp 04a49f6e-22ab-40d1-bcbd-20fe812883cb))
+ (pad "10" smd roundrect (at -4.1625 1.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 51 "/mesh_global_stim_0s") (pinfunction "PA0") (tstamp c046f7a3-8154-45f1-b5b8-6745d03a7f08))
+ (pad "11" smd roundrect (at -4.1625 2.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 52 "/mesh_global_stim_1s") (pinfunction "PA1") (tstamp d5cb1f2c-a977-4774-80c2-cb13f5e66699))
+ (pad "12" smd roundrect (at -4.1625 2.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 62 "/DBG_TX") (pinfunction "PA2") (tstamp 1edd0d2f-2867-4b77-849b-8c3b4ca7fc37))
+ (pad "13" smd roundrect (at -2.75 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 61 "/DBG_RX") (pinfunction "PA3") (tstamp 1e29637c-1ba8-4449-8f3c-75a6b36182c6))
+ (pad "14" smd roundrect (at -2.25 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 54 "Net-(U1-Pad14)") (pinfunction "PA4") (tstamp 547edc84-b980-4587-95ad-c4e4febb9a02))
+ (pad "15" smd roundrect (at -1.75 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 60 "/SCK") (pinfunction "PA5") (tstamp 56981d6f-3849-4a11-872e-bd5937b92548))
+ (pad "16" smd roundrect (at -1.25 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 59 "/MISO") (pinfunction "PA6") (tstamp 3ba5d895-603b-4af3-8afc-b146d1100273))
+ (pad "17" smd roundrect (at -0.75 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 58 "/MOSI") (pinfunction "PA7") (tstamp 2416ecbd-5057-4c4c-9906-104f7ed1e8c7))
+ (pad "18" smd roundrect (at -0.25 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 35 "/mesh_vert1up_1r") (pinfunction "PB0") (tstamp 26b0591d-2946-4289-8307-bd74a67a065a))
+ (pad "19" smd roundrect (at 0.25 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 36 "/mesh_vert1up_0r") (pinfunction "PB1") (tstamp a7129ace-ebbc-406a-b536-0e3b53123c58))
+ (pad "20" smd roundrect (at 0.75 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 28 "/mesh_bot_1r") (pinfunction "PB2") (tstamp da62f5b0-898a-4817-a402-103ba0372fcc))
+ (pad "21" smd roundrect (at 1.25 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 39 "/mesh_vert1dn_1r") (pinfunction "PB10") (tstamp c9307cc1-187b-4204-aa79-1cd893528dcb))
+ (pad "22" smd roundrect (at 1.75 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 40 "/mesh_vert1dn_0r") (pinfunction "PB11") (tstamp 00a8a565-298a-4a6e-af97-2b900e81ac21))
+ (pad "23" smd roundrect (at 2.25 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "VSS") (tstamp 957609c0-3d52-47fd-a383-094923f7409a))
+ (pad "24" smd roundrect (at 2.75 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "VDD") (tstamp 8b0a7695-408f-4142-816f-17a58e643c8c))
+ (pad "25" smd roundrect (at 4.1625 2.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 43 "/mesh_vert2up_1r") (pinfunction "PB12") (tstamp 393299f0-5373-4042-b812-624e4b605432))
+ (pad "26" smd roundrect (at 4.1625 2.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 44 "/mesh_vert2up_0r") (pinfunction "PB13") (tstamp 0d579d6b-33a8-469d-b99b-7c9fc6116636))
+ (pad "27" smd roundrect (at 4.1625 1.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 47 "/mesh_vert2dn_1r") (pinfunction "PB14") (tstamp 456898ba-00fd-426c-826b-ec1e8b934b2f))
+ (pad "28" smd roundrect (at 4.1625 1.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 48 "/mesh_vert2dn_0r") (pinfunction "PB15") (tstamp 2a185d63-cb9d-47e9-86d8-39873dd44838))
+ (pad "29" smd roundrect (at 4.1625 0.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 57 "/DBG_SENSE") (pinfunction "PA8") (tstamp 6a0856ae-6486-407a-b8c3-5074514dd01a))
+ (pad "30" smd roundrect (at 4.1625 0.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 53 "/LED_TX") (pinfunction "PA9") (tstamp 8f4f2324-00d2-4458-92d8-449d61f3f22e))
+ (pad "31" smd roundrect (at 4.1625 -0.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 72 "/~AIS_CS2") (pinfunction "PA10") (tstamp 67957e28-2c36-4107-af50-9ef23ba30e6b))
+ (pad "32" smd roundrect (at 4.1625 -0.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 73 "/~AIS_CS3") (pinfunction "PA11") (tstamp cd376af5-7190-48a9-9b2e-6b1bd3919c17))
+ (pad "33" smd roundrect (at 4.1625 -1.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 74 "/~AIS_CS4") (pinfunction "PA12") (tstamp 5c8cb271-cb52-4b14-b68e-1b3cb24658eb))
+ (pad "34" smd roundrect (at 4.1625 -1.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 50 "/SWDIO") (pinfunction "PA13") (tstamp 737fda60-7d9b-4487-8a27-745366247a1e))
+ (pad "35" smd roundrect (at 4.1625 -2.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 56 "Net-(U1-Pad35)") (pinfunction "PF6") (tstamp 30f7ff74-7996-407d-8250-ce079905a52a))
+ (pad "36" smd roundrect (at 4.1625 -2.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 55 "Net-(U1-Pad36)") (pinfunction "PF7") (tstamp 8f7243df-33be-4ad1-b3a5-92e106f41f52))
+ (pad "37" smd roundrect (at 2.75 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 49 "/SWCLK") (pinfunction "PA14") (tstamp 30565ac3-b51d-4e10-a8f4-a23861e48e01))
+ (pad "38" smd roundrect (at 2.25 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 71 "/~AIS_CS1") (pinfunction "PA15") (tstamp 3f4c4ff0-dc46-450a-8847-86a0ac181848))
+ (pad "39" smd roundrect (at 1.75 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 27 "/mesh_bot_0r") (pinfunction "PB3") (tstamp b7d0c0ac-0549-4f64-a470-7cb3b47c7588))
+ (pad "40" smd roundrect (at 1.25 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 13 "/mesh_vert0up_1r") (pinfunction "PB4") (tstamp df7d84d0-8020-4f6b-a156-cf271ebce614))
+ (pad "41" smd roundrect (at 0.75 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 14 "/mesh_vert0up_0r") (pinfunction "PB5") (tstamp 5748dc5e-5969-4d90-bcd2-934e53dc2039))
+ (pad "42" smd roundrect (at 0.25 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 25 "/mesh_vert0dn_1r") (pinfunction "PB6") (tstamp d3fc72da-38aa-4ec0-b671-0873e919fa23))
+ (pad "43" smd roundrect (at -0.25 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 26 "/mesh_vert0dn_0r") (pinfunction "PB7") (tstamp 1cf20b69-8022-4084-a490-94d1d7e41894))
+ (pad "44" smd roundrect (at -0.75 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "BOOT0") (tstamp 15e43b8f-3678-4fa4-bdcf-89d79d96c4c2))
+ (pad "45" smd roundrect (at -1.25 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 16 "/mesh_top_1r") (pinfunction "PB8") (tstamp 05a7819d-7d26-4b61-8c67-339b0cad7510))
+ (pad "46" smd roundrect (at -1.75 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 15 "/mesh_top_0r") (pinfunction "PB9") (tstamp 91d81600-70d3-418c-baf5-7dcff052a5f5))
+ (pad "47" smd roundrect (at -2.25 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "VSS") (tstamp 0f6dbd89-f779-4030-b058-59badb5c48a0))
+ (pad "48" smd roundrect (at -2.75 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "VDD") (tstamp f8dbd6ce-b87d-4611-886b-cc3f65d62b82))
+ (model "${KISYS3DMOD}/Package_QFP.3dshapes/LQFP-48_7x7mm_P0.5mm.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "LED_SMD:LED_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp a8cc247e-cd01-4975-8365-6fea3bb106cf)
+ (at 170 100)
+ (descr "LED SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "diode")
+ (property "Reichelt" "IR 19-21C EVL ")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/c449f477-927c-45a2-98b1-d6c7869bcee3")
+ (attr smd)
+ (fp_text reference "D4" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1766112b-ff5c-4346-89cc-1d9333c4d7ca)
+ )
+ (fp_text value "IR" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 41fea7d4-b77b-4d58-ac3b-7897012d6870)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 90583ea8-b443-46fd-9c84-fe887021b8cb)
+ )
+ (fp_line (start -1.485 0.735) (end 0.8 0.735) (layer "F.SilkS") (width 0.12) (tstamp 1337314c-7145-4807-b10a-b2ca16f43007))
+ (fp_line (start -1.485 -0.735) (end -1.485 0.735) (layer "F.SilkS") (width 0.12) (tstamp dfb761b5-4338-49a0-8f12-1df39673fafc))
+ (fp_line (start 0.8 -0.735) (end -1.485 -0.735) (layer "F.SilkS") (width 0.12) (tstamp f7fcba38-562e-415c-8977-5c80efbefbf3))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 11a75a8a-750f-49dc-9483-6aef33ee0264))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 553f9469-ce3b-4cbe-bb23-a5143d3540b9))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c5b7dff1-177b-4eff-bd4d-8c95cefdbded))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp faba1283-55e7-47fb-8009-c15dbedd6912))
+ (fp_line (start 0.8 -0.4) (end -0.5 -0.4) (layer "F.Fab") (width 0.1) (tstamp 157f5c37-870b-4ecd-a15a-2e1bd411cc18))
+ (fp_line (start -0.5 -0.4) (end -0.8 -0.1) (layer "F.Fab") (width 0.1) (tstamp 481de24b-68e5-4f18-9ad0-3f0d9cdaff6f))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 86c04791-6260-4ed9-a904-c1f3458d5509))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 96e21e12-9716-46da-b6a1-74b7d3690380))
+ (fp_line (start -0.8 -0.1) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ad40c8f2-d14a-47bf-8c77-dd6a9cad0b2e))
+ (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 75 "Net-(D1-Pad1)") (pinfunction "K") (tstamp d84479b2-5fac-4009-9248-7c23e7b2822c))
+ (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 8 "Net-(D4-Pad2)") (pinfunction "A") (tstamp 0b8aa6cf-075b-4709-b8e8-0ed0d5e9c9f9))
+ (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp aaeb9d00-8568-4bbb-9bf8-03b89ddd3a08)
+ (at 170 97.5)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/82321ef4-7274-4e07-9337-1f70657582c5")
+ (attr smd)
+ (fp_text reference "R21" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 771113fe-615a-49ba-b583-cb0bcc739a6a)
+ )
+ (fp_text value "68" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 18b85bbf-a083-46a7-94e9-386b6c91a926)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 495036d0-c142-4cfa-9a96-da45c07cce71)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp e84774f6-bca2-4d58-9a11-9d8ac6271a07))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp f19571e4-7981-4ea2-92df-c91700a9245a))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7d293f83-a8a2-428f-9495-3bf249e3b0bb))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp aa67509e-c358-428f-bef4-2c6e5329b0f2))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ee6d5c30-459b-4e0d-a198-e08dac027c6d))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp f41a96ee-b9ed-4ce1-8050-867d7c4e9719))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0fa86c72-af62-459b-9313-1e1f88ed2c08))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 334a54a6-c36c-4593-b547-48982f2eb86c))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 3a443232-459a-45ee-8463-f230f5f19f56))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8c22e819-ff5b-437a-b2ed-b2123d7ddbd2))
+ (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 1cc20e6a-bfce-4466-be9f-a0e55565abf7))
+ (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 8 "Net-(D4-Pad2)") (tstamp d2348e30-616c-4497-8908-56ac888930ce))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp abef4b64-6f13-410f-a8a1-6b83fdfbf8ba)
+ (at 114.5 61.5 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/37982514-5894-4cd0-9765-db1c306abdea")
+ (attr smd)
+ (fp_text reference "R9" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp a9cf4936-6291-4663-a35e-25e25681bbf3)
+ )
+ (fp_text value "0" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp fb0862d1-cbea-449c-b17c-2d8a0df930b2)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 4592f95d-2c18-4860-b9f9-bcff6c7a5cd6)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 4540c894-60a3-4d7f-8f52-60e4331061fc))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp dd483eaf-5d1f-4739-8eee-c8e95cc47c83))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 44004479-26af-43c4-bef2-7aa9cecd436b))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 70983a05-433a-4085-b7dd-7b25f5b1edf7))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9b8a0770-c36a-4f10-bd2f-9b2327785ebb))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp fc03ed71-3633-46a4-bbca-d3f017428bcc))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 49667a54-bbfe-4a54-8662-c043f4af8f23))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 4e7c2ecd-7eec-45c6-b290-89c1273868d9))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 60b00512-78e4-405d-9b52-78bdedc00f3f))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b6594d76-3cbc-463e-8235-50f9b309f00b))
+ (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 51 "/mesh_global_stim_0s") (tstamp 2a688d4b-8389-4359-ac3e-97b21955669f))
+ (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 33 "/mesh_vert1up_0s") (tstamp 856e8f72-4ba6-4065-8d45-8bcd049b8667))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp ac9c5b24-19fb-4a75-80e5-b8f0e4807822)
+ (at 148.75 69.75 -90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "X5R-G0603 10/6")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/6e7be9cf-17cb-404c-8d19-c7182635a915")
+ (attr smd)
+ (fp_text reference "C2" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 5d575445-87e5-45fb-8690-a4c1e7e68af6)
+ )
+ (fp_text value "10u" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 95d4efb2-44b4-476e-b88d-2f90f2b3e379)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp c6e58d53-eac0-4bc6-ba68-18066766f083)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 6e9993b0-e7fa-42ba-bcff-e20244372944))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp a8e3630e-1515-4446-be0d-7cd90793ddd5))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0ec5ed64-7c07-4352-aede-c04550cef0de))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0eea0080-ed2e-4fd9-8d03-67437a035620))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9c3246d9-132a-41fc-a3f8-b51ac1294370))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c3db10bd-ff52-40f9-9ca4-10728b910702))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 129a5675-fd89-41f2-9c32-f08a3ef8bdc3))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 22fb2754-5b0f-4622-b253-87e327cff1b8))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 35d758ca-f764-401d-a1e5-9dcfbdee901e))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f82ad205-7d77-4fdd-afd5-c06d177d08d2))
+ (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp f56cd1ab-d437-4ffb-a109-750bd3282994))
+ (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 322163f9-a20b-4cf0-aba9-56334dbdb3f3))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp acc7349b-a85b-4ee8-9cb0-c2eff8d07026)
+ (at 110 61.5 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/0992d604-7add-4da6-8dde-e1ab5f4abc55")
+ (attr smd)
+ (fp_text reference "R10" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp bd718a4b-1500-467c-bae9-eaa56a29eb7f)
+ )
+ (fp_text value "0" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp c47040d1-a883-4c18-8061-4cf5086aebb8)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 3105139c-8531-40d1-92b5-abb67ad3150c)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 1aa7829a-23ad-4e27-845a-f1d856b65d91))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 776b2437-b6e0-45f3-98e0-afef2344a883))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 298c0ce8-9e8a-4737-bbd3-ee06a83d5948))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7ae857ff-25bf-47fd-bd87-3481a3795167))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8956c169-c010-4d16-b6d7-7f72941083f7))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a8e4c50b-b7bd-41ae-9940-dcbcc398398c))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 3afa1f75-3ad8-46d6-98fb-606a67e97b5b))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 71e2eb59-acd9-4984-b41d-865ff6e0a5e0))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8c1df7ce-7fb9-4abd-b5b3-8f64f537c669))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp e2a61006-6c6c-44bf-916a-302908cae898))
+ (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 52 "/mesh_global_stim_1s") (tstamp f2ac1745-871e-4b38-824b-bde0e8fefa0f))
+ (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 34 "/mesh_vert1up_1s") (tstamp de13a887-1851-4323-b9f2-5860dd4551ab))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm" (layer "F.Cu") (tedit 5A0FD1B2) (tstamp ae275ec3-2a19-43ad-a2d6-427e0d5c823a)
+ (at 135.25 118.5 -90)
+ (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package")
+ (tags "SMD SMT crystal")
+ (property "Mfg" "Euroquartz")
+ (property "Mfg Pn" "12.000MHZ MT -40+85 12PF")
+ (property "Reichelt" "12,000000-MT")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/7a26cb4e-c091-48af-a51d-337dfcc737dc")
+ (attr smd)
+ (fp_text reference "Y1" (at -2.45 0) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 59184444-a308-4e88-a8d7-9e9835b62521)
+ )
+ (fp_text value "12MHz" (at 0 2.45 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 0d84eaa3-5a93-445d-b7e5-f249387d4819)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab")
+ (effects (font (size 0.7 0.7) (thickness 0.105)))
+ (tstamp 9e7f1fbd-0e56-413e-b4a0-4a63d05e97cc)
+ )
+ (fp_line (start -2 -1.65) (end -2 1.65) (layer "F.SilkS") (width 0.12) (tstamp 24a5f0c4-11dc-4b6e-a4f2-cc8b486966dd))
+ (fp_line (start -2 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12) (tstamp 2b4b9ec9-3387-46e9-abf8-0ae7f2fa4254))
+ (fp_line (start 2.1 1.7) (end 2.1 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp 254c6503-6ba5-403e-8187-1feb8d2d3858))
+ (fp_line (start -2.1 1.7) (end 2.1 1.7) (layer "F.CrtYd") (width 0.05) (tstamp 670b2e7e-da0f-4f26-a3aa-63d87f72fa68))
+ (fp_line (start -2.1 -1.7) (end -2.1 1.7) (layer "F.CrtYd") (width 0.05) (tstamp 88a622ca-beed-4926-982f-3e0a291d1426))
+ (fp_line (start 2.1 -1.7) (end -2.1 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp a193b97b-519b-4033-b7d3-c86427cce397))
+ (fp_line (start -1.6 0.25) (end -0.6 1.25) (layer "F.Fab") (width 0.1) (tstamp 0b917caa-5e8b-478b-820e-50050ba49993))
+ (fp_line (start 1.6 1.25) (end 1.6 -1.25) (layer "F.Fab") (width 0.1) (tstamp 110e4687-ff99-4c55-bcda-dded9878436e))
+ (fp_line (start 1.6 -1.25) (end -1.6 -1.25) (layer "F.Fab") (width 0.1) (tstamp 27daa1e9-6eec-4f10-b257-9af27ede754a))
+ (fp_line (start -1.6 -1.25) (end -1.6 1.25) (layer "F.Fab") (width 0.1) (tstamp 3d403066-4163-4342-ae5d-6bef192c4f71))
+ (fp_line (start -1.6 1.25) (end 1.6 1.25) (layer "F.Fab") (width 0.1) (tstamp a57d09bc-adf5-406a-a64c-df4e4ae81767))
+ (pad "1" smd rect (at -1.1 0.85 270) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 63 "/XT_HSE_B") (pinfunction "1") (tstamp 48cb1662-0007-4df4-b1d1-02d362330dd3))
+ (pad "2" smd rect (at 1.1 0.85 270) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "GND") (pinfunction "2") (tstamp 741b6911-e66a-4c7a-884c-b0359b1c8012))
+ (pad "3" smd rect (at 1.1 -0.85 270) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 64 "/XT_HSE_A") (pinfunction "3") (tstamp 15731c09-73f9-48b5-8840-372836212a89))
+ (pad "4" smd rect (at -1.1 -0.85 270) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "GND") (pinfunction "4") (tstamp 79e14c72-1622-4efd-a318-6ad899907351))
+ (model "${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Crystal:Crystal_SMD_3215-2Pin_3.2x1.5mm" (layer "F.Cu") (tedit 5A0FD1B2) (tstamp b16f5bfe-2d9b-479c-8791-be1e5db720d4)
+ (at 139 118.5 90)
+ (descr "SMD Crystal FC-135 https://support.epson.biz/td/api/doc_check.php?dl=brief_FC-135R_en.pdf")
+ (tags "SMD SMT Crystal")
+ (property "Mfg" "Geyer")
+ (property "Mfg Pn" "KX-327NHT 7 CRYSTAL 32,768")
+ (property "Reichelt" "GEY KX-327NHT 7")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/a4328b08-f42c-47da-b256-259cad1e9e1e")
+ (attr smd)
+ (fp_text reference "Y2" (at 0 -2 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 6ce393ac-b09a-4a8d-833a-a00c9b6f35fe)
+ )
+ (fp_text value "32.768kHz" (at 0 2 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 88a4d78e-1492-445f-b535-7f03af5f00c9)
+ )
+ (fp_text user "${REFERENCE}" (at 0 -2 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 11618e35-e7f1-4e6c-8d02-e7f725f1f949)
+ )
+ (fp_line (start -0.675 -0.875) (end 0.675 -0.875) (layer "F.SilkS") (width 0.12) (tstamp b3fb271b-cace-4abf-b307-8048d5b6c2d6))
+ (fp_line (start -0.675 0.875) (end 0.675 0.875) (layer "F.SilkS") (width 0.12) (tstamp c251f856-862a-498f-b7a6-7d2620c335c7))
+ (fp_line (start -2 1.15) (end 2 1.15) (layer "F.CrtYd") (width 0.05) (tstamp 0be25b4d-23a2-459d-8ec1-77f52f7e230f))
+ (fp_line (start -2 -1.15) (end -2 1.15) (layer "F.CrtYd") (width 0.05) (tstamp 1adfcf41-d2ce-4236-9cd8-047fc6494aa4))
+ (fp_line (start -2 -1.15) (end 2 -1.15) (layer "F.CrtYd") (width 0.05) (tstamp 8b1fe2cb-c4e6-4f0c-a4ea-deef9b9a61bc))
+ (fp_line (start 2 -1.15) (end 2 1.15) (layer "F.CrtYd") (width 0.05) (tstamp b7565899-b4d7-4307-8b53-45f5de4e4eea))
+ (fp_line (start -1.6 0.75) (end 1.6 0.75) (layer "F.Fab") (width 0.1) (tstamp 41ed78fe-8a3d-49f6-9ff1-9fa64b787c4b))
+ (fp_line (start 1.6 -0.75) (end 1.6 0.75) (layer "F.Fab") (width 0.1) (tstamp 5679e415-84a6-4fd9-b6a8-0333959b67f4))
+ (fp_line (start -1.6 -0.75) (end 1.6 -0.75) (layer "F.Fab") (width 0.1) (tstamp 5f27b13a-ac07-422e-8713-6478c58bb0d0))
+ (fp_line (start -1.6 -0.75) (end -1.6 0.75) (layer "F.Fab") (width 0.1) (tstamp fc58805d-f1d8-4aee-8dc9-d476de0ad7fd))
+ (pad "1" smd rect (at 1.25 0 90) (size 1 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 65 "/XT_LSE_B") (pinfunction "1") (tstamp ddbed32e-39cd-4006-a5e5-2734717f7dc6))
+ (pad "2" smd rect (at -1.25 0 90) (size 1 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 66 "/XT_LSE_A") (pinfunction "2") (tstamp 89073c50-0317-415f-a959-2277e1a5802b))
+ (model "${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_3215-2Pin_3.2x1.5mm.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal" (layer "F.Cu") (tedit 59FED5CB) (tstamp b182f2df-c458-4002-80e0-0bf216aa3816)
+ (at 132.5 113.5 -150)
+ (descr "Through hole angled pin header, 1x04, 2.54mm pitch, 6mm pin length, single row")
+ (tags "Through hole angled pin header THT 1x04 2.54mm single row")
+ (property "DNP" "DNP")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/325a0431-6154-47df-ad87-4915d131eb4d")
+ (attr through_hole)
+ (fp_text reference "J4" (at 4.385 -2.27 30) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 624f9aba-dd16-4dd1-b16b-2c37276c3753)
+ )
+ (fp_text value "Conn_01x04" (at 4.385 9.89 30) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1aa7ecf1-3336-4783-947f-1bddd73b16b9)
+ )
+ (fp_text user "${REFERENCE}" (at 2.77 3.81 120) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 5ec3f740-7e3b-4d81-becc-e7cd8c465882)
+ )
+ (fp_line (start 4.1 0.16) (end 10.1 0.16) (layer "F.SilkS") (width 0.12) (tstamp 075cff00-0940-4c78-b35e-707dd109b421))
+ (fp_line (start 1.042929 2.92) (end 1.44 2.92) (layer "F.SilkS") (width 0.12) (tstamp 0c274b2b-6735-4d59-987a-427be73e1c53))
+ (fp_line (start 4.1 7.24) (end 10.1 7.24) (layer "F.SilkS") (width 0.12) (tstamp 15453390-1601-4717-b2aa-51e52557dbc4))
+ (fp_line (start 10.1 7.24) (end 10.1 8) (layer "F.SilkS") (width 0.12) (tstamp 170ffb61-9656-4686-97fd-2a67a1eef626))
+ (fp_line (start -1.27 0) (end -1.27 -1.27) (layer "F.SilkS") (width 0.12) (tstamp 1ec7c237-ea2a-4669-a4b1-940d62ce4f5a))
+ (fp_line (start 1.44 8.95) (end 4.1 8.95) (layer "F.SilkS") (width 0.12) (tstamp 2c614f9d-66e2-4a67-b70a-ba9a539037b6))
+ (fp_line (start 4.1 -0.38) (end 10.1 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 2dbfe5cb-06c5-4a63-9b9f-7afea1d16c4c))
+ (fp_line (start 1.44 -1.33) (end 1.44 8.95) (layer "F.SilkS") (width 0.12) (tstamp 357f1c54-6fc8-45f1-99e9-839400e29154))
+ (fp_line (start 1.11 -0.38) (end 1.44 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 3bd83c4a-0bc6-4aae-90b3-de7d7987f447))
+ (fp_line (start 10.1 8) (end 4.1 8) (layer "F.SilkS") (width 0.12) (tstamp 3d25eac0-f06e-4cd5-b00d-ca38df821204))
+ (fp_line (start 10.1 4.7) (end 10.1 5.46) (layer "F.SilkS") (width 0.12) (tstamp 3f1e1094-7b4a-4c5b-b7da-1c307bb27543))
+ (fp_line (start 4.1 0.28) (end 10.1 0.28) (layer "F.SilkS") (width 0.12) (tstamp 4c880441-30cb-4235-bc3a-fd5f20492d2c))
+ (fp_line (start 1.44 3.81) (end 4.1 3.81) (layer "F.SilkS") (width 0.12) (tstamp 4dd3c060-22ef-45d6-a8f3-ae76278aa409))
+ (fp_line (start 4.1 -0.08) (end 10.1 -0.08) (layer "F.SilkS") (width 0.12) (tstamp 5541fc85-b9fb-4843-9da3-fb21966210e0))
+ (fp_line (start 10.1 0.38) (end 4.1 0.38) (layer "F.SilkS") (width 0.12) (tstamp 581547ae-aa08-41af-8827-ac1f5cb27327))
+ (fp_line (start 1.042929 4.7) (end 1.44 4.7) (layer "F.SilkS") (width 0.12) (tstamp 6313ae38-4043-417e-814d-399d08d16855))
+ (fp_line (start 1.44 1.27) (end 4.1 1.27) (layer "F.SilkS") (width 0.12) (tstamp 639ddaaf-1b2d-49f2-b998-ee63f2e706f2))
+ (fp_line (start -1.27 -1.27) (end 0 -1.27) (layer "F.SilkS") (width 0.12) (tstamp 64ca5698-ea9b-4ce7-894a-0e950d622ab3))
+ (fp_line (start 4.1 4.7) (end 10.1 4.7) (layer "F.SilkS") (width 0.12) (tstamp 779aa81a-3260-4a6f-b6cb-64f06ae66198))
+ (fp_line (start 4.1 2.16) (end 10.1 2.16) (layer "F.SilkS") (width 0.12) (tstamp 808a2bf7-3617-47d5-b3bd-5ade820a2e06))
+ (fp_line (start 10.1 -0.38) (end 10.1 0.38) (layer "F.SilkS") (width 0.12) (tstamp 87e42fba-d52a-4b55-8d5d-f6fde965308c))
+ (fp_line (start 10.1 5.46) (end 4.1 5.46) (layer "F.SilkS") (width 0.12) (tstamp 989cabe7-e1d3-4adb-b8a4-e489fcf82d3d))
+ (fp_line (start 1.44 6.35) (end 4.1 6.35) (layer "F.SilkS") (width 0.12) (tstamp a467bead-29d3-4cdb-a29c-104503167f81))
+ (fp_line (start 4.1 -1.33) (end 1.44 -1.33) (layer "F.SilkS") (width 0.12) (tstamp a5148ebb-c79d-4e93-86a4-a365580eace0))
+ (fp_line (start 1.042929 2.16) (end 1.44 2.16) (layer "F.SilkS") (width 0.12) (tstamp aae59509-bb2d-4502-bdc7-76399306be9f))
+ (fp_line (start 4.1 0.04) (end 10.1 0.04) (layer "F.SilkS") (width 0.12) (tstamp ad1125eb-6590-4cd3-86fd-c3b5c0aea06d))
+ (fp_line (start 1.11 0.38) (end 1.44 0.38) (layer "F.SilkS") (width 0.12) (tstamp b04f5a66-586d-4632-879e-8f757ef8f308))
+ (fp_line (start 4.1 8.95) (end 4.1 -1.33) (layer "F.SilkS") (width 0.12) (tstamp b6cc83be-8525-4e0d-83fe-028498ad0bc6))
+ (fp_line (start 1.042929 5.46) (end 1.44 5.46) (layer "F.SilkS") (width 0.12) (tstamp bac37c43-70d3-4ce9-a750-18cec837935f))
+ (fp_line (start 10.1 2.16) (end 10.1 2.92) (layer "F.SilkS") (width 0.12) (tstamp d1aad4d9-f1f6-4f54-8f81-800f147d1a19))
+ (fp_line (start 10.1 2.92) (end 4.1 2.92) (layer "F.SilkS") (width 0.12) (tstamp dcd42df4-b040-4861-b036-647cfd645049))
+ (fp_line (start 1.042929 8) (end 1.44 8) (layer "F.SilkS") (width 0.12) (tstamp de1db3ff-4d00-463e-a8c0-008b66e1c7e7))
+ (fp_line (start 4.1 -0.32) (end 10.1 -0.32) (layer "F.SilkS") (width 0.12) (tstamp e73c46f0-4cf2-41c9-a4dd-811cb9a5c6b8))
+ (fp_line (start 4.1 -0.2) (end 10.1 -0.2) (layer "F.SilkS") (width 0.12) (tstamp f5e2ae83-d842-4085-b57b-2645e9f6b874))
+ (fp_line (start 1.042929 7.24) (end 1.44 7.24) (layer "F.SilkS") (width 0.12) (tstamp f7736bb5-8edc-45f4-9911-c5e18154d9c9))
+ (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer "F.CrtYd") (width 0.05) (tstamp 44be6512-fecb-4ee1-bdb9-917aa82db5c2))
+ (fp_line (start 10.55 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 44c32a9b-1181-4929-bc32-91e89ed2258a))
+ (fp_line (start -1.8 9.4) (end 10.55 9.4) (layer "F.CrtYd") (width 0.05) (tstamp 6a66025f-44dd-4c5c-b45c-d2df751b6c11))
+ (fp_line (start 10.55 9.4) (end 10.55 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 8850faf6-b781-4968-8373-b808f0ff51b5))
+ (fp_line (start 4.04 0.32) (end 10.04 0.32) (layer "F.Fab") (width 0.1) (tstamp 018e5f32-1a01-4994-b198-9adda9d53ada))
+ (fp_line (start 2.135 -1.27) (end 4.04 -1.27) (layer "F.Fab") (width 0.1) (tstamp 0372826d-b1be-44e0-95ab-0a3de42f0a5c))
+ (fp_line (start -0.32 7.94) (end 1.5 7.94) (layer "F.Fab") (width 0.1) (tstamp 0a3d699f-0a46-4780-956c-73219c834fae))
+ (fp_line (start -0.32 2.86) (end 1.5 2.86) (layer "F.Fab") (width 0.1) (tstamp 18b631c9-b8c1-4acd-8214-bb9a6e24e68e))
+ (fp_line (start 10.04 -0.32) (end 10.04 0.32) (layer "F.Fab") (width 0.1) (tstamp 1d29ea11-de5d-46d9-b0a6-049960c308d2))
+ (fp_line (start 4.04 7.3) (end 10.04 7.3) (layer "F.Fab") (width 0.1) (tstamp 2c463707-73f1-459e-895d-46846182af0c))
+ (fp_line (start -0.32 4.76) (end 1.5 4.76) (layer "F.Fab") (width 0.1) (tstamp 2e847d02-b483-473a-b5c3-e6b01b572f4f))
+ (fp_line (start 1.5 8.89) (end 1.5 -0.635) (layer "F.Fab") (width 0.1) (tstamp 2fa686ba-9b99-4553-9665-e7c0eba3ee7e))
+ (fp_line (start 4.04 -0.32) (end 10.04 -0.32) (layer "F.Fab") (width 0.1) (tstamp 40ce6a7f-4cb3-48d3-8de2-cbfc422fc56e))
+ (fp_line (start -0.32 -0.32) (end 1.5 -0.32) (layer "F.Fab") (width 0.1) (tstamp 4919a47f-cfed-41e9-ab23-bf3cc0306a45))
+ (fp_line (start 4.04 7.94) (end 10.04 7.94) (layer "F.Fab") (width 0.1) (tstamp 52778d3d-d8ae-40ff-9f57-ccb724fa8462))
+ (fp_line (start -0.32 2.22) (end -0.32 2.86) (layer "F.Fab") (width 0.1) (tstamp 593d1035-e6ba-40fa-b76a-a649e3f9897f))
+ (fp_line (start -0.32 7.3) (end -0.32 7.94) (layer "F.Fab") (width 0.1) (tstamp 70b42e25-4b77-46fa-8c1f-4f682bbc1718))
+ (fp_line (start 10.04 2.22) (end 10.04 2.86) (layer "F.Fab") (width 0.1) (tstamp 77f44f31-b6d3-4923-bcf4-508e74ce62d4))
+ (fp_line (start 4.04 2.22) (end 10.04 2.22) (layer "F.Fab") (width 0.1) (tstamp 7dcc1d01-ee81-4f7f-bea7-061d21ea522b))
+ (fp_line (start -0.32 0.32) (end 1.5 0.32) (layer "F.Fab") (width 0.1) (tstamp 8ad0ffe6-c743-4582-b54a-63b7b2e83b4a))
+ (fp_line (start 10.04 4.76) (end 10.04 5.4) (layer "F.Fab") (width 0.1) (tstamp 8e849e9f-a409-462c-b492-4b4b609f6d55))
+ (fp_line (start -0.32 -0.32) (end -0.32 0.32) (layer "F.Fab") (width 0.1) (tstamp 93cb8cb7-c301-46bb-badf-6de7f345c53b))
+ (fp_line (start 4.04 2.86) (end 10.04 2.86) (layer "F.Fab") (width 0.1) (tstamp 9f6f55a8-ce0f-4fae-a1f7-3ba74b372d2c))
+ (fp_line (start -0.32 5.4) (end 1.5 5.4) (layer "F.Fab") (width 0.1) (tstamp 9f811654-4440-4659-a893-176e050929a9))
+ (fp_line (start 4.04 5.4) (end 10.04 5.4) (layer "F.Fab") (width 0.1) (tstamp a8b40707-2947-4a12-b5f7-f4e020f7594b))
+ (fp_line (start 10.04 7.3) (end 10.04 7.94) (layer "F.Fab") (width 0.1) (tstamp ae703ec6-bfee-4073-b603-cf47beb704bf))
+ (fp_line (start -0.32 2.22) (end 1.5 2.22) (layer "F.Fab") (width 0.1) (tstamp b099445f-bc28-4326-b600-cef85b7dddc0))
+ (fp_line (start 4.04 4.76) (end 10.04 4.76) (layer "F.Fab") (width 0.1) (tstamp b3289cda-43e0-4c47-a20b-313a9ba6a3bc))
+ (fp_line (start 1.5 -0.635) (end 2.135 -1.27) (layer "F.Fab") (width 0.1) (tstamp bd1394ba-1957-4c54-9343-6dc200003166))
+ (fp_line (start -0.32 7.3) (end 1.5 7.3) (layer "F.Fab") (width 0.1) (tstamp c3a6d2e1-d30e-43f8-bd99-45a9ecc68cff))
+ (fp_line (start 4.04 8.89) (end 1.5 8.89) (layer "F.Fab") (width 0.1) (tstamp d5a57a89-914d-468a-85cc-09dc86e3a880))
+ (fp_line (start -0.32 4.76) (end -0.32 5.4) (layer "F.Fab") (width 0.1) (tstamp de748c6d-b129-47c2-9aa9-c0e21bcd239b))
+ (fp_line (start 4.04 -1.27) (end 4.04 8.89) (layer "F.Fab") (width 0.1) (tstamp f895646f-5a83-4003-81c9-40d496e332ed))
+ (pad "1" thru_hole rect (at 0 0 210) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (remove_unused_layers) (keep_end_layers)
+ (net 1 "GND") (pinfunction "Pin_1") (tstamp d43731f2-0469-4649-817f-4d3e9d122938))
+ (pad "2" thru_hole oval (at 0 2.54 210) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (remove_unused_layers) (keep_end_layers)
+ (net 2 "+3V3") (pinfunction "Pin_2") (tstamp cae6daf4-5a51-4e93-8f44-424c8d5fc64c))
+ (pad "3" thru_hole oval (at 0 5.08 210) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (remove_unused_layers) (keep_end_layers)
+ (net 50 "/SWDIO") (pinfunction "Pin_3") (tstamp 4fa66010-f51c-4692-b684-3af9cc9132e2))
+ (pad "4" thru_hole oval (at 0 7.62 210) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 49 "/SWCLK") (pinfunction "Pin_4") (tstamp f2769a2f-c344-4c83-8e2d-b35f0b981929))
+ (model "${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Horizontal.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp b3baa241-3004-4545-b04b-219c18727265)
+ (at 143 122.5)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "X5R-G0603 10/6")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/1c9840ce-6409-4cc8-a58d-7d82a2b3cad5")
+ (attr smd)
+ (fp_text reference "C9" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 6196d534-bc6e-48d3-a193-d02c160b7055)
+ )
+ (fp_text value "10u" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp d3d42319-c70a-4126-984e-90063f24d8f3)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp c3797c8d-1cc9-41bf-9c44-0dd6d3b10dd4)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp ba2f3ae4-6f19-41de-a62b-27b36f90e7fb))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp da101f06-d47e-46e3-86c6-05982f5603d7))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5346e8e6-1731-42a8-a959-45b980ff2117))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 57ab91da-de1b-4a8b-882b-44ff7045a800))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5e93ca88-d328-408e-be80-17aa55a80dc7))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7f9b7aed-bf42-4938-a881-386e0fb739f3))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 4ba1d7a2-67f7-43cb-b97f-64d7fb379b93))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c353ab9c-2bf3-4463-a083-b2e82691d7fd))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c6cf3fb3-ccf9-40a3-8fe8-d806bff313d1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d93247ca-c20c-4d9c-ba9b-bc10473aa62a))
+ (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 8662139d-3d75-457a-8e35-4cd1bcc84e5e))
+ (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp e3ef6722-5e74-4c38-80db-c47b41c8a07f))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp b725c0ef-b92e-4fe4-8a54-871f7a058c32)
+ (at 198 85)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/5ebb563b-268f-4766-b12d-abdbe81a1ab6")
+ (attr smd)
+ (fp_text reference "R16" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp e090e72d-0a02-418d-a8fe-38acbef46b9b)
+ )
+ (fp_text value "0" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 8962e1ce-8af6-49cd-847c-04cba49cbf27)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 4598909f-5710-428c-95d1-e543fe01e4de)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 563bbb99-c2a6-4537-8e52-e291d4fe6593))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 729fe16e-87d7-4095-85f3-63306fe17254))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0dd2851e-b5e5-479a-94c3-2d65d2db4df3))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6c539c4d-af9f-4de1-959b-cb533d2eebe4))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 946d6597-0a5e-462a-ac31-25763530a99d))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9c12956e-e467-4143-ae77-d4c45698633d))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 481df796-ffbd-4ffb-8b25-9f2faefc420f))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 7d61695f-9370-4f17-819b-55d3f402110d))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b475fabc-167a-418c-9c4a-80bcc3b827f3))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp bcdfc373-0518-4910-852c-a47484ed23c3))
+ (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 52 "/mesh_global_stim_1s") (tstamp 2af5055d-e4b6-4f29-860a-84954dfe0fca))
+ (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 46 "/mesh_vert2dn_1s") (tstamp dac353f3-3c9d-4598-8041-0dd8141e41d1))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "LED_SMD:LED_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp babc00ab-3af5-40fe-b2f6-da80caabfc45)
+ (at 150 120 90)
+ (descr "LED SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "diode")
+ (property "Reichelt" "IR 19-21C EVL ")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/3d457230-43b5-4d95-9f3f-1b64a89ae67f")
+ (attr smd)
+ (fp_text reference "D3" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 6b27a078-dc1e-4401-b855-33ae8896dd63)
+ )
+ (fp_text value "IR" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp df0c16a4-8cdb-4f15-95bf-06f42004db99)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 94d0a20f-bf0d-4e3c-93a8-a048e9ef3192)
+ )
+ (fp_line (start 0.8 -0.735) (end -1.485 -0.735) (layer "F.SilkS") (width 0.12) (tstamp 3cc10b4d-b390-48fb-b1a7-06983f46c1e8))
+ (fp_line (start -1.485 -0.735) (end -1.485 0.735) (layer "F.SilkS") (width 0.12) (tstamp c9421589-4889-467a-bbc1-a74149759a5e))
+ (fp_line (start -1.485 0.735) (end 0.8 0.735) (layer "F.SilkS") (width 0.12) (tstamp f0e5e4d5-19de-4822-9cb5-49b81f559219))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 00b89b33-e631-46c6-b751-5331464b0eb4))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 522e7c08-8a8a-4db2-a87d-d29d9e2048b9))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 74f750f6-6da5-4622-a6c1-19a7a852648c))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d62e8279-2613-4ada-98de-166fee5f11af))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 7441b611-f233-4c17-ad35-3ee574ffeff1))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 8e46b366-662b-4f0b-9d43-15360e33bdc4))
+ (fp_line (start -0.5 -0.4) (end -0.8 -0.1) (layer "F.Fab") (width 0.1) (tstamp 8f01a82e-f854-4d76-81d8-c54f9cfbd839))
+ (fp_line (start 0.8 -0.4) (end -0.5 -0.4) (layer "F.Fab") (width 0.1) (tstamp a4eca724-3de0-497b-83a1-e7745fb7965e))
+ (fp_line (start -0.8 -0.1) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e8c25a31-6853-4fc5-adc9-52a2c7725c94))
+ (pad "1" smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 75 "Net-(D1-Pad1)") (pinfunction "K") (tstamp ea83fe91-e33d-4542-b73d-c7fbf26f3302))
+ (pad "2" smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 7 "Net-(D3-Pad2)") (pinfunction "A") (tstamp 29cacc39-f4be-4926-ab7c-7b6b7b5ef07e))
+ (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Inductor_SMD:L_1210_3225Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp c8339ea8-8a72-49f1-9f7d-43d15d381695)
+ (at 150 60)
+ (descr "Inductor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "inductor")
+ (property "DNP" "DNP")
+ (property "Reichelt" "L-1210F 47µ")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/090a47a2-5667-4f93-a380-f5b93e548fff")
+ (attr smd)
+ (fp_text reference "L2" (at 0 -2.28) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 8f1c242a-dcf2-4a82-9bc7-9911255e63a2)
+ )
+ (fp_text value "47u" (at 0 2.28) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp d290e0a3-4fcb-49a7-9007-5a4f1df5b9a8)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ (tstamp 3a405968-82e5-4e61-a7d1-6bef98377624)
+ )
+ (fp_line (start -0.602064 -1.36) (end 0.602064 -1.36) (layer "F.SilkS") (width 0.12) (tstamp 26df7d44-08ad-4605-a53a-d386d424c1a2))
+ (fp_line (start -0.602064 1.36) (end 0.602064 1.36) (layer "F.SilkS") (width 0.12) (tstamp 9509035b-9940-48b2-9dc6-a15520caa2d4))
+ (fp_line (start -2.28 -1.58) (end 2.28 -1.58) (layer "F.CrtYd") (width 0.05) (tstamp 45d60a3d-4c7c-492e-8bfe-06f62c89df06))
+ (fp_line (start 2.28 -1.58) (end 2.28 1.58) (layer "F.CrtYd") (width 0.05) (tstamp 51fc7c93-ca00-432e-a165-cf312c4fe0a9))
+ (fp_line (start 2.28 1.58) (end -2.28 1.58) (layer "F.CrtYd") (width 0.05) (tstamp 9446eb59-5648-4e77-adcb-129548d6f60a))
+ (fp_line (start -2.28 1.58) (end -2.28 -1.58) (layer "F.CrtYd") (width 0.05) (tstamp cb2c96d0-f840-47c2-a726-778dd1193e28))
+ (fp_line (start 1.6 1.25) (end -1.6 1.25) (layer "F.Fab") (width 0.1) (tstamp 09ab6c05-a6a7-46c7-b651-81b89ce580fc))
+ (fp_line (start 1.6 -1.25) (end 1.6 1.25) (layer "F.Fab") (width 0.1) (tstamp 76215e21-a126-4aef-a528-826588942e14))
+ (fp_line (start -1.6 1.25) (end -1.6 -1.25) (layer "F.Fab") (width 0.1) (tstamp 80d31a98-76ef-4a97-9edd-48c2c86a3da0))
+ (fp_line (start -1.6 -1.25) (end 1.6 -1.25) (layer "F.Fab") (width 0.1) (tstamp e93fb258-6990-4f81-857a-67da1bb50454))
+ (pad "1" smd roundrect (at -1.4 0) (size 1.25 2.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2)
+ (net 10 "/AC_IN_A") (pinfunction "1") (tstamp 55c38215-a1b9-41f5-9fdd-ea0645ddcbc8))
+ (pad "2" smd roundrect (at 1.4 0) (size 1.25 2.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2)
+ (net 9 "/AC_IN_B") (pinfunction "2") (tstamp b4152a1e-9b51-4662-a118-90725093f4c2))
+ (model "${KISYS3DMOD}/Inductor_SMD.3dshapes/L_1210_3225Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (layer "F.Cu") (tedit 5A02FF57) (tstamp c9cf573e-89a4-4aff-b728-c6e1f6cc290d)
+ (at 154.75 69.5 90)
+ (descr "module CMS SOT223 4 pins")
+ (tags "CMS SOT")
+ (property "Reichelt" "ZLDO1117G33TA")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/e7d762a7-ba4c-414a-9d3b-c4abc1225078")
+ (attr smd)
+ (fp_text reference "U3" (at 0 -4.5 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp cd05084b-fe63-4438-ae7f-2b9ff0e8ef35)
+ )
+ (fp_text value "ZLDO1117G33TA" (at 0 4.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp d3fac92c-fc22-475a-8325-500dcdc46a5e)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ (tstamp ad2b06bf-2365-48c5-a2ad-f19bf301b10f)
+ )
+ (fp_line (start -1.85 3.41) (end 1.91 3.41) (layer "F.SilkS") (width 0.12) (tstamp 7713502d-e4c2-4042-9806-30e17399a99c))
+ (fp_line (start -4.1 -3.41) (end 1.91 -3.41) (layer "F.SilkS") (width 0.12) (tstamp 7b2d3806-dc62-49cf-8e46-c2e67b8ce56d))
+ (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer "F.SilkS") (width 0.12) (tstamp 8201c602-d100-4b89-a247-ddd8595a1424))
+ (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer "F.SilkS") (width 0.12) (tstamp 8fed7930-eefe-4d16-ae46-c4f756eb2816))
+ (fp_line (start 4.4 -3.6) (end -4.4 -3.6) (layer "F.CrtYd") (width 0.05) (tstamp 3c798c67-1066-4558-a0d2-70f61f01e2bf))
+ (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer "F.CrtYd") (width 0.05) (tstamp 53acfcd3-b8f2-44d0-b0c0-838941481523))
+ (fp_line (start -4.4 -3.6) (end -4.4 3.6) (layer "F.CrtYd") (width 0.05) (tstamp 78d6404d-d385-45e3-833e-9cdf95016161))
+ (fp_line (start -4.4 3.6) (end 4.4 3.6) (layer "F.CrtYd") (width 0.05) (tstamp bb6959a6-0f44-4e9a-94d6-ea839bdfe8fe))
+ (fp_line (start -0.85 -3.35) (end 1.85 -3.35) (layer "F.Fab") (width 0.1) (tstamp 028aa6b5-fb73-45e5-b071-6d22c624bdaf))
+ (fp_line (start -1.85 3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1) (tstamp 1449977b-09fe-4328-a6d6-cc80287d2765))
+ (fp_line (start -1.85 -2.35) (end -0.85 -3.35) (layer "F.Fab") (width 0.1) (tstamp 3d261d71-e855-4e40-9198-ee9fac5c265c))
+ (fp_line (start 1.85 -3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1) (tstamp 664fe9d9-e837-479d-a988-e08c5482a354))
+ (fp_line (start -1.85 -2.35) (end -1.85 3.35) (layer "F.Fab") (width 0.1) (tstamp e75a00b6-c45c-4f42-baf4-6405179dbdd3))
+ (pad "1" smd rect (at -3.15 -2.3 90) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "GND") (pinfunction "GND") (tstamp 02b89e16-228c-418a-92f6-c2dc5a2db7a8))
+ (pad "2" smd rect (at 3.15 0 90) (size 2 3.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "+3V3") (pinfunction "VO") (tstamp 179721ca-8b7e-4109-a1fe-144ba3cc3065))
+ (pad "2" smd rect (at -3.15 0 90) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "+3V3") (pinfunction "VO") (tstamp 741785b0-cb73-4b03-80b1-15a6bd2f95b3))
+ (pad "3" smd rect (at -3.15 2.3 90) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 4 "/DC_PREREG") (pinfunction "VI") (tstamp 015f2e9b-5cd0-4d91-8fdc-b57b0180ee74))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp cb7bc3ae-e189-47f7-be23-6e5433116c15)
+ (at 153.75 136.5 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "DNP" "DNP")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/3aa00b8f-6512-40e8-90fe-bdc7a27dbf04")
+ (attr smd)
+ (fp_text reference "R26" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp c9533de0-ca82-4d1d-bef0-75919c96ac94)
+ )
+ (fp_text value "47k" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 61fc4b09-afcb-41ca-976e-c2478ce67450)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 60d27c96-17fb-4e61-bed9-4c2a35e88c8c)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 445baa75-11aa-47db-bd05-4fe233ecabaa))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 569b18e1-efb8-46b9-a38a-b8df2a844c64))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 33da11d7-46fc-411a-8e01-6b8e33000249))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 525fc9d2-8c84-4967-a975-c0e8daf62143))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ceb84a95-7686-4ea8-abf3-d260caace4a7))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp dc369d50-4a95-410e-a9a0-f5b1ea98a19c))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2475ac12-c5c2-4492-84c9-85ce0a2ca861))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 344719a1-b6f1-4dc6-b3c1-522688cf50fc))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8ecaa391-8c56-4f7d-9676-6635152deb57))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c294e769-c3e4-4b22-a457-07343d832077))
+ (pad "1" smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 73 "/~AIS_CS3") (tstamp 70feb41f-3280-46bd-a4b1-95f998a52175))
+ (pad "2" smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 15374b80-2d4b-4677-bd2c-0463352c7ade))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp cee4b2d8-5c82-4676-9410-83888803ee8f)
+ (at 140.8 148.5 -90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/abc05b74-9944-4505-a018-c9874a9bffec")
+ (attr smd)
+ (fp_text reference "R1" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 4abbb810-9b80-40eb-994e-cb8b88768284)
+ )
+ (fp_text value "0" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 89bbbce5-21c4-42fa-8cb5-c5076fb0bba3)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 367da3af-48c1-49fa-9020-84f1e90fd7d4)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 351dcbf0-c814-4779-8f96-c372077144c9))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp e52cedaa-7cca-4034-a4df-e75ad77acc99))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 10db145a-5ac9-47db-b986-3a624a3a052f))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 17ab2b76-ba46-41da-96bb-10dbae54aa6c))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp c98af65e-6ee6-4f23-a509-1deaa685fc07))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ffd0350c-4c3f-4144-8def-f9553353c38c))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 42a84c28-8752-4a15-ba41-02f5db84965f))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 962c2120-6db3-43c1-8834-90499ce76ad6))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp caa37473-126f-4719-8171-12ef760a79d7))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f410c5ab-4ae9-4f9a-aee4-840d92f5d458))
+ (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 51 "/mesh_global_stim_0s") (tstamp 4a0ed9b1-f882-4244-8c27-553ffc848bd6))
+ (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 11 "/mesh_vert0up_0s") (tstamp 536d2c12-00f0-4f9e-b184-64f61dcbaa84))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp d04aa407-bc9b-41d4-b5fe-2c59dab4f505)
+ (at 161.5 69 90)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (property "Reichelt" "BAT 64-04 INF")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/06932f1b-f5f1-4ccf-befe-be91a3645bb6")
+ (attr smd)
+ (fp_text reference "D6" (at 0 -2.5 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp eed652eb-e866-488f-9b77-569b2376fdfd)
+ )
+ (fp_text value "BAT64-04" (at 0 2.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1b00dd58-3f22-4ce6-9521-ac2d8605aaf9)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
+ (tstamp 23bb4dcb-70b8-4361-b7f7-652c61b72848)
+ )
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12) (tstamp 4517083c-20c9-4ca0-8e29-5bc3efd9ca91))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12) (tstamp 5da9c696-5ab1-42d8-937b-c67eb31b2280))
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12) (tstamp a023fa0e-a710-4ae1-8be2-194b34e6e62d))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12) (tstamp b0c968a8-be75-4166-bf2e-92d4aec5c439))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp 70830630-3a21-48cc-a0c5-60212619e926))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp 9c63f3a5-8f30-4466-826b-b1ea852d63f2))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 9f3234c7-8f1e-4e3e-b5dd-481be4b7352f))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp ba3ad6f7-dfa4-41d4-ada7-85abe15f7273))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1) (tstamp 8971d633-e6e1-4ff2-9eec-c4ba9e8ce6b6))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp 8ad5b849-ecd5-470d-be58-24a98451400e))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp 8d46a8e1-6cfc-49fc-857a-6e451d25a1cd))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1) (tstamp b12647c7-96f2-42fa-bb70-91df63a516d2))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1) (tstamp f8aaa1bf-7e12-4c70-998b-f6f3a5cb656d))
+ (pad "1" smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "GND") (pinfunction "A") (tstamp e1e0e817-f051-4a03-9a56-5bd611a675ac))
+ (pad "2" smd rect (at -1 0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 4 "/DC_PREREG") (pinfunction "K") (tstamp faa6cb8c-bf14-4be4-b88c-16bd0c58b2d6))
+ (pad "3" smd rect (at 1 0 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 9 "/AC_IN_B") (pinfunction "common") (tstamp 136cff09-dc1e-4f0b-8dbf-150f9f683a81))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp d0eae481-cb30-4e54-89d8-845d866f1082)
+ (at 137.4 148.5 -90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/5af07dd5-93d5-4d0d-8c36-b8c637bc0c46")
+ (attr smd)
+ (fp_text reference "R2" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp f941e6fd-e2f8-4dfd-8a0b-93c1703e514e)
+ )
+ (fp_text value "0" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1715dcf0-38b4-45b2-9f20-c4c6ab3d72b9)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp efe898e2-36ae-4ee2-8716-a2e67a4d7211)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 8a79b494-6ce1-4fb0-aedb-c9191c4379a6))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 9ce115e3-29a6-41af-afbd-9bb3018e0415))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 079ea099-e5a1-4d88-91f0-f166b9bcdc26))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1cb1fbbc-9531-47d7-a06d-9eff8d3b10bb))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 604b0f66-2799-453f-9266-7fd6b794a775))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 84f8af93-6368-42f9-b3c8-543122ae6c58))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 5dae5667-1348-45bc-a1ad-937c5a91e651))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 75544045-3e61-424d-adc7-807368bdef2f))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp a5ac1729-a31e-4e44-a2a7-b3eb4b297abf))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f82e049a-bb24-45a9-a8a5-8f3bfb07ad7e))
+ (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 52 "/mesh_global_stim_1s") (tstamp 442f3f26-b922-4f3d-97f0-104d2b886e44))
+ (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 12 "/mesh_vert0up_1s") (tstamp 3f0ea970-f738-4561-9fe6-65fd9fae7fa9))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp d23a87ba-6411-423e-be64-0bcfeef9ae0a)
+ (at 157.8 119.8 -90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "X7R-G0603 1,0/16")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/65d86ee1-31ac-4eb2-99b6-5ef2bfeb23f1")
+ (attr smd)
+ (fp_text reference "C1" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp ddee96df-e541-4317-83fb-19b3fbccedc3)
+ )
+ (fp_text value "1u" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 4193cd5c-1cb1-4cd7-ba47-fd938e855060)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 6180da9d-77f1-4616-82e5-2478a9cb3a0e)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 180c21b7-491b-4e6f-96a1-bb3e4853ecec))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp f8b29d93-2cf4-4725-b283-b1c222063ebc))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2e32333f-1107-4d4d-ba19-67fcebbc8a78))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 38ebe2fe-ea0f-4772-a57e-56d9a2ceae4c))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 73c1530a-366f-42a3-8fa7-056016882bab))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 75e09428-c339-467d-9f2d-1e83dd61d3fe))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 50b1c569-8726-423d-bd80-089e9b421d32))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5ad64c28-336c-489f-8e0d-fa34979cdb77))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 8a3b1cc9-0dda-4498-87b6-af6aae312471))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ab94d0a0-f0c8-4393-9c74-b2cfb07fcef0))
+ (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 62caae95-cb3d-466c-a58f-93bd390fe727))
+ (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp d616ba38-d199-42de-9d0f-3cfeb18d3359))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp d2ac2003-1977-4843-9522-5d39bc5e879b)
+ (at 186.75 137.25)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "X7R-G0603 1,0/16")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/a2093dd3-1658-4637-86fb-575d9cb58d4d")
+ (attr smd)
+ (fp_text reference "C13" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 7e6c80af-a75d-452d-83ae-618fbb2d3afb)
+ )
+ (fp_text value "1u 10V" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 5b3668ad-2e22-4ed3-baf9-019ecd8f25fd)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp e327784b-5010-4c60-a5a1-de8a6d508a9d)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 4affc3f2-04be-4e78-8027-de8251517813))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp a8782b51-9025-4184-a436-646d0a719fd2))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0768ce93-7670-426d-99f5-bf7bd41564cd))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 83b3a65c-be0c-4d18-a7b8-58993f4035d6))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9b5a34cd-f407-403e-8eae-c0270953a4b7))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp f41011b7-9c9f-4843-98bf-fd6a2b46458c))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 0d95cd33-8665-436b-a7bb-0eaff6e0cf5f))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 1a0e7440-27d1-4eaa-a077-359fc5805bf3))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 4a70d32b-0162-432d-9d35-9f8a68017cf9))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp aa942c92-9084-4b9e-85e4-3b813b50ad92))
+ (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 68 "Net-(C13-Pad1)") (tstamp 6979a695-c2cd-4179-95de-c4eb2fd78fa7))
+ (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 649c8348-8564-416d-b7d8-aff3a5c0d370))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_SO:SO-8_3.9x4.9mm_P1.27mm" (layer "F.Cu") (tedit 5C509AD1) (tstamp e1074d60-227e-43c2-ad39-8a91cd28a3fb)
+ (at 184.25 142.25 80)
+ (descr "SO, 8 Pin (https://www.nxp.com/docs/en/data-sheet/PCF8523.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+ (tags "SO SO")
+ (property "Reichelt" "Stock (TBD)")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/7681b329-bc69-4164-9c62-8ab9f9e2334e")
+ (attr smd)
+ (fp_text reference "U4" (at 0 -3.4 80) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 7884b8c9-c66f-45bf-a882-12ae1aa8c99f)
+ )
+ (fp_text value "AIS1120SX" (at 0 3.4 80) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp ec7395c9-bd1d-4d00-ba3d-7fecbeba8799)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 80) (layer "F.Fab")
+ (effects (font (size 0.98 0.98) (thickness 0.15)))
+ (tstamp 0d26954f-3554-428e-b07f-e86202eb5d43)
+ )
+ (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 11561a43-b929-498f-8638-eed3a6cf8dfc))
+ (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp 74165d2b-40b6-4fb3-83f9-b23d3f717ace))
+ (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp 7a3297ca-f4eb-48b0-9bf8-3d35c2adacb9))
+ (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12) (tstamp be32a69a-1a70-4620-a167-f2f0a742e8f6))
+ (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 2ee39b4c-df64-48ca-9e05-54f1539bb1e4))
+ (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 657f341d-5a05-44d9-8ff1-fe026c1d9373))
+ (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 913267a4-b665-4797-b644-38f8f5919195))
+ (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp f40d08e0-e644-4d9f-878a-38a23baa4093))
+ (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1) (tstamp 10c87cd8-2459-4503-9d7f-3ec32d67f6f9))
+ (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 7cd04f45-a198-45b9-b8d6-e6399ca5ff5f))
+ (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1) (tstamp e66e5ec6-c166-46bc-9017-fbb99d28e7d7))
+ (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp e7da24ca-0ebc-40b9-b92b-149dde478495))
+ (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1) (tstamp ee343154-1a37-4daf-ab89-717363dccadb))
+ (pad "1" smd roundrect (at -2.575 -1.905 80) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 60 "/SCK") (pinfunction "SCL") (tstamp 7e2acb88-7ea0-41a8-acdc-e418b55128aa))
+ (pad "2" smd roundrect (at -2.575 -0.635 80) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 58 "/MOSI") (pinfunction "SDI") (tstamp 071ec23b-ee4a-41dc-bb32-e20c7733f15c))
+ (pad "3" smd roundrect (at -2.575 0.635 80) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 59 "/MISO") (pinfunction "SDO") (tstamp e5eb1da6-e2f2-4844-b1c5-9daedb80e141))
+ (pad "4" smd roundrect (at -2.575 1.905 80) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 72 "/~AIS_CS2") (pinfunction "CS") (tstamp 643a405f-0ea7-46b4-a6b2-18002f4741a2))
+ (pad "5" smd roundrect (at 2.575 1.905 80) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "GND") (tstamp fbb2ab6b-d44f-4468-a48f-fa9a9b6f757d))
+ (pad "6" smd roundrect (at 2.575 0.635 80) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 68 "Net-(C13-Pad1)") (pinfunction "VREG") (tstamp e9336d7f-283a-47c1-9e26-d4cc204e02e4))
+ (pad "7" smd roundrect (at 2.575 -0.635 80) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "VDD") (tstamp 7cccb164-0cf3-4101-bc0a-d36b237901ff))
+ (pad "8" smd roundrect (at 2.575 -1.905 80) (size 1.75 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "MP") (tstamp a878f9dc-3f0e-41db-8083-4645b76ee44d))
+ (model "${KISYS3DMOD}/Package_SO.3dshapes/SO-8_3.9x4.9mm_P1.27mm.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0805_2012Metric" (layer "F.Cu") (tedit 5B36C52B) (tstamp e2770796-04eb-4db6-af63-72fb918242b1)
+ (at 162.5 65.5 180)
+ (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "X7R-G0805 100N")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/dcd4f99d-e9e3-48a3-ac77-661696f33413")
+ (attr smd)
+ (fp_text reference "C7" (at 0 -1.65) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp d2d8b839-97f8-431c-9fef-8e30f82ca35a)
+ )
+ (fp_text value "100n/25" (at 0 1.65) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 3efd9540-6142-4510-be40-26b14743feb8)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.08)))
+ (tstamp ae560e2c-afcb-48b1-8169-5731e5178b7b)
+ )
+ (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer "F.SilkS") (width 0.12) (tstamp d9bbd6a8-8968-490e-b6c2-6d8a88cf811d))
+ (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer "F.SilkS") (width 0.12) (tstamp e6014ad7-d752-48be-87c6-4d8c0ea1ad62))
+ (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer "F.CrtYd") (width 0.05) (tstamp 4f6994cb-2bec-4c2a-a5e1-37dd6560a91c))
+ (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer "F.CrtYd") (width 0.05) (tstamp 8f4eb35d-5af4-4ed3-b655-0e9fc3e02926))
+ (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer "F.CrtYd") (width 0.05) (tstamp e22aaa26-400f-4f84-bc90-a512ad08ba2c))
+ (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer "F.CrtYd") (width 0.05) (tstamp e6a1466a-a71d-4975-a07d-058ae14d6e5c))
+ (fp_line (start -1 -0.6) (end 1 -0.6) (layer "F.Fab") (width 0.1) (tstamp 5840a63a-c40d-4b57-b673-124ceb9df5f2))
+ (fp_line (start 1 -0.6) (end 1 0.6) (layer "F.Fab") (width 0.1) (tstamp 6417ba19-9068-4179-9e52-99c99908af20))
+ (fp_line (start 1 0.6) (end -1 0.6) (layer "F.Fab") (width 0.1) (tstamp 68d0165e-dcf7-4f30-8d5e-51264338f252))
+ (fp_line (start -1 0.6) (end -1 -0.6) (layer "F.Fab") (width 0.1) (tstamp 9900043f-39e0-48bc-ba36-03a5f292d0bc))
+ (pad "1" smd roundrect (at -0.9375 0 180) (size 0.975 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 4 "/DC_PREREG") (tstamp e992b812-26a1-4bf3-be46-5109f0eac32a))
+ (pad "2" smd roundrect (at 0.9375 0 180) (size 0.975 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 799f9bdf-ca0f-422c-843c-993676101542))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp e692c2b9-f799-4e81-958d-177e35d0de79)
+ (at 148.25 129.5 180)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "X7R-G0603 100N")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/76bff064-a627-4b38-aad8-92f26a2b8f6b")
+ (attr smd)
+ (fp_text reference "C10" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1a3b6962-7708-45d1-a0a5-b8ce6139c071)
+ )
+ (fp_text value "100n" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 9b650170-7e21-4c9f-87af-5c3ae5d1afa9)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 6edeec0f-5d2e-44be-8837-e65903308495)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp a63062c1-50d6-42b2-8365-b6dcdfddf27f))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp f32ae65a-816b-4630-bc04-66441e6a4173))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4409f842-4d68-4de9-a3fe-a96f0ca928b8))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c1011503-fc17-4da2-a883-7d07b2f304f1))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp cb50d34e-424b-482b-aeb3-d369e09b295a))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e2212964-d90f-45e0-b17c-3c3005f750cc))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0400ea81-86d2-4467-92ca-e6d88e8103e1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 5fa60b43-82bb-4d97-9bb9-a4f3099a6da2))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 87de73c9-bcf9-4e8f-942f-d82724d8b83a))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f62d42b2-50e1-41fe-973f-07e3795473f0))
+ (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (tstamp 62120c7d-5129-4d3c-9378-fe4ae74ec855))
+ (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 25d087fb-8a66-4130-ba57-cda7784b0b1b))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp ee9c02fb-6a11-48ea-af9d-d1f1efdee94b)
+ (at 110 64 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/7dfa485d-af98-4676-918c-0358f17a69c3")
+ (attr smd)
+ (fp_text reference "R12" (at 0 -1.43) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 08cc91c3-e75c-496a-b1ae-ed3f0db42f1f)
+ )
+ (fp_text value "0" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1b9b8624-5bf1-4cf5-9e10-87dec4edbcac)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 70926124-4940-4204-9a7d-b588de331051)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 2e25ba55-d457-44e6-814d-aa282b0d4d64))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 9bc5c2e2-0326-4f7e-8625-b23583ba489e))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2f8e5655-f135-4502-8aff-250ca404782c))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7e77376f-4a11-46c0-a6a4-8161ed2a372f))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9b3e0908-ade7-492b-9e13-3b9daf86e981))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c9e8db72-cdd4-4488-b4df-f0e6b6944e8b))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 3d2c59f5-3fc6-430b-aecb-5de7cf05e879))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp abaa6c84-c1b7-44b0-b535-23eeeb55ce0f))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f8ed78b2-c08a-44aa-9d12-0316fbf64714))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp fda9a1f9-8372-4b2e-9a6e-d43cd14f57d4))
+ (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 52 "/mesh_global_stim_1s") (tstamp 2d694daa-c0fc-4278-8828-43b85220388e))
+ (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 38 "/mesh_vert1dn_1s") (tstamp 887de351-d650-4fb7-802b-a41cdfad06da))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "LED_SMD:LED_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBE) (tstamp f18ce127-d193-4cc6-8161-6cb1cc26490d)
+ (at 150 80 90)
+ (descr "LED SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "diode")
+ (property "Reichelt" "IR 19-21C EVL ")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/ac1710c5-b44c-404a-a76f-e00769e18698")
+ (attr smd)
+ (fp_text reference "D1" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 7a262128-352f-4327-af35-e537a9a4c2af)
+ )
+ (fp_text value "IR" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp b8ad2d12-f25d-4760-bb5d-344ed93d536d)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp c35c7dd5-52ed-40af-a42f-17ae12d9051d)
+ )
+ (fp_line (start 0.8 -0.735) (end -1.485 -0.735) (layer "F.SilkS") (width 0.12) (tstamp 87576a51-9c18-4a0b-b8cb-6dfb60e339f6))
+ (fp_line (start -1.485 -0.735) (end -1.485 0.735) (layer "F.SilkS") (width 0.12) (tstamp a4f43c02-74cb-43b3-abf5-cb25b46e871e))
+ (fp_line (start -1.485 0.735) (end 0.8 0.735) (layer "F.SilkS") (width 0.12) (tstamp db8930d2-d043-4626-b20d-9cb7efd2c689))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 118ae14b-eae5-4ada-b711-7d4c5ceb2dac))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 32458501-04c0-422b-8e88-709226d9ec7a))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 59cff6db-f170-46dc-bc69-8902cb243017))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp adf593f0-fb4b-4287-ae6e-c79d939784af))
+ (fp_line (start -0.5 -0.4) (end -0.8 -0.1) (layer "F.Fab") (width 0.1) (tstamp 13df7999-d7b8-4d09-8c4b-59616742c482))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 7cfeb2bf-1e3e-4afd-a91b-0f7ad3c31747))
+ (fp_line (start -0.8 -0.1) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d81fa2c3-e054-4418-9475-c2924563e0e2))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp e3e19d00-ebcc-4f45-89bf-9147dbf7c415))
+ (fp_line (start 0.8 -0.4) (end -0.5 -0.4) (layer "F.Fab") (width 0.1) (tstamp fb3a179e-5ea2-4272-9b0d-eb58abe19743))
+ (pad "1" smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 75 "Net-(D1-Pad1)") (pinfunction "K") (tstamp 5ca02ada-52e8-4bad-b3dc-44850f27f74d))
+ (pad "2" smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 5 "Net-(D1-Pad2)") (pinfunction "A") (tstamp 806e217e-6fc6-4dac-a7f8-2722690bde97))
+ (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp ffe1f374-da0e-4d6f-a0a8-222181fc6abe)
+ (at 147.6 148.5 -90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/4179568b-d26a-47b5-8837-7d1d128ec0dd")
+ (attr smd)
+ (fp_text reference "R6" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp cde872f2-112a-44b4-b274-5c5b3bd980de)
+ )
+ (fp_text value "0" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 45afb93d-c66a-40fc-80e7-7fb11b7a3bc9)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 01f6b9f5-6958-4c79-adb0-aeb26146bacf)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 18ec1d19-d358-4ba0-8eaa-98a34330d738))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp b6e953b1-c30e-44f8-b899-5f8afc0c0018))
+ (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 086c30a4-48a0-4e1e-b402-83dd31020d72))
+ (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2dbb3a26-3b19-4d85-84a3-b716f907caf1))
+ (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 742229bb-ce8f-4fb6-ac06-a3b9816f44f4))
+ (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a436b37f-438d-42f1-93f9-1536fadad188))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 221a2bcc-abde-4659-a4f5-cdeb1cb43b09))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 536360ec-189a-41bd-bbb5-e436b15dd42b))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5371921c-4350-4ad9-8370-0919397c0527))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 607ef7cc-0200-413c-9205-1a12e078e7c9))
+ (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 52 "/mesh_global_stim_1s") (tstamp ea2325d1-6d91-45e1-84a2-f5ff1325af0a))
+ (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 17 "/mesh_top_1s") (tstamp 8afc68dd-51ef-4dd0-abdb-8c9abc59ab27))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Resistor_SMD:R_0603_1608Metric" (layer "B.Cu") (tedit 5B301BBD) (tstamp 118786d6-4464-49d8-ae73-fd4eca40f71a)
+ (at 145.3 120.9 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (property "DNP" "DNP")
+ (property "Reichelt" "Stock")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/f7457ffa-3037-4c91-9074-475112c957fa")
+ (attr smd)
+ (fp_text reference "R27" (at 0 1.43 270) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp e2ea6f27-4c75-44fd-9eaa-8cb905de5eed)
+ )
+ (fp_text value "47k" (at 0 -1.43 270) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp ec69c45f-9def-4b1a-a5f1-a61aeea0773a)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp 3129e8b2-35bc-481d-949e-46c5dce7c353)
+ )
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "B.SilkS") (width 0.12) (tstamp dd73a1c1-f069-4d81-b233-db68303f2dee))
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "B.SilkS") (width 0.12) (tstamp e67e42a1-acd1-4c79-81a7-aa0da6a6ac01))
+ (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 614eeace-3a3b-4242-b4b3-539db55ffff0))
+ (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp afa3d37c-c55c-4975-8a1d-51144137a6e5))
+ (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp c4092c9f-c5d3-4511-8dad-3f43e65fccef))
+ (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp c97de717-fbf8-4173-b2d2-19df6a465d7b))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 11f50952-acde-4d20-9ba7-79421cb78c9f))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 66167eb5-f885-4071-a184-331bf2ad043f))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp cc1bd3b3-5bd7-47b5-8e21-4d110169db02))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp cd2d408b-90b9-490b-8ec8-c9d44df1afff))
+ (pad "1" smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 74 "/~AIS_CS4") (tstamp 3e9cf8f2-fa88-44cc-b444-0c0ce7054bd6))
+ (pad "2" smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp b64d74f4-aadb-4f6b-8b5b-b97ece10fab6))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") (tedit 5B301BBE) (tstamp 32b5cbda-6bf0-4bc3-b97f-07d2fb0c0ccf)
+ (at 147.8 113.95 180)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor")
+ (property "Reichelt" "X7R-G0603 1,0/16")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/b8d967e7-65e4-48fb-9728-7dc5720a9a28")
+ (attr smd)
+ (fp_text reference "C15" (at 0 1.43) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp ac7c266b-3ea9-4e83-9e6d-41e6f5d53f73)
+ )
+ (fp_text value "1u 10V" (at 0 -1.43) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 6cd6661b-79d1-460a-a7a2-6ae63a0a9664)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+ (tstamp dd2c6674-3b14-4119-b3d5-ff72c535ba68)
+ )
+ (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "B.SilkS") (width 0.12) (tstamp 4d3fdb81-f5d3-40d2-89e6-905baef3d5ce))
+ (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "B.SilkS") (width 0.12) (tstamp fb4cf58f-a8bb-4e2e-abc5-de7c439e174e))
+ (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 033183c4-70ad-48ea-8be2-2f2ffdcbe943))
+ (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 58b17783-1c97-40ac-908e-25dea36547e1))
+ (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 9eff6fb7-fcf2-4f74-a4b4-edac4b6ec708))
+ (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp c5730029-6326-4dd3-88a0-f53d5041c375))
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 1725a782-fedd-4b6d-89fa-ec00fae073ca))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp aecf13c5-2d6c-4a80-b691-31fefc07a4df))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp aff7a242-ad63-4e84-a4e9-fbd2c0415989))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp ca4584c5-e1d2-4945-aa65-966047717ceb))
+ (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 70 "Net-(C15-Pad1)") (tstamp 861a4644-1511-4659-b2c7-2941acde05af))
+ (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (tstamp 24d1fd53-b704-4a4e-a1d7-d51c12e785d6))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "Package_SO:SO-8_3.9x4.9mm_P1.27mm" (layer "B.Cu") (tedit 5C509AD1) (tstamp 3a85f2a4-53e7-4049-9868-dc39f4377bf8)
+ (at 149.3 118.7 90)
+ (descr "SO, 8 Pin (https://www.nxp.com/docs/en/data-sheet/PCF8523.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+ (tags "SO SO")
+ (property "Reichelt" "Stock (TBD)")
+ (property "Sheet file" "C:/Users/jaseg/Documents/rotohsm/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch")
+ (property "Sheet name" "")
+ (path "/c2f1c0d6-9808-4eec-89dd-89bf90ae8723")
+ (attr smd)
+ (fp_text reference "U6" (at 0 3.4 270) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 85c84a96-2436-4130-918c-1df81765a61d)
+ )
+ (fp_text value "AIS1120SX" (at 0 -3.4 270) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (tstamp 250a54ad-3d7d-4c85-9cb9-c056211a3e3b)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 270) (layer "B.Fab")
+ (effects (font (size 0.98 0.98) (thickness 0.15)) (justify mirror))
+ (tstamp aa70ad7c-c197-407d-8fd5-176dc01b12ba)
+ )
+ (fp_line (start 0 -2.56) (end -1.95 -2.56) (layer "B.SilkS") (width 0.12) (tstamp 81da7fa0-e8d5-40e6-b44f-0fc9afee1567))
+ (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "B.SilkS") (width 0.12) (tstamp 8c2f4181-c052-4508-8a99-b69e40ba4592))
+ (fp_line (start 0 2.56) (end 1.95 2.56) (layer "B.SilkS") (width 0.12) (tstamp 8f822a0b-0791-49ee-b234-7195abafd587))
+ (fp_line (start 0 2.56) (end -3.45 2.56) (layer "B.SilkS") (width 0.12) (tstamp f0d363dc-50e9-4a58-ad85-ac953ea52971))
+ (fp_line (start -3.7 -2.7) (end 3.7 -2.7) (layer "B.CrtYd") (width 0.05) (tstamp 26eb4235-d264-46ef-aba9-17885a13043f))
+ (fp_line (start 3.7 2.7) (end -3.7 2.7) (layer "B.CrtYd") (width 0.05) (tstamp 39ba6c21-8b11-473a-9a41-4d9110022636))
+ (fp_line (start 3.7 -2.7) (end 3.7 2.7) (layer "B.CrtYd") (width 0.05) (tstamp 40223f01-e8e3-48cf-9201-5a1d71f9eef9))
+ (fp_line (start -3.7 2.7) (end -3.7 -2.7) (layer "B.CrtYd") (width 0.05) (tstamp e2ab8dd6-31c1-45c4-978b-8fbf76c12825))
+ (fp_line (start -1.95 -2.45) (end -1.95 1.475) (layer "B.Fab") (width 0.1) (tstamp 1a8ad764-608f-4290-a997-ad15c6c680b1))
+ (fp_line (start -0.975 2.45) (end 1.95 2.45) (layer "B.Fab") (width 0.1) (tstamp 20dffa61-4acc-484c-8478-f85542539dcb))
+ (fp_line (start -1.95 1.475) (end -0.975 2.45) (layer "B.Fab") (width 0.1) (tstamp 98f43f60-af43-4331-8836-54abc1bc9b26))
+ (fp_line (start 1.95 -2.45) (end -1.95 -2.45) (layer "B.Fab") (width 0.1) (tstamp aac5c584-4a08-445f-9186-586734843e0d))
+ (fp_line (start 1.95 2.45) (end 1.95 -2.45) (layer "B.Fab") (width 0.1) (tstamp d951c281-7ded-4900-a778-6975856f012c))
+ (pad "1" smd roundrect (at -2.575 1.905 90) (size 1.75 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 60 "/SCK") (pinfunction "SCL") (tstamp 16d4e35e-62d2-49b2-8342-d81d0035c62d))
+ (pad "2" smd roundrect (at -2.575 0.635 90) (size 1.75 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 58 "/MOSI") (pinfunction "SDI") (tstamp 49ac672e-983b-4521-91a8-a069189a8bf9))
+ (pad "3" smd roundrect (at -2.575 -0.635 90) (size 1.75 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 59 "/MISO") (pinfunction "SDO") (tstamp 220cc924-9908-46fa-8e31-791b36426176))
+ (pad "4" smd roundrect (at -2.575 -1.905 90) (size 1.75 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 74 "/~AIS_CS4") (pinfunction "CS") (tstamp c8ccf31a-69fd-469e-a931-731c45f002cf))
+ (pad "5" smd roundrect (at 2.575 -1.905 90) (size 1.75 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "GND") (tstamp b271f103-3062-4445-9535-5c078788aebe))
+ (pad "6" smd roundrect (at 2.575 -0.635 90) (size 1.75 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 70 "Net-(C15-Pad1)") (pinfunction "VREG") (tstamp 3bdc5403-dabe-4078-ad7b-04bc79c819ac))
+ (pad "7" smd roundrect (at 2.575 0.635 90) (size 1.75 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 2 "+3V3") (pinfunction "VDD") (tstamp f33cbe4a-5be9-4457-8094-68721d469214))
+ (pad "8" smd roundrect (at 2.575 1.905 90) (size 1.75 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+ (net 1 "GND") (pinfunction "MP") (tstamp 73f08ff0-1397-4204-bb27-cdaaf23e8186))
+ (model "${KISYS3DMOD}/Package_SO.3dshapes/SO-8_3.9x4.9mm_P1.27mm.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
)
(gr_circle (center 150 100) (end 164.25 100) (layer "F.SilkS") (width 0.15) (tstamp e76bc28f-385b-4af5-9e7a-5297ae0aa0ec))
@@ -341,8 +3203,18771 @@
(gr_text "This side faces upwards" (at 149.88 142.76) (layer "F.SilkS") (tstamp c0d0ecde-48c1-4f06-bf4c-f0973a3ff017)
(effects (font (size 1 1) (thickness 0.15)))
)
+ (gr_text "AIS1120" (at 175.75 142.25) (layer "F.SilkS") (tstamp c3dcde0c-4947-41b7-896f-69daef58f8a4)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (gr_text "AIS2120" (at 185.25 137) (layer "F.SilkS") (tstamp d673693a-6f97-49ca-9782-90775a594976)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
(gr_text "1" (at 159.37 154.99) (layer "F.SilkS") (tstamp e254a098-ce5a-4c77-aa67-1b0d797c060a)
(effects (font (size 1 1) (thickness 0.15)))
)
+ (segment (start 138.383897 125.5) (end 137.383897 126.5) (width 0.25) (layer "F.Cu") (net 1) (tstamp 005763f4-9ee8-40df-a0ee-1848d3d35391))
+ (segment (start 177.305163 146.138994) (end 177.862278 145.581879) (width 0.25) (layer "F.Cu") (net 1) (tstamp 3d9c3f54-a05a-4e2d-bb67-1b4e7ef7c82b))
+ (segment (start 175.114231 146.773994) (end 176.226006 146.773994) (width 0.25) (layer "F.Cu") (net 1) (tstamp 46625d53-60b0-4231-b5fb-9da15fc2b7f8))
+ (segment (start 139.4125 125.5) (end 138.383897 125.5) (width 0.25) (layer "F.Cu") (net 1) (tstamp 5cf93957-74d1-4587-b10f-964ca1edfbdc))
+ (segment (start 138 126.5) (end 136.4 126.5) (width 0.25) (layer "F.Cu") (net 1) (tstamp 879c9fd2-2b9c-4259-a818-8fe13fad9e0f))
+ (segment (start 176.226006 146.773994) (end 176.861006 146.138994) (width 0.25) (layer "F.Cu") (net 1) (tstamp 8ebd3b39-270d-46b0-bd03-536b59e67c81))
+ (segment (start 137.383897 126.5) (end 136.4 126.5) (width 0.25) (layer "F.Cu") (net 1) (tstamp 9e5252c0-a533-485c-bc54-46a83ea8b1a3))
+ (segment (start 138.5 127) (end 138 126.5) (width 0.25) (layer "F.Cu") (net 1) (tstamp af4cffda-a0b3-434b-b3bf-407eb8a18a15))
+ (segment (start 139.4125 127) (end 138.5 127) (width 0.25) (layer "F.Cu") (net 1) (tstamp b45d49f2-994f-45b6-aba8-cf6be24e4f97))
+ (segment (start 177.862278 145.581879) (end 177.862278 144.317485) (width 0.25) (layer "F.Cu") (net 1) (tstamp ba6df494-598e-4786-95cd-e9352cf4eabc))
+ (segment (start 176.861006 146.138994) (end 177.305163 146.138994) (width 0.25) (layer "F.Cu") (net 1) (tstamp fdbced7a-b4a8-4c34-ba14-c41f52aa3794))
+ (segment (start 174.562722 146.222485) (end 175.114231 146.773994) (width 0.25) (layer "F.Cu") (net 1) (tstamp ffdda479-c95f-4ef9-97b5-bb6d67acad7d))
+ (via (at 179.1 138) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00a10f45-8c44-44ad-adae-d05c07fe6edc))
+ (via (at 174.2 151.8) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 010b1b5e-16b1-4b38-8223-5887075c63ff))
+ (via (at 177.4 137.2) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 02258a9c-235e-4c3d-96c3-a1856cd00904))
+ (via (at 140.9 84.4) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0c7792ba-6dff-4c5c-883a-d4d57b8a34fb))
+ (via (at 125.7 146.5) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0fc88e9f-e547-43e5-99b8-7dce8cb3488b))
+ (via (at 174.1 140) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0fe49ee7-4fb9-4567-ac7b-75556953e915))
+ (via (at 148.8 72.6) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 15adf48f-bcb4-4bea-a95f-bbedf1058451))
+ (via (at 152.5 116.8) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1755b222-e218-4325-bdcb-2474770e4063))
+ (via (at 155.9 120.4) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1773d5e5-dccc-4c03-af3d-9174e9c7b203))
+ (via (at 157.1 70.1) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 187cc67c-4ac3-43d9-b00f-3c85a9f22748))
+ (via (at 145.3 118.7) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1b16e936-25ad-4630-bcd4-99483dcc7ac9))
+ (via (at 193.2 79.4) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1d029d47-6e33-47c6-98fb-bb1fb82cf5b6))
+ (via (at 158.8 129.8) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1d44bab0-8fdc-487a-957e-ac60096fc691))
+ (via (at 132.2 120.7) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1dcb6d70-2a33-4876-8bb1-652150b01ab5))
+ (via (at 189.1 137.3) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 222a1e1b-1d45-40f4-ae03-a6a97edc205e))
+ (via (at 163.5 73.7) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2d2d1c4d-73f7-4cde-9ced-6c669f381188))
+ (via (at 145.3 117.2) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3242d963-359c-42cf-bc40-505ba0cc726f))
+ (via (at 160 149.6) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3a0c7ee8-87be-4280-9c7e-c82a719699f3))
+ (via (at 172.6 140) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 43eca00b-f487-4aae-abf0-594c15ca358e))
+ (via (at 153.7 134.1) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 44554f8a-eb5b-4319-a315-fbdd3eb27ea1))
+ (via (at 159.5 81.4) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5da17796-35bf-448c-9eae-eab2da856148))
+ (via (at 159.3 63.6) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 62ed3180-11da-42c4-9d51-600047af8d68))
+ (via (at 136.4 126.5) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 65915ef6-efad-4d14-8032-104b32230772))
+ (via (at 155.3 135.7) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 66dd00d9-3c89-4a69-bdee-19a65aa5ee5d))
+ (via (at 188 140.3) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6c08328c-9299-4021-a89f-e08d2f249208))
+ (via (at 143.5 132.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6cad6617-b787-4ba0-bacc-b3b8c17bfb6f))
+ (via (at 177.2 142.2) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 71d27920-da0c-4d4b-848d-771950e7cf87))
+ (via (at 138.1 137.6) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 85e7e8ef-9f9f-41ab-a612-71c46d59148f))
+ (via (at 162.7 119.6) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9a8e6ac7-4743-4beb-840c-d51f83deaf5a))
+ (via (at 168.1 71.6) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9d252207-d97b-42f1-ba1e-31eb00a4b89c))
+ (via (at 141.8 85.8) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9ede7c5b-7597-44c8-a112-4b343d9bfa66))
+ (via (at 144.1 121.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a5fb041f-4cd0-4c56-9816-f400d8beb26d))
+ (via (at 163.3 121.1) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp a95ef23c-7393-46c2-8c2a-bcc9cc5db79b))
+ (via (at 154.8 114.2) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp c45c428c-31a0-48a2-be88-bb66288ae3d4))
+ (via (at 171.9 145) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp c87c55db-4acd-4e60-a3ac-3dd065841a63))
+ (via (at 145.1 122.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d4969461-7f16-4b47-917d-944915273450))
+ (via (at 161.6 63.6) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp e3b894da-dabc-453e-acf1-e83ff268e4c5))
+ (via (at 159 70.1) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp e48d79b9-46be-47dc-8cd0-2f6d3700cd6b))
+ (via (at 130.9 131.9) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp e4bcd700-00f6-4645-9974-1ecdf53af44b))
+ (via (at 187.6 135.6) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp e7e3e61f-2ae6-4444-a403-8d2fb8c7f09d))
+ (via (at 145.9 122.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp e8f48de9-9140-4028-bc4e-22680c0a8db6))
+ (via (at 159.5 83.1) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp edf01ba3-3838-4dd5-bfb6-55f2c72038ed))
+ (via (at 153.1 70.5) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp ef04e505-51fc-45e9-b1f3-95a3dbcddd48))
+ (via (at 144.2 128.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp efa6cde5-808d-48d5-a98a-2ca1ba456cb8))
+ (via (at 150.5 141.3) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1) (tstamp f30a18e6-4a1b-4ccd-89af-36c71a4f6183))
+ (segment (start 157.2 143.47) (end 157.2 129) (width 1) (layer "F.Cu") (net 2) (tstamp 02a1913a-d34e-4ec2-aa13-9b977eb9cec2))
+ (segment (start 143 116.2) (end 143.6 115.6) (width 0.8) (layer "F.Cu") (net 2) (tstamp 0619b1bf-f82c-4064-a054-4e89f9b229f1))
+ (segment (start 175.3 145.224911) (end 175.3 144.5125) (width 0.6) (layer "F.Cu") (net 2) (tstamp 0623f0f6-2a7c-4883-8226-579e75b06246))
+ (segment (start 141.9125 124.8) (end 138.2 124.8) (width 0.6) (layer "F.Cu") (net 2) (tstamp 0c44e867-2f7c-43a4-953c-d0a890414fe9))
+ (segment (start 130.7875 103.5875) (end 135.5 108.3) (width 1) (layer "F.Cu") (net 2) (tstamp 0d346f94-d70a-4e0b-a6b1-fc75cdf61d00))
+ (segment (start 142.2125 124.975) (end 142.2125 124.5) (width 1) (layer "F.Cu") (net 2) (tstamp 176344bd-5fd5-41ab-bb0d-c4a6ec925639))
+ (segment (start 171.82501 96.900938) (end 171.82501 101.57499) (width 0.8) (layer "F.Cu") (net 2) (tstamp 17de1fa9-2dc6-4594-9102-0437665610f6))
+ (segment (start 153.735 146.935) (end 157.2 143.47) (width 1) (layer "F.Cu") (net 2) (tstamp 1805ea24-43e8-4777-8326-f31d1e36eda5))
+ (segment (start 130.7875 102.5) (end 131.92501 101.36249) (width 1) (layer "F.Cu") (net 2) (tstamp 28a349e7-839f-43a8-9b60-eb9081f00de4))
+ (segment (start 134 123.5875) (end 134 125) (width 0.25) (layer "F.Cu") (net 2) (tstamp 2b211ba3-ca40-4062-a3d9-b6e3b3c9172b))
+ (segment (start 132.499705 111.300295) (end 135.5 108.3) (width 0.25) (layer "F.Cu") (net 2) (tstamp 2b3512cc-569d-4f9e-b30f-ef8705011d1d))
+ (segment (start 131.92501 91.947995) (end 131.92501 90.57499) (width 1) (layer "F.Cu") (net 2) (tstamp 2ce6fd8b-2646-4a9d-81ee-656820b2443f))
+ (segment (start 147.8 120.7875) (end 147.325 120.7875) (width 0.8) (layer "F.Cu") (net 2) (tstamp 2de89d5f-3006-4976-8d26-b9d06666f41e))
+ (segment (start 131.0875 130.5) (end 132.3 130.5) (width 0.25) (layer "F.Cu") (net 2) (tstamp 31b1d5d4-7100-4644-b48e-b75181b5da2f))
+ (segment (start 142.2125 122.025) (end 142.2125 122.5) (width 1) (layer "F.Cu") (net 2) (tstamp 324a5287-d5ce-4721-8ca3-fdd8a2c182b0))
+ (segment (start 142.2125 124.5) (end 141.9125 124.8) (width 0.6) (layer "F.Cu") (net 2) (tstamp 33e642b8-8c66-4d12-8eaf-7f8dba0ff8cf))
+ (segment (start 138 124.6) (end 138.2 124.8) (width 0.25) (layer "F.Cu") (net 2) (tstamp 44cf7248-05c7-4519-8c89-7070df85d5e9))
+ (segment (start 184.071791 136.753209) (end 184.075 136.75) (width 0.6) (layer "F.Cu") (net 2) (tstamp 4e0bfbf9-15f6-4fbd-a517-cf0148c0d285))
+ (segment (start 170.711572 95.7875) (end 171.82501 96.900938) (width 0.8) (layer "F.Cu") (net 2) (tstamp 4f69ec04-14ec-49b6-807e-e8cbaaf88875))
+ (segment (start 142.2125 120.7875) (end 143 120) (width 0.8) (layer "F.Cu") (net 2) (tstamp 546b9048-acf0-4c74-9912-438c22399f2a))
+ (segment (start 146.72499 118.07501) (end 147 117.8) (width 0.8) (layer "F.Cu") (net 2) (tstamp 547ff1d8-ac1f-4eb0-8fff-e00a19f8f669))
+ (segment (start 138 123.5875) (end 138 124.6) (width 0.25) (layer "F.Cu") (net 2) (tstamp 56b08a4a-8b46-466a-9e46-a8148cd545bc))
+ (segment (start 170.41999 142.48001) (end 170.4 142.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp 57b7fc80-9814-4f2a-8a62-c0dfbc45ba36))
+ (segment (start 143.6 115.6) (end 147 115.6) (width 1) (layer "F.Cu") (net 2) (tstamp 5a29fc0f-ce26-421b-930e-f367ef740a1d))
+ (segment (start 169.2125 95.7875) (end 169.2125 97.5) (width 1) (layer "F.Cu") (net 2) (tstamp 5cc8b331-03e1-44f3-914a-75025b7abb3b))
+ (segment (start 157.8 119.0125) (end 157.8 115.6) (width 1) (layer "F.Cu") (net 2) (tstamp 5e357a71-b11f-4ab7-a5b5-65d3d28479e9))
+ (segment (start 149.0375 128.4375) (end 148.2 127.6) (width 1) (layer "F.Cu") (net 2) (tstamp 5fb23d3e-e319-4f10-9565-8a3442812c41))
+ (segment (start 135.5 108.3) (end 142.8 115.6) (width 1) (layer "F.Cu") (net 2) (tstamp 5fc82efd-2866-4154-ab6d-eb476339efe4))
+ (segment (start 142.2125 122.5) (end 142.2125 124.5) (width 0.8) (layer "F.Cu") (net 2) (tstamp 61d49ae4-778e-47da-a5cd-3c6d759d2cf2))
+ (segment (start 138.2 124.8) (end 137.8 125.2) (width 0.6) (layer "F.Cu") (net 2) (tstamp 621b488d-33b0-4d24-9ee9-924d3431a2f9))
+ (segment (start 131.92501 90.57499) (end 142.00591 80.49409) (width 1) (layer "F.Cu") (net 2) (tstamp 62b618d2-dfa4-4921-9085-846249f9cc8b))
+ (segment (start 149.0375 129.5) (end 149.0375 128.4375) (width 1) (layer "F.Cu") (net 2) (tstamp 66536f78-52e2-4c5e-8920-723d58910081))
+ (segment (start 173.26751 142.48001) (end 170.41999 142.48001) (width 0.6) (layer "F.Cu") (net 2) (tstamp 681bd965-da15-4897-a04e-3882c6f67de1))
+ (segment (start 134.2 126.532002) (end 133.5 127.232002) (width 0.6) (layer "F.Cu") (net 2) (tstamp 6985954e-a1a8-477d-9474-e500f32065b5))
+ (segment (start 133.5 127.232002) (end 133.5 129.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp 6c4ba33c-f50f-4adf-8404-b2ca2005d20f))
+ (segment (start 134 125) (end 134.2 125.2) (width 0.25) (layer "F.Cu") (net 2) (tstamp 6d6a1f89-4e28-4d62-942d-8f53f3083a27))
+ (segment (start 148.865 129.6725) (end 149.0375 129.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp 70f7d0ab-52d5-433c-92fe-a346d5f7f117))
+ (segment (start 194.892106 74.609048) (end 193.190952 74.609048) (width 1.2) (layer "F.Cu") (net 2) (tstamp 77f09398-3352-438c-8805-5ce42efca9ad))
+ (segment (start 154.3875 115.6) (end 157.8 119.0125) (width 1) (layer "F.Cu") (net 2) (tstamp 79ad1975-4fbf-44af-8919-5cb4a8c8487c))
+ (segment (start 131.92501 91.947995) (end 119.977015 80) (width 1.2) (layer "F.Cu") (net 2) (tstamp 7bb23c36-4772-4834-8b63-7e25817a17dc))
+ (segment (start 175.3 144.5125) (end 174.2875 143.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp 7e01bbca-1fca-4da4-8f78-a7938f81a494))
+ (segment (start 175.2 135.3) (end 170.4 140.1) (width 0.6) (layer "F.Cu") (net 2) (tstamp 7fd02062-f9e2-4ece-bdec-b6562d57279f))
+ (segment (start 134.2 125.2) (end 134.2 126.532002) (width 0.6) (layer "F.Cu") (net 2) (tstamp 809f2d52-a1c7-4358-9db1-0210cc6338e1))
+ (segment (start 135 125.2) (end 134.2 125.2) (width 0.6) (layer "F.Cu") (net 2) (tstamp 80c8135f-dcbe-4071-82b9-e6c1cd700571))
+ (segment (start 169.2125 95.7875) (end 170.711572 95.7875) (width 0.8) (layer "F.Cu") (net 2) (tstamp 859e8eb1-5126-4d92-8c04-4e782a1d3ff8))
+ (segment (start 170.4 140.1) (end 170.4 142.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp 8717e131-76c8-4631-a604-f74febd55f7a))
+ (segment (start 143 120) (end 143 116.2) (width 0.8) (layer "F.Cu") (net 2) (tstamp 878747a6-8141-41ef-927e-5226e7f65eca))
+ (segment (start 142.8 115.6) (end 143.6 115.6) (width 1) (layer "F.Cu") (net 2) (tstamp 8c25b0ab-7c87-4b9a-b5bb-244579912890))
+ (segment (start 187.990952 74.609048) (end 169.2125 93.3875) (width 1) (layer "F.Cu") (net 2) (tstamp 9065ef8c-df15-42f3-b78e-cf4880135067))
+ (segment (start 170.4 148) (end 172 148) (width 0.6) (layer "F.Cu") (net 2) (tstamp 9367f5e4-49eb-410b-a332-8d8de0d8cb78))
+ (segment (start 196.091154 73.41) (end 194.892106 74.609048) (width 1.2) (layer "F.Cu") (net 2) (tstamp 93fc95fa-1850-404d-bcfb-c6eb5401065a))
+ (segment (start 148.2 127.6) (end 144.8375 127.6) (width 1) (layer "F.Cu") (net 2) (tstamp 97a2b870-4a02-43d5-959a-a62e9095ea09))
+ (segment (start 146.72499 120.18749) (end 146.72499 118.07501) (width 0.8) (layer "F.Cu") (net 2) (tstamp 9ae5cc80-05d5-4f70-8501-85f32b2f7a1e))
+ (segment (start 144.8375 127.6) (end 142.2125 124.975) (width 1) (layer "F.Cu") (net 2) (tstamp 9ddb1d0f-d83e-4261-9be0-cf9bd281c5dc))
+ (segment (start 154.75 72.65) (end 154.75 66.35) (width 1.8) (layer "F.Cu") (net 2) (tstamp 9e2879c8-6093-43da-bfe2-a219075a411f))
+ (segment (start 137.8 125.2) (end 135 125.2) (width 0.6) (layer "F.Cu") (net 2) (tstamp a2786632-eb41-465b-ae3b-18800d9ea524))
+ (segment (start 157.8 115.6) (end 165.8 107.6) (width 1) (layer "F.Cu") (net 2) (tstamp a2a9bae6-8118-4329-b63d-b43842118d5c))
+ (segment (start 182.625 135.3) (end 175.2 135.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp a85280e4-509d-4324-88f4-6c5a323939c2))
+ (segment (start 110.538846 80) (end 106.419423 75.880577) (width 1.2) (layer "F.Cu") (net 2) (tstamp a8cb1e60-cd67-4d61-9de1-ed7f9eef585a))
+ (segment (start 130.7875 102.5) (end 130.7875 103.5875) (width 1) (layer "F.Cu") (net 2) (tstamp ac6cf792-1d77-4946-893f-cd07ee378c49))
+ (segment (start 171.82501 101.57499) (end 165.8 107.6) (width 0.8) (layer "F.Cu") (net 2) (tstamp accadcbe-65d7-4b67-a06f-3bb15d8f6cdf))
+ (segment (start 147 115.6) (end 154.3875 115.6) (width 1) (layer "F.Cu") (net 2) (tstamp b636d066-573b-415e-b286-9e8c2f0edce7))
+ (segment (start 174.2875 143.5) (end 173.26751 142.48001) (width 0.6) (layer "F.Cu") (net 2) (tstamp b72d85e7-625c-43b2-b306-15af893b8f45))
+ (segment (start 148.75 68.9625) (end 148.75 68.25) (width 0.8) (layer "F.Cu") (net 2) (tstamp ba7d181a-13cf-4110-b72d-31841efe8d7d))
+ (segment (start 148.865 131.675) (end 148.865 129.6725) (width 0.6) (layer "F.Cu") (net 2) (tstamp bc0b4a0b-64e7-4111-9d6a-451e1e52e098))
+ (segment (start 175.662574 145.587485) (end 175.3 145.224911) (width 0.6) (layer "F.Cu") (net 2) (tstamp c3c35c5c-5ecb-4613-93b1-f324fd52b2ab))
+ (segment (start 154.75 66.35) (end 151.35 66.35) (width 2.5) (layer "F.Cu") (net 2) (tstamp c803fdcf-9409-4109-b82a-8e4829e5e8d3))
+ (segment (start 153.735 146.935) (end 154.8 148) (width 0.6) (layer "F.Cu") (net 2) (tstamp c9c8ebfb-bfc1-4ec0-be27-1a480d2e4b17))
+ (segment (start 147.325 120.7875) (end 146.72499 120.18749) (width 0.8) (layer "F.Cu") (net 2) (tstamp cc3ec7e5-83d3-4f96-92cb-65d8a0e83b99))
+ (segment (start 149.98 153.19) (end 149.98 150.69) (width 1) (layer "F.Cu") (net 2) (tstamp ccc07c88-8060-4e0a-81a4-426c939e901c))
+ (segment (start 184.075 136.75) (end 182.625 135.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp ccda2e8a-fa4b-4b90-a49d-0869f8d6b46b))
+ (segment (start 148.75 68.25) (end 151 66) (width 0.8) (layer "F.Cu") (net 2) (tstamp d3b7f715-cb30-4af1-884b-0e9c169b269c))
+ (segment (start 154.8 148) (end 170.4 148) (width 0.6) (layer "F.Cu") (net 2) (tstamp d572082b-4825-47d1-a1a0-6ed959d87f5b))
+ (segment (start 133.5 129.3) (end 132.6 130.2) (width 0.6) (layer "F.Cu") (net 2) (tstamp d738e2b4-bbc4-4c70-b790-9bc289e5e9a1))
+ (segment (start 142.2125 122.025) (end 142.2125 120.7875) (width 0.8) (layer "F.Cu") (net 2) (tstamp da8e6adc-34bc-441f-8923-7a4bafe6fb58))
+ (segment (start 157.2 129) (end 155.8 127.6) (width 1) (layer "F.Cu") (net 2) (tstamp db19f4de-6ab9-4ffe-88c6-f0da3bf9a654))
+ (segment (start 149.98 150.69) (end 153.735 146.935) (width 1) (layer "F.Cu") (net 2) (tstamp dbb984b0-a991-4106-bf1e-97968268f987))
+ (segment (start 145.71841 80.49409) (end 149.2125 77) (width 1) (layer "F.Cu") (net 2) (tstamp e1ac40af-23b6-45e7-9ff3-224b7e4db4c5))
+ (segment (start 135 123.5875) (end 135 125.2) (width 0.25) (layer "F.Cu") (net 2) (tstamp e6e4d2f4-d0ad-46ac-b3cd-1819192bbd71))
+ (segment (start 106.419423 75.880577) (end 103.938846 73.4) (width 1.2) (layer "F.Cu") (net 2) (tstamp e8e993c6-7213-4874-87ea-e3ae2fa68d29))
+ (segment (start 131.23 111.300295) (end 132.499705 111.300295) (width 0.25) (layer "F.Cu") (net 2) (tstamp edc33b08-35a3-4f46-b61d-9ceb0b381804))
+ (segment (start 147 117.8) (end 147 115.6) (width 0.8) (layer "F.Cu") (net 2) (tstamp eff08802-a37c-4692-986e-bd3373d3a3f2))
+ (segment (start 170.4 142.5) (end 170.4 148) (width 0.6) (layer "F.Cu") (net 2) (tstamp f18fa76e-e494-4322-bd11-91e5db385c59))
+ (segment (start 131.92501 101.36249) (end 131.92501 91.947995) (width 1) (layer "F.Cu") (net 2) (tstamp f1f785ec-95b9-436b-ab79-cb9f5d5f8e9d))
+ (segment (start 151.35 66.35) (end 151 66) (width 2.5) (layer "F.Cu") (net 2) (tstamp f29f4615-d8dd-4da9-8c2d-4ecf4d13977b))
+ (segment (start 119.977015 80) (end 110.538846 80) (width 1.2) (layer "F.Cu") (net 2) (tstamp f3282c48-6545-47ee-ac68-bf9055b32c29))
+ (segment (start 155.8 127.6) (end 148.2 127.6) (width 1) (layer "F.Cu") (net 2) (tstamp f41cb5ef-2520-4c0a-96d9-aece619af51e))
+ (segment (start 142.00591 80.49409) (end 145.71841 80.49409) (width 1) (layer "F.Cu") (net 2) (tstamp fc8696dd-662a-4cf0-b3aa-8c20bd0c159d))
+ (segment (start 132.3 130.5) (end 132.6 130.2) (width 0.25) (layer "F.Cu") (net 2) (tstamp fdf07032-4195-42b3-bb2e-ec813195c827))
+ (segment (start 184.071791 139.603853) (end 184.071791 136.753209) (width 0.6) (layer "F.Cu") (net 2) (tstamp fe147873-653e-428c-9c1c-604b2ba793ce))
+ (segment (start 169.2125 93.3875) (end 169.2125 95.7875) (width 1) (layer "F.Cu") (net 2) (tstamp ffacd0e6-e0c0-49b0-86c5-eec574dd671c))
+ (via (at 149.98 150.69) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0f3de0f9-99cc-4fe3-a955-d59496d6a9a0))
+ (via (at 193.190952 74.609048) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3eb1ff28-b5a9-45c1-b694-f4fe6471b927))
+ (via (at 151 66) (size 2.4) (drill 1.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 770d5701-8cf8-435a-9a50-b5f4dd52ff7a))
+ (via (at 187.990952 74.609048) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8cc769f5-9939-4962-8daf-b38475e5b116))
+ (via (at 147 117.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp bd3f2093-4f8f-421a-ac54-1ab1d4bd14af))
+ (via (at 106.419423 75.880577) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 2) (tstamp d8432a7e-09b9-46ed-892d-afee97cd9aad))
+ (via (at 143 120) (size 2.4) (drill 1.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp f262ad4d-b2e3-4730-8aac-933fe3be28f5))
+ (segment (start 151 66) (end 151 83) (width 2.5) (layer "B.Cu") (net 2) (tstamp 0a10d425-358b-4c13-8ffc-46c5b591905f))
+ (segment (start 195.001154 74.5) (end 193.3 74.5) (width 0.6) (layer "B.Cu") (net 2) (tstamp 1613478d-54df-4267-a891-aa683ebad9bf))
+ (segment (start 149.98 153.19) (end 149.98 150.69) (width 0.6) (layer "B.Cu") (net 2) (tstamp 2d4a3870-ffcd-40f5-91a5-94eba06a44cd))
+ (segment (start 137 104) (end 143 110) (width 2.5) (layer "B.Cu") (net 2) (tstamp 64f7c385-e83e-4d16-a0ee-44a99a152fa0))
+ (segment (start 143 110) (end 143 120) (width 2.5) (layer "B.Cu") (net 2) (tstamp 6f390d77-3b82-43c6-bb15-8860bfd99137))
+ (segment (start 193.3 74.5) (end 193.190952 74.609048) (width 0.6) (layer "B.Cu") (net 2) (tstamp 70e0bfba-e30c-4a63-b387-722746ee6ff3))
+ (segment (start 103.938846 73.4) (end 106.419423 75.880577) (width 0.6) (layer "B.Cu") (net 2) (tstamp 7e38ceac-213f-4dff-abb0-352ce5d11fce))
+ (segment (start 151 83) (end 137 97) (width 2.5) (layer "B.Cu") (net 2) (tstamp a2de4bde-6e4b-419b-89ab-e27b56ffd8c5))
+ (segment (start 149.935 117) (end 149.135 117.8) (width 0.6) (layer "B.Cu") (net 2) (tstamp b97906f4-5af2-4b3c-9c36-fc0a5f75bd80))
+ (segment (start 137 97) (end 137 104) (width 2.5) (layer "B.Cu") (net 2) (tstamp c245f0cf-243d-4abe-a6b1-17ccf66083cf))
+ (segment (start 193.190952 74.609048) (end 187.990952 74.609048) (width 1.2) (layer "B.Cu") (net 2) (tstamp c58772ac-0ce6-4d0e-b5d3-451bda1d509e))
+ (segment (start 149.135 117.8) (end 147 117.8) (width 0.6) (layer "B.Cu") (net 2) (tstamp cdbcefbe-39e6-4258-8dba-5d893be2fff1))
+ (segment (start 149.935 116.125) (end 149.935 117) (width 0.6) (layer "B.Cu") (net 2) (tstamp cf4eb09e-8cdd-4687-8644-cf4a759c4d63))
+ (segment (start 196.091154 73.41) (end 195.001154 74.5) (width 0.6) (layer "B.Cu") (net 2) (tstamp d0a7c2e6-7cb9-44f2-8ff1-ae06a7af9abd))
+ (segment (start 176.762426 144.952485) (end 176.5 144.690059) (width 0.6) (layer "F.Cu") (net 3) (tstamp 4b8379e0-8966-410f-b2a1-529a892aca7a))
+ (segment (start 176.5 144.690059) (end 176.5 143.7125) (width 0.6) (layer "F.Cu") (net 3) (tstamp be13aaee-c170-43c4-96d9-aa69612546eb))
+ (segment (start 176.5 143.7125) (end 174.2875 141.5) (width 0.6) (layer "F.Cu") (net 3) (tstamp d1e24f19-68dc-4af3-a0be-c5c0327e6274))
+ (segment (start 159.8 72.65) (end 162.45 70) (width 1) (layer "F.Cu") (net 4) (tstamp 02ce6f25-71bd-4888-9c71-60a8cad2c337))
+ (segment (start 154.404063 75.2) (end 156.442803 77.23874) (width 1.8) (layer "F.Cu") (net 4) (tstamp 09b45a47-d53c-4235-b1c2-4f11c7d18f57))
+ (segment (start 163.4375 67.6875) (end 164.75 69) (width 1) (layer "F.Cu") (net 4) (tstamp 1447fe9a-2ba0-4bca-be2d-ec6f28e167cd))
+ (segment (start 162.45 70) (end 163.75 70) (width 1) (layer "F.Cu") (net 4) (tstamp 173271b5-a479-4be3-96dc-08b2baffd3e3))
+ (segment (start 145.781633 75.2) (end 154.404063 75.2) (width 1.8) (layer "F.Cu") (net 4) (tstamp 2ca36d63-3f1b-41a5-bf9d-52a7cdeab425))
+ (segment (start 157.05 72.65) (end 157.05 76.631543) (width 1.8) (layer "F.Cu") (net 4) (tstamp 4a503e91-116c-4714-8301-7cea962850aa))
+ (segment (start 157.05 72.65) (end 159.8 72.65) (width 1) (layer "F.Cu") (net 4) (tstamp 9c59b48c-6352-43f3-ba35-8f86a3b69228))
+ (segment (start 142.877849 78.103784) (end 145.781633 75.2) (width 1.8) (layer "F.Cu") (net 4) (tstamp a58f7639-f098-4a50-8737-a72807a1bb06))
+ (segment (start 157.05 76.631543) (end 156.442803 77.23874) (width 1.8) (layer "F.Cu") (net 4) (tstamp a7f4a422-736f-4965-bfbd-ca36041ef968))
+ (segment (start 163.75 70) (end 164.75 69) (width 1) (layer "F.Cu") (net 4) (tstamp d03f519f-62d3-4bd8-a990-cac263245f1f))
+ (segment (start 163.4375 65.5) (end 163.4375 67.6875) (width 1) (layer "F.Cu") (net 4) (tstamp fc4c8152-7e39-4601-8a78-61b0b4c699ec))
+ (segment (start 150.7875 78.425) (end 150.7875 77) (width 0.8) (layer "F.Cu") (net 5) (tstamp 07ae6a1b-d385-4801-b1b5-7879f50de648))
+ (segment (start 150 79.2125) (end 150.7875 78.425) (width 0.8) (layer "F.Cu") (net 5) (tstamp 77aa7fd4-a2af-4272-8448-89830e8411ce))
+ (segment (start 129.2125 100) (end 129.2125 102.5) (width 0.8) (layer "F.Cu") (net 6) (tstamp 8cee69e5-f217-426d-a01f-794efcff89c6))
+ (segment (start 147.8 119.2125) (end 150 119.2125) (width 0.8) (layer "F.Cu") (net 7) (tstamp 21a592fd-e82b-4838-883f-3a06d08a46cd))
+ (segment (start 170.7875 97.5) (end 170.7875 100) (width 0.8) (layer "F.Cu") (net 8) (tstamp 5bba6ce2-a5a6-451f-b615-1ee1b6c8c8ae))
+ (segment (start 138.4 72.7) (end 139.6 72.7) (width 0.6) (layer "F.Cu") (net 9) (tstamp 2146e59f-015d-4233-ba91-2d7285dd310d))
+ (segment (start 140 72.3) (end 140 71.4) (width 0.6) (layer "F.Cu") (net 9) (tstamp 35295766-3f6a-407c-a5c8-fc0bf325b38a))
+ (segment (start 160.2 67.8) (end 152.4 60) (width 0.6) (layer "F.Cu") (net 9) (tstamp 35d4f1ac-2ce0-4354-80ec-372ffea49844))
+ (segment (start 139.6 72.7) (end 140 72.3) (width 0.6) (layer "F.Cu") (net 9) (tstamp 5230920d-c3a7-452f-941a-298755a04eda))
+ (segment (start 160.2 67.8) (end 161.3 67.8) (width 1) (layer "F.Cu") (net 9) (tstamp 5b2ac44a-7823-42b1-82df-58292d13a479))
+ (segment (start 148.85 62.55) (end 150.55 62.55) (width 0.6) (layer "F.Cu") (net 9) (tstamp 933a59b9-3af3-48ec-8e10-4ae1121ccccb))
+ (segment (start 151.4 61.7) (end 151.4 60) (width 0.6) (layer "F.Cu") (net 9) (tstamp a15cf7b0-cd7a-4f6d-b3bd-ddc3d6167924))
+ (segment (start 161.3 67.8) (end 161.5 68) (width 1) (layer "F.Cu") (net 9) (tstamp b8c32de1-e31f-4ab8-977d-06cbb56f5115))
+ (segment (start 150.55 62.55) (end 151.4 61.7) (width 0.6) (layer "F.Cu") (net 9) (tstamp d1139216-6c96-4192-9a81-4b6598175151))
+ (segment (start 140 71.4) (end 148.85 62.55) (width 0.6) (layer "F.Cu") (net 9) (tstamp e6d5acfd-1d14-454e-a5ef-39dd0c57b6d7))
+ (segment (start 152.4 60) (end 151.4 60) (width 0.6) (layer "F.Cu") (net 9) (tstamp ec0bf62b-84dc-4bc0-b340-524f13099719))
+ (via (at 160.2 67.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 9) (tstamp 4afbef72-bec3-4149-8181-30c3a51293ae))
+ (segment (start 165.75 73.15) (end 160.4 67.8) (width 1) (layer "B.Cu") (net 9) (tstamp 0638446f-3c2a-45a8-b74e-406930e72066))
+ (segment (start 160.4 67.8) (end 160.2 67.8) (width 1) (layer "B.Cu") (net 9) (tstamp ac4fb1e6-600d-4c8e-99be-e66bfa43e8e3))
+ (segment (start 165.75 73.5) (end 165.75 73.15) (width 1) (layer "B.Cu") (net 9) (tstamp b70ff28f-18e0-4fd0-a7bc-e27026bfe355))
+ (segment (start 135.6 70.9) (end 135.6 72.7) (width 0.6) (layer "F.Cu") (net 10) (tstamp 183a891f-fc45-4332-bbda-89cd857c2da9))
+ (segment (start 148.6 61.5) (end 148.6 60.7) (width 0.6) (layer "F.Cu") (net 10) (tstamp 1bae166a-f4c4-4586-996b-af100ba6bd4b))
+ (segment (start 161 57.8) (end 149.1 57.8) (width 0.6) (layer "F.Cu") (net 10) (tstamp 3bd77bc9-2f22-49ed-9b10-73b57b048224))
+ (segment (start 139.5 70.6) (end 148.6 61.5) (width 0.6) (layer "F.Cu") (net 10) (tstamp 3e6ee1a6-48f3-49cf-afed-b9a325bb5a40))
+ (segment (start 169.6 74.73) (end 169.6 66.4) (width 0.6) (layer "F.Cu") (net 10) (tstamp 6858f394-c4e4-4dc7-a3f1-8fa05b9e1d71))
+ (segment (start 148.6 61.5) (end 148.6 60) (width 0.6) (layer "F.Cu") (net 10) (tstamp 6e64eca1-92fe-426f-acdc-96e7c092e7a7))
+ (segment (start 137.7 70.6) (end 135.9 70.6) (width 0.6) (layer "F.Cu") (net 10) (tstamp 8012108e-d764-48bb-a1b2-35b93cf797e1))
+ (segment (start 149.1 57.8) (end 148.6 58.3) (width 0.6) (layer "F.Cu") (net 10) (tstamp 92fd74bf-34d2-4149-aaf7-59d480ac0d45))
+ (segment (start 135.9 70.6) (end 135.6 70.9) (width 0.6) (layer "F.Cu") (net 10) (tstamp 93e85168-acf1-4a39-833e-106194fea0e9))
+ (segment (start 165.75 78.58) (end 165.75 78.5) (width 1) (layer "F.Cu") (net 10) (tstamp a1276550-c039-439f-9729-1939c974dc42))
+ (segment (start 169.6 66.4) (end 161 57.8) (width 0.6) (layer "F.Cu") (net 10) (tstamp b62236bc-1d52-463a-b994-41ca925902da))
+ (segment (start 165.75 78.58) (end 169.6 74.73) (width 0.6) (layer "F.Cu") (net 10) (tstamp ceef333f-dda5-41fe-9747-4f72a75978c1))
+ (segment (start 148.3 60) (end 148.6 60) (width 0.6) (layer "F.Cu") (net 10) (tstamp d35b5045-f5ea-4faa-b7ec-c8bf569507e4))
+ (segment (start 148.6 58.3) (end 148.6 60) (width 0.6) (layer "F.Cu") (net 10) (tstamp e8847f52-4ba1-4fe4-b16e-d93bff01600e))
+ (segment (start 165.75 78.5) (end 161.5 74.25) (width 1) (layer "F.Cu") (net 10) (tstamp ef091020-20f5-4716-8376-eec656ca73db))
+ (segment (start 137.7 70.6) (end 139.5 70.6) (width 0.6) (layer "F.Cu") (net 10) (tstamp fc49cedc-1a23-4fbd-a5a2-744cd9d74b8f))
+ (segment (start 140.8 155.8) (end 141.1 156.1) (width 0.25) (layer "F.Cu") (net 11) (tstamp 046f5eb9-970c-42ec-928d-d59c239559c0))
+ (segment (start 144.98 156.98) (end 141.98 156.98) (width 0.25) (layer "F.Cu") (net 11) (tstamp 1f03559f-5b68-4810-9d41-cd33dee05930))
+ (segment (start 141.98 156.98) (end 141.1 156.1) (width 0.25) (layer "F.Cu") (net 11) (tstamp 36fdd6f7-0c3d-4990-b106-a717596a4dfc))
+ (segment (start 141.1 156.1) (end 141 156) (width 0.25) (layer "F.Cu") (net 11) (tstamp 693ff409-cb76-4f2c-86dd-7dbe952ae918))
+ (segment (start 144.98 156.79) (end 144.98 156.98) (width 0.25) (layer "F.Cu") (net 11) (tstamp 854a08c7-04b4-4dbb-8523-9b09b1b62ada))
+ (segment (start 140.8 149.2875) (end 140.8 155.8) (width 0.25) (layer "F.Cu") (net 11) (tstamp e176111b-b225-4e95-a3cd-c50a2bcc18ad))
+ (segment (start 147.1 159) (end 143 159) (width 0.25) (layer "F.Cu") (net 12) (tstamp 0b0bb6a7-622f-4a47-90e8-872630f7bb15))
+ (segment (start 147.48 156.79) (end 147.48 158.62) (width 0.25) (layer "F.Cu") (net 12) (tstamp 7096a5e2-dc55-48a3-a51d-09c76e602b9c))
+ (segment (start 139.2 151.0875) (end 137.4 149.2875) (width 0.25) (layer "F.Cu") (net 12) (tstamp 761ec6f6-6af2-4b2b-9297-d13c1caa63a8))
+ (segment (start 139.2 155.2) (end 139.2 151.0875) (width 0.25) (layer "F.Cu") (net 12) (tstamp 7d16ddd9-6c35-4c38-8818-83b0a1c1b493))
+ (segment (start 147.48 158.62) (end 147.1 159) (width 0.25) (layer "F.Cu") (net 12) (tstamp 859a5093-6e01-4ad2-9a0e-702850487e86))
+ (segment (start 143 159) (end 139.2 155.2) (width 0.25) (layer "F.Cu") (net 12) (tstamp 91354feb-8dcb-4d03-a3e1-d4f8e8b1cc54))
+ (segment (start 140.999913 129) (end 141.199923 129.20001) (width 0.25) (layer "F.Cu") (net 13) (tstamp 25261955-a84c-4205-8668-91055b76d4a4))
+ (segment (start 160.5 156.25) (end 160.5 155.1) (width 0.25) (layer "F.Cu") (net 13) (tstamp 2c434e16-2abd-4b93-9e8b-06ab5333a1a2))
+ (segment (start 157.75 159) (end 160.5 156.25) (width 0.25) (layer "F.Cu") (net 13) (tstamp 608f159d-0d74-41b8-ba9e-5862dbff0d4c))
+ (segment (start 160.5 155.1) (end 158.8 153.4) (width 0.25) (layer "F.Cu") (net 13) (tstamp 69977b14-5305-46fa-aa67-12c43a85ebab))
+ (segment (start 152.48 156.79) (end 152.48 157.48) (width 0.25) (layer "F.Cu") (net 13) (tstamp 73ddbe97-8986-4b20-b71a-afb0d5b5fda4))
+ (segment (start 139.4125 129) (end 140.999913 129) (width 0.25) (layer "F.Cu") (net 13) (tstamp 9d9d4784-61ba-4c12-9c3b-89ea97cef17a))
+ (segment (start 152.48 157.48) (end 154 159) (width 0.25) (layer "F.Cu") (net 13) (tstamp a79b6990-b1e8-4143-a172-6d7467d6bad5))
+ (segment (start 154 159) (end 157.75 159) (width 0.25) (layer "F.Cu") (net 13) (tstamp db33acde-3042-4be9-916a-7182d68b0d99))
+ (via (at 141.199923 129.20001) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 13) (tstamp e5594d74-53b3-403c-bdb3-e1d6c1a527a5))
+ (via (at 158.8 153.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 13) (tstamp fd4e079d-79f0-4a65-b97c-a81838bbc756))
+ (segment (start 159 152.1) (end 156.9 150) (width 0.25) (layer "B.Cu") (net 13) (tstamp 4eef195c-67aa-46f6-82b1-4da3464bd04e))
+ (segment (start 154.78141 150) (end 141.5 136.71859) (width 0.25) (layer "B.Cu") (net 13) (tstamp 8bbc9fd2-44bf-488d-b90e-815cd5114e74))
+ (segment (start 159 153.2) (end 159 152.1) (width 0.25) (layer "B.Cu") (net 13) (tstamp a62b990a-2e7e-41c0-bee6-c9d2ed1a16ff))
+ (segment (start 141.5 129.500087) (end 141.199923 129.20001) (width 0.25) (layer "B.Cu") (net 13) (tstamp b2239b0d-60bb-4147-98fc-42b468c23407))
+ (segment (start 156.9 150) (end 154.78141 150) (width 0.25) (layer "B.Cu") (net 13) (tstamp d52eb7a2-4d11-47b2-af71-829e3e2b339f))
+ (segment (start 158.8 153.4) (end 159 153.2) (width 0.25) (layer "B.Cu") (net 13) (tstamp f92d411b-c257-4db0-91a2-e0dda59fab31))
+ (segment (start 141.5 136.71859) (end 141.5 129.500087) (width 0.25) (layer "B.Cu") (net 13) (tstamp f9a3443d-5993-4952-a682-c68a969a82f1))
+ (segment (start 139.4125 128.5) (end 140.726996 128.5) (width 0.25) (layer "F.Cu") (net 14) (tstamp 134136e7-d174-48f6-ae3c-3c5985ae0b3e))
+ (segment (start 154.98 156.79) (end 158.96 156.79) (width 0.25) (layer "F.Cu") (net 14) (tstamp 145f8b30-572e-4cce-b7e3-9ea67757794b))
+ (segment (start 159.75 155.65) (end 159.75 156) (width 0.25) (layer "F.Cu") (net 14) (tstamp 3ba51d66-fac5-4e9f-be5a-2eb8fccf2eb4))
+ (segment (start 141.603533 128.474998) (end 142.19043 129.061895) (width 0.25) (layer "F.Cu") (net 14) (tstamp 57240b5f-a77f-4c0e-9a0f-e42c0e948b4a))
+ (segment (start 140.751998 128.474998) (end 141.603533 128.474998) (width 0.25) (layer "F.Cu") (net 14) (tstamp 57e41e5c-f62c-48e4-ae96-4dc6b418ad9a))
+ (segment (start 158.96 156.79) (end 159.75 156) (width 0.25) (layer "F.Cu") (net 14) (tstamp bbea1eff-2e98-4ac6-a375-1fdbd673fb23))
+ (segment (start 159.2 155.1) (end 159.75 155.65) (width 0.25) (layer "F.Cu") (net 14) (tstamp c050fb31-c3a7-479a-9b04-bd3f3d0e846c))
+ (segment (start 140.726996 128.5) (end 140.751998 128.474998) (width 0.25) (layer "F.Cu") (net 14) (tstamp f7d10e4c-9b47-4ffe-833f-f0e96f7d22d8))
+ (via (at 159.2 155.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 011f935a-1f8f-43d6-b9e1-5ecea2e9e220))
+ (via (at 142.190414 129.062315) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 0789f032-40da-4292-b972-f807e6f6cc36))
+ (via (at 142.190416 129.062317) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 21818009-c3be-44b4-b081-76d63d187601))
+ (via (at 142.190412 129.062318) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 2dc3a85e-fbc8-47a9-9d0d-fba05021557d))
+ (via (at 142.190416 129.062318) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 3266dd53-7024-4348-af1d-d911275a4c09))
+ (via (at 142.190422 129.062316) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 3694e8dd-6048-4371-a9db-fcb3b090c020))
+ (via (at 142.19042 129.062314) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 4205b0d5-6164-4dfa-ad69-86bcd35afbf9))
+ (via (at 142.190418 129.062318) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 44dbf01f-e658-4dc0-b7d9-1a607af3a6df))
+ (via (at 142.19041 129.062316) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 45348ae7-8628-447b-b75d-160abd412866))
+ (via (at 142.190422 129.062315) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 49ead163-69c8-4466-8baf-cd6e3a8666ce))
+ (via (at 142.190422 129.062319) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 53145f8f-6f6a-4d2a-91aa-26031edd4953))
+ (via (at 142.190422 129.062318) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 54a4810f-17db-4487-bf71-75e213da1fbe))
+ (via (at 142.190416 129.062315) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 552ee4f5-149e-4191-b6f2-b6533b9f8f50))
+ (via (at 142.190418 129.062314) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 58c1f010-d2d9-4925-9aff-f64b4bc354da))
+ (via (at 142.190422 129.062317) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 5f587e43-53d7-4131-b504-3008b64869a1))
+ (via (at 142.190414 129.062316) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 6a1b20d8-1fdd-4566-a02c-a96faa2dcd75))
+ (via (at 142.190414 129.062317) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 6d9636a3-8b23-4f6b-bad6-e2053767e7d4))
+ (via (at 142.190416 129.062314) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 6fa191b7-9856-4367-8396-07ca0319aa85))
+ (via (at 142.190414 129.062318) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 75f758dd-91b0-4555-85f3-257ffaa2858c))
+ (via (at 142.190418 129.062316) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 7f03aa23-7937-4dcd-9be1-f9caa745690b))
+ (via (at 142.190422 129.062314) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 83f7e4fd-c35e-46e8-aec5-f4a68b9ecaa0))
+ (via (at 142.190418 129.062317) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 9141e21d-ec93-4c18-88c6-3d1befbe5017))
+ (via (at 142.19042 129.062318) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 9180648f-8319-41ea-8cbd-d37cd0074e0e))
+ (via (at 142.190412 129.062317) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp 9961dd77-672f-485b-8519-0c65b5478d49))
+ (via (at 142.19043 129.061895) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp a4bb0062-897e-4fc4-b4ec-190698d8c413))
+ (via (at 142.190412 129.062315) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp abbd0e25-e108-4e01-a274-8418cd5af972))
+ (via (at 142.190412 129.062316) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp ae974edf-515e-4ebe-b049-ce6daa31a021))
+ (via (at 142.190418 129.062315) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp c53a831a-2deb-4ff3-a7a7-86926290d576))
+ (via (at 142.190416 129.062316) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp d2cb2b60-53de-4969-92aa-9073e3da7f70))
+ (via (at 142.19042 129.062316) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp de7bd3ae-b4d7-422c-a6a0-d23fcee2955a))
+ (via (at 142.19042 129.062317) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp ecddeec1-4772-4ca7-8b4b-2919c92d2a02))
+ (via (at 142.19042 129.062315) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp eefa847f-68b5-453a-be79-ebb150772bf9))
+ (via (at 142.19041 129.062318) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp fb9677bd-6813-4d70-940c-c5916f5a9694))
+ (segment (start 159.2 155.1) (end 159.525002 154.774998) (width 0.25) (layer "B.Cu") (net 14) (tstamp 35468309-f139-45a5-adef-90bced6aed41))
+ (segment (start 159.525002 151.888591) (end 157.1864 149.549989) (width 0.25) (layer "B.Cu") (net 14) (tstamp 43875248-8357-4b5b-8b1b-b2f154c7a90d))
+ (segment (start 141.950011 129.302797) (end 142.190489 129.062319) (width 0.25) (layer "B.Cu") (net 14) (tstamp 5eb0d376-e79d-4113-8d56-fd80bcd161d4))
+ (segment (start 141.950011 136.53219) (end 141.950011 129.302797) (width 0.25) (layer "B.Cu") (net 14) (tstamp 6bcc8a5d-0b49-477a-9953-395a8d52be98))
+ (segment (start 159.525002 154.774998) (end 159.525002 151.888591) (width 0.25) (layer "B.Cu") (net 14) (tstamp 7aad1e15-1bf2-422a-a905-5c708f43a24e))
+ (segment (start 154.96781 149.549989) (end 141.950011 136.53219) (width 0.25) (layer "B.Cu") (net 14) (tstamp 81dbe668-178b-48bd-bc45-6247b5352797))
+ (segment (start 157.1864 149.549989) (end 154.96781 149.549989) (width 0.25) (layer "B.Cu") (net 14) (tstamp c4027cae-ba12-41dc-a070-9f7c2e03a4dc))
+ (segment (start 154.98 153.19) (end 154.98 152.08) (width 0.25) (layer "F.Cu") (net 15) (tstamp 3c6b2c1b-6ba5-449f-b148-6cce27fc6072))
+ (segment (start 141.6 126) (end 139.4125 126) (width 0.25) (layer "F.Cu") (net 15) (tstamp 42b6931f-8203-49f3-ad0c-95027b2fdf9b))
+ (segment (start 154.98 152.08) (end 153.3 150.4) (width 0.25) (layer "F.Cu") (net 15) (tstamp c2bdaab6-58bd-4591-8194-9f2386c05a26))
+ (segment (start 142.2 126.6) (end 141.6 126) (width 0.25) (layer "F.Cu") (net 15) (tstamp e4bbbcc4-1c79-4b69-9226-082b0c96a9a8))
+ (via (at 142.2 126.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 15) (tstamp 3104d319-31d2-49b2-909e-436e0317eefd))
+ (via (at 153.3 150.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 15) (tstamp 3bdb6f7f-2f95-4aac-a9ff-6d97f03917fd))
+ (segment (start 142.2 126.7) (end 142.2 126.6) (width 0.25) (layer "B.Cu") (net 15) (tstamp 1b522aee-98bf-4785-9209-47591c432da2))
+ (segment (start 139.3 129.6) (end 142.2 126.7) (width 0.25) (layer "B.Cu") (net 15) (tstamp 273ae67a-244b-4df2-a6b1-9fe5fecc7151))
+ (segment (start 153.3 149.8) (end 140.5 137) (width 0.25) (layer "B.Cu") (net 15) (tstamp 88ee4d15-b968-4434-a6a9-bebb88cd9a54))
+ (segment (start 139.3 131.9) (end 139.3 129.6) (width 0.25) (layer "B.Cu") (net 15) (tstamp 8b0fc0a5-04f8-4147-b180-ca701c8ca14f))
+ (segment (start 140.5 133.1) (end 139.3 131.9) (width 0.25) (layer "B.Cu") (net 15) (tstamp b506c811-9ed1-4201-8bb6-f7476ae58000))
+ (segment (start 153.3 150.4) (end 153.3 149.8) (width 0.25) (layer "B.Cu") (net 15) (tstamp c0cb7f0e-723a-434b-a1ac-23e851f037bd))
+ (segment (start 140.5 137) (end 140.5 133.1) (width 0.25) (layer "B.Cu") (net 15) (tstamp c35bfb0c-ea27-44dc-bc73-0fadd0c6844c))
+ (segment (start 152.2 150.4) (end 152.2 152.91) (width 0.25) (layer "F.Cu") (net 16) (tstamp 02c0a538-4f13-4ac6-89eb-80a5ca6ca076))
+ (segment (start 140.6 126.5) (end 140.9 126.8) (width 0.25) (layer "F.Cu") (net 16) (tstamp 1421387d-61a4-4a1a-8cd4-bd00265df2cf))
+ (segment (start 152.2 152.91) (end 152.48 153.19) (width 0.25) (layer "F.Cu") (net 16) (tstamp 458e6395-145e-43cd-9132-076e279a869e))
+ (segment (start 139.4125 126.5) (end 140.6 126.5) (width 0.25) (layer "F.Cu") (net 16) (tstamp af77ea14-4f51-43f3-afbb-6fe212fded9c))
+ (via (at 152.2 150.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 021be3ac-29d8-4f9e-b554-e169099bfecd))
+ (via (at 140.9 126.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp c4b28268-cb38-432a-abe2-73d4fe4840d7))
+ (segment (start 138.8 129.46359) (end 138.8 130.6) (width 0.25) (layer "B.Cu") (net 16) (tstamp 0f61be0a-2ace-4975-b635-27e97f86cda8))
+ (segment (start 140.9 127.36359) (end 138.8 129.46359) (width 0.25) (layer "B.Cu") (net 16) (tstamp 3280a3f4-b0f6-47ae-8e98-1e1b29d5ffdd))
+ (segment (start 152.2 149.33641) (end 152.2 150.4) (width 0.25) (layer "B.Cu") (net 16) (tstamp 51da3fcf-e2dc-48f7-9b19-adc6ffe39487))
+ (segment (start 140 137.13641) (end 140 133.3) (width 0.25) (layer "B.Cu") (net 16) (tstamp 51f93625-eb2b-437e-8132-8a43bac753e3))
+ (segment (start 140.9 126.8) (end 140.9 127.36359) (width 0.25) (layer "B.Cu") (net 16) (tstamp 8f8b1aad-1a89-458c-83c3-5a8d6b5879ec))
+ (segment (start 140.131795 137.268205) (end 152.2 149.33641) (width 0.25) (layer "B.Cu") (net 16) (tstamp aa93e21e-694e-4c7c-9783-536d8177d708))
+ (segment (start 140.131795 137.268205) (end 140 137.13641) (width 0.25) (layer "B.Cu") (net 16) (tstamp c799f03d-120f-4cf8-b675-5dfc7f263842))
+ (segment (start 140 133.3) (end 138.8 132.1) (width 0.25) (layer "B.Cu") (net 16) (tstamp dc7d5835-2821-46c1-8755-13ad1b741ea1))
+ (segment (start 138.8 132.1) (end 138.8 130.6) (width 0.25) (layer "B.Cu") (net 16) (tstamp e4420b5a-17a1-4f29-9b16-cdeb52acbc9b))
+ (segment (start 147.48 153.19) (end 147.48 149.4075) (width 0.25) (layer "F.Cu") (net 17) (tstamp 73da59a3-e1e3-48e4-b50d-8bcea0b9e814))
+ (segment (start 147.48 149.4075) (end 147.6 149.2875) (width 0.25) (layer "F.Cu") (net 17) (tstamp fbb559ea-bed2-4c6b-a1f8-776be9d59881))
+ (segment (start 144.98 153.19) (end 144.98 150.0675) (width 0.25) (layer "F.Cu") (net 18) (tstamp 730d0584-1f41-4937-9e88-7d4c08eb904e))
+ (segment (start 144.98 150.0675) (end 144.2 149.2875) (width 0.25) (layer "F.Cu") (net 18) (tstamp eeb4fb9c-2512-4d93-ae23-2c7e6e6e9f28))
+ (segment (start 142.5 149.2875) (end 142.5 151.8) (width 0.25) (layer "F.Cu") (net 21) (tstamp 2dd015f5-f7ff-4d8d-9894-0e57097723cf))
+ (via (at 142.5 151.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 21) (tstamp ffdd7bb0-257f-4033-bf0e-8a1068a34bc4))
+ (segment (start 140.9 155.7) (end 141.99 156.79) (width 0.25) (layer "B.Cu") (net 21) (tstamp 0895c179-87bb-4c7a-a42d-08974a17d80f))
+ (segment (start 141.99 156.79) (end 144.98 156.79) (width 0.25) (layer "B.Cu") (net 21) (tstamp 632122f6-ce58-4644-802c-86f8e032e81e))
+ (segment (start 142.5 151.8) (end 140.9 153.4) (width 0.25) (layer "B.Cu") (net 21) (tstamp b172996a-aad5-473e-ad03-28deb2fd0fb0))
+ (segment (start 140.9 153.4) (end 140.9 155.7) (width 0.25) (layer "B.Cu") (net 21) (tstamp f8a5e006-8f5d-4f8e-b99f-d0946891478d))
+ (segment (start 139.1 149.2875) (end 140 150.1875) (width 0.25) (layer "F.Cu") (net 24) (tstamp 296d6c32-cef0-4070-87bc-2b05289d3188))
+ (segment (start 140 150.1875) (end 140 154.6) (width 0.25) (layer "F.Cu") (net 24) (tstamp 734dc946-249c-4d82-a5c8-77acc4aafe56))
+ (via (at 140 154.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 24) (tstamp 0d0d7052-623a-4eff-a76a-716257c2470c))
+ (segment (start 143 159) (end 140 156) (width 0.25) (layer "B.Cu") (net 24) (tstamp 32bf7ac4-e549-4405-a755-f077e98b9205))
+ (segment (start 147.48 156.79) (end 147.48 158.62) (width 0.25) (layer "B.Cu") (net 24) (tstamp 444e837b-62a3-4800-b6c8-ad6531b9a9f6))
+ (segment (start 147.1 159) (end 143 159) (width 0.25) (layer "B.Cu") (net 24) (tstamp 8571cc6b-2cd8-4ffd-9662-7ed89c08ff2c))
+ (segment (start 140 156) (end 140 154.6) (width 0.25) (layer "B.Cu") (net 24) (tstamp 8ba77c5b-004a-47dd-9868-55a6703d5244))
+ (segment (start 147.48 158.62) (end 147.1 159) (width 0.25) (layer "B.Cu") (net 24) (tstamp b4216e85-d3f3-42b9-b95e-ab3554341fe7))
+ (segment (start 142.201539 128) (end 139.4125 128) (width 0.25) (layer "F.Cu") (net 25) (tstamp 21d6aa37-a9d8-42ed-87e3-559bcb6a9d65))
+ (segment (start 143.4 131.4) (end 143.4 129.198461) (width 0.25) (layer "F.Cu") (net 25) (tstamp 5041e905-91f8-43cc-91c1-3b2dbda751e7))
+ (segment (start 143.4 129.198461) (end 142.201539 128) (width 0.25) (layer "F.Cu") (net 25) (tstamp da13b374-1502-4191-b6c9-528390a87cae))
+ (via (at 143.3 131.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 25) (tstamp 8fcc2a37-3ee7-4373-8e9a-98ccc36681c8))
+ (segment (start 142.850033 136.159392) (end 155.340608 148.649967) (width 0.25) (layer "B.Cu") (net 25) (tstamp 360a17dd-6d62-400e-8a47-6c07cc281f4e))
+ (segment (start 142.850033 132.125338) (end 142.850033 136.159392) (width 0.25) (layer "B.Cu") (net 25) (tstamp 4f726ecb-40c8-44da-8fce-755330846149))
+ (segment (start 160.425024 151.515791) (end 160.425024 155.584391) (width 0.25) (layer "B.Cu") (net 25) (tstamp 577398cb-bae4-48ab-b09e-4b40bb6c7756))
+ (segment (start 152.48 157.39) (end 152.48 156.79) (width 0.25) (layer "B.Cu") (net 25) (tstamp 7cd2522b-e72d-463d-bc2d-243b30251044))
+ (segment (start 154.09 159) (end 152.48 157.39) (width 0.25) (layer "B.Cu") (net 25) (tstamp 8f34481d-bd21-48f0-aa07-10ff1c9b534b))
+ (segment (start 157.5592 148.649967) (end 160.425024 151.515791) (width 0.25) (layer "B.Cu") (net 25) (tstamp 979af461-80df-44c1-bfe6-cf94d635c54b))
+ (segment (start 143.3 131.5) (end 142.850033 132.125338) (width 0.25) (layer "B.Cu") (net 25) (tstamp ad7d0cba-5257-4538-9f58-6493567b042f))
+ (segment (start 157.009415 159) (end 154.09 159) (width 0.25) (layer "B.Cu") (net 25) (tstamp c637123e-b07b-4a35-91ea-10c5cba8110c))
+ (segment (start 160.425024 155.584391) (end 157.009415 159) (width 0.25) (layer "B.Cu") (net 25) (tstamp d8b6e255-b200-4f4f-845b-120af148217c))
+ (segment (start 155.340608 148.649967) (end 157.5592 148.649967) (width 0.25) (layer "B.Cu") (net 25) (tstamp f83ef2a1-b76f-4e68-b802-711784c68c77))
+ (segment (start 140.551998 127.525002) (end 142.874998 127.525002) (width 0.25) (layer "F.Cu") (net 26) (tstamp 88243ea9-f5d4-4269-9811-6f34477c7d5a))
+ (segment (start 139.4125 127.5) (end 140.526996 127.5) (width 0.25) (layer "F.Cu") (net 26) (tstamp 96521ca9-cad6-4fd1-a6cd-e4738d254a8c))
+ (segment (start 142.874998 127.525002) (end 142.9 127.5) (width 0.25) (layer "F.Cu") (net 26) (tstamp 97f08698-14e0-4ea2-b3ab-042f2b2cf58e))
+ (segment (start 140.526996 127.5) (end 140.551998 127.525002) (width 0.25) (layer "F.Cu") (net 26) (tstamp b228937e-b254-419c-bae0-4d1288b1ccef))
+ (via (at 142.9 127.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 26) (tstamp 0e710af1-bf43-4d61-8e8e-4c2dbf9c0364))
+ (segment (start 157.3728 149.099978) (end 159.975013 151.702191) (width 0.25) (layer "B.Cu") (net 26) (tstamp 116f794a-f345-4165-a233-b48d32ad813b))
+ (segment (start 142.915431 127.515431) (end 142.915431 129.409896) (width 0.25) (layer "B.Cu") (net 26) (tstamp 3e19f0a9-8a2d-4344-8c13-f555904343bc))
+ (segment (start 159.975013 155.397991) (end 158.583004 156.79) (width 0.25) (layer "B.Cu") (net 26) (tstamp 3fcd7e6d-781d-4b7e-91b9-653144805fa1))
+ (segment (start 155.154209 149.099978) (end 157.3728 149.099978) (width 0.25) (layer "B.Cu") (net 26) (tstamp 465e1fea-b3e4-482b-a363-4c741137702f))
+ (segment (start 142.400022 129.925305) (end 142.400022 136.345791) (width 0.25) (layer "B.Cu") (net 26) (tstamp 5ce4e5d9-eb9b-49e8-90ab-221204860809))
+ (segment (start 142.9 127.5) (end 142.915431 127.515431) (width 0.25) (layer "B.Cu") (net 26) (tstamp 792aab3a-aca5-4216-82bf-59825b3be5b0))
+ (segment (start 142.400022 136.345791) (end 155.154209 149.099978) (width 0.25) (layer "B.Cu") (net 26) (tstamp b0604072-50a1-42fb-958b-3ebbbe4b90cc))
+ (segment (start 142.915431 129.409896) (end 142.400022 129.925305) (width 0.25) (layer "B.Cu") (net 26) (tstamp b9043b7a-e27c-417c-8e6c-146887f81bab))
+ (segment (start 158.583004 156.79) (end 154.98 156.79) (width 0.25) (layer "B.Cu") (net 26) (tstamp d1ce58db-85bd-4324-99d3-17d783d20f0f))
+ (segment (start 159.975013 151.702191) (end 159.975013 155.397991) (width 0.25) (layer "B.Cu") (net 26) (tstamp fa63df63-911a-4bcd-8ed2-ba5f488f0a72))
+ (segment (start 141.099999 131.700001) (end 140.7 132.1) (width 0.25) (layer "F.Cu") (net 27) (tstamp 567ea504-0b2d-4697-aee8-a0aebdf4223c))
+ (segment (start 140.353368 129.5) (end 141.099999 130.246631) (width 0.25) (layer "F.Cu") (net 27) (tstamp 9b2297dd-9ca2-43b4-8ef3-737c7ebdcc72))
+ (segment (start 139.4125 129.5) (end 140.353368 129.5) (width 0.25) (layer "F.Cu") (net 27) (tstamp c6cbd2e9-6e8c-4947-ace7-4b32d4f16c09))
+ (segment (start 141.099999 130.246631) (end 141.099999 131.700001) (width 0.25) (layer "F.Cu") (net 27) (tstamp f08d673b-a695-485a-9736-4b66c5864f95))
+ (via (at 140.7 132.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 27) (tstamp b032305a-80f1-4bdd-938f-8f599c76129e))
+ (segment (start 154.98 150.835) (end 141.04999 136.90499) (width 0.25) (layer "B.Cu") (net 27) (tstamp 080e8470-ce86-44c2-8dc2-0d74147be4e6))
+ (segment (start 141.04999 136.90499) (end 141.04999 132.44999) (width 0.25) (layer "B.Cu") (net 27) (tstamp 20bbaf70-82c8-43fa-9d40-dacbbdb28e3d))
+ (segment (start 154.98 153.19) (end 154.98 150.835) (width 0.25) (layer "B.Cu") (net 27) (tstamp 4dda171e-a01f-4268-8532-1be1ee500695))
+ (segment (start 141.04999 132.44999) (end 140.7 132.1) (width 0.25) (layer "B.Cu") (net 27) (tstamp a6674922-4603-4286-9c8f-54b23cfe0718))
+ (segment (start 127.6 129.651147) (end 127.6 128.6) (width 0.25) (layer "F.Cu") (net 28) (tstamp 024202b9-89a3-4482-894d-055ff82ce279))
+ (segment (start 127.6 128.6) (end 128.6 127.6) (width 0.25) (layer "F.Cu") (net 28) (tstamp 037027e8-4fc7-4868-a37b-0882e3b45901))
+ (segment (start 129.425862 132.350195) (end 129.425862 131.477009) (width 0.25) (layer "F.Cu") (net 28) (tstamp 72d1fb57-f358-476a-b480-5959c1dc8d05))
+ (segment (start 136.475667 139.4) (end 129.425862 132.350195) (width 0.25) (layer "F.Cu") (net 28) (tstamp 7c66c195-37ba-42f3-a061-229173a34af7))
+ (segment (start 128.6 127.6) (end 129.141521 127.6) (width 0.25) (layer "F.Cu") (net 28) (tstamp 894d6b93-90c6-4489-8f1b-7dbde1921a0f))
+ (segment (start 129.048853 131.1) (end 127.9 131.1) (width 0.25) (layer "F.Cu") (net 28) (tstamp a0ba92dc-1234-406f-8530-1cd48e7f8904))
+ (segment (start 129.425862 131.477009) (end 129.048853 131.1) (width 0.25) (layer "F.Cu") (net 28) (tstamp a5f5c454-5008-4ff5-b62f-7fc73ffe39be))
+ (segment (start 130.041521 128.5) (end 131.0875 128.5) (width 0.25) (layer "F.Cu") (net 28) (tstamp b652adc1-1985-4e78-ad4a-e9ba7f61beaa))
+ (segment (start 127.6 130.8) (end 127.6 129.651147) (width 0.25) (layer "F.Cu") (net 28) (tstamp c97c7aff-793e-4ec4-9e28-653edb548982))
+ (segment (start 139.5 139.4) (end 136.475667 139.4) (width 0.25) (layer "F.Cu") (net 28) (tstamp dab41474-ac82-4d21-b168-537ec3cde1dc))
+ (segment (start 129.141521 127.6) (end 130.041521 128.5) (width 0.25) (layer "F.Cu") (net 28) (tstamp e1dd8b73-257e-4b43-851e-b837cc9da9bf))
+ (segment (start 127.9 131.1) (end 127.6 130.8) (width 0.25) (layer "F.Cu") (net 28) (tstamp f581602a-7273-43e4-abb0-710b7c622b73))
+ (via (at 139.5 139.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 28) (tstamp 83888249-a815-435b-8ba7-2d752d618237))
+ (segment (start 141.6 139.4) (end 139.5 139.4) (width 0.25) (layer "B.Cu") (net 28) (tstamp 2abb4766-816f-41e3-ad91-4141ae74cce9))
+ (segment (start 151.474999 150.748001) (end 151.474999 149.274999) (width 0.25) (layer "B.Cu") (net 28) (tstamp 5a112623-efbb-4828-a82c-74b403532e5a))
+ (segment (start 151.474999 149.274999) (end 141.6 139.4) (width 0.25) (layer "B.Cu") (net 28) (tstamp 5dfaed89-1982-4192-a1e5-91ab85bbb066))
+ (segment (start 152.1 152.81) (end 152.1 151.373002) (width 0.25) (layer "B.Cu") (net 28) (tstamp a63bee3c-22a1-4d2b-a2e1-d4ef9ace91ba))
+ (segment (start 152.1 151.373002) (end 151.474999 150.748001) (width 0.25) (layer "B.Cu") (net 28) (tstamp d31a0d4b-5a91-46b9-92cc-9275ad44de20))
+ (segment (start 152.48 153.19) (end 152.1 152.81) (width 0.25) (layer "B.Cu") (net 28) (tstamp dca99625-2b95-40ee-becf-675201b33991))
+ (segment (start 149.3 149.9) (end 148.5 150.7) (width 0.25) (layer "F.Cu") (net 31) (tstamp 206df630-25b0-448a-912e-2592e019b27e))
+ (segment (start 149.3 149.2875) (end 149.3 149.9) (width 0.25) (layer "F.Cu") (net 31) (tstamp d25459d6-184f-493a-a89c-f65a9b2bb72c))
+ (via (at 148.5 150.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 31) (tstamp cc2ccf7c-d428-4120-9f03-251bd11a969e))
+ (segment (start 147.8 150.7) (end 147.48 151.02) (width 0.25) (layer "B.Cu") (net 31) (tstamp 6d753fb4-203c-41df-997d-323d5fee83b1))
+ (segment (start 148.5 150.7) (end 147.8 150.7) (width 0.25) (layer "B.Cu") (net 31) (tstamp cf85eec5-a92d-4711-a84e-5c9c553d8177))
+ (segment (start 147.48 151.02) (end 147.48 153.19) (width 0.25) (layer "B.Cu") (net 31) (tstamp d954e198-041c-4e91-a7ae-a401b7883fa9))
+ (segment (start 145.9 150.8) (end 145.9 149.2875) (width 0.25) (layer "F.Cu") (net 32) (tstamp 5053c238-1831-45de-a1c0-5f8e028aed81))
+ (via (at 145.9 150.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 32) (tstamp e8e5964b-ea6f-4263-a8aa-86e2b761b0ae))
+ (segment (start 144.98 153.19) (end 144.98 151.02) (width 0.25) (layer "B.Cu") (net 32) (tstamp 0d7880c3-fc67-4d7b-ab7e-87b32f1f5cbe))
+ (segment (start 145.2 150.8) (end 145.9 150.8) (width 0.25) (layer "B.Cu") (net 32) (tstamp cc623360-6e18-4d33-ba95-79fb0ae2b545))
+ (segment (start 144.98 151.02) (end 145.2 150.8) (width 0.25) (layer "B.Cu") (net 32) (tstamp d2b76a93-27eb-43c6-aa91-56499dd60145))
+ (segment (start 112.6125 62.6) (end 108.74891 62.6) (width 0.25) (layer "F.Cu") (net 33) (tstamp 06a0bdf3-7906-4b47-ab22-0f080ecc9f81))
+ (segment (start 113.7125 61.5) (end 112.6125 62.6) (width 0.25) (layer "F.Cu") (net 33) (tstamp 431d2421-97cf-4e5f-b880-1ff9d04a000d))
+ (segment (start 106.202293 63.05001) (end 103.321154 65.931149) (width 0.25) (layer "F.Cu") (net 33) (tstamp 6b540b91-61ea-4454-aa4b-fb431f05238e))
+ (segment (start 108.2989 63.05001) (end 106.202293 63.05001) (width 0.25) (layer "F.Cu") (net 33) (tstamp a85c907f-4c02-4bcc-86dc-1d6e47f7a0c0))
+ (segment (start 103.321154 65.931149) (end 103.321154 67.269873) (width 0.25) (layer "F.Cu") (net 33) (tstamp bd742583-9ef2-4040-9f13-7ae2667a2608))
+ (segment (start 108.74891 62.6) (end 108.2989 63.05001) (width 0.25) (layer "F.Cu") (net 33) (tstamp d020a091-e36d-4bd2-b5d2-e31dd73d0319))
+ (segment (start 108.1125 62.6) (end 107 62.6) (width 0.25) (layer "F.Cu") (net 34) (tstamp 043d88f8-004a-45a8-9326-7538370e39f6))
+ (segment (start 103.415893 65.2) (end 106.015893 62.6) (width 0.25) (layer "F.Cu") (net 34) (tstamp 1d0756e4-726c-47ea-9f9c-3aa60f9f5157))
+ (segment (start 100.9 68.263782) (end 100.9 66.6) (width 0.25) (layer "F.Cu") (net 34) (tstamp 3d0f8644-24ba-44b2-9bd1-324b82a406e5))
+ (segment (start 106.015893 62.6) (end 107 62.6) (width 0.25) (layer "F.Cu") (net 34) (tstamp 74ece574-4bc3-4331-bba1-1cc4155173db))
+ (segment (start 102.071154 69.434936) (end 100.9 68.263782) (width 0.25) (layer "F.Cu") (net 34) (tstamp a46be02a-7df1-49f1-8582-c3728aeb810c))
+ (segment (start 100.9 66.6) (end 102.3 65.2) (width 0.25) (layer "F.Cu") (net 34) (tstamp b1b39a84-a43e-415c-bc6b-65f5c788bf6d))
+ (segment (start 102.3 65.2) (end 103.415893 65.2) (width 0.25) (layer "F.Cu") (net 34) (tstamp eb0eca9c-8c6d-44f8-a416-d86d8d47d5b7))
+ (segment (start 109.2125 61.5) (end 108.1125 62.6) (width 0.25) (layer "F.Cu") (net 34) (tstamp eff7bb09-aa07-45a1-865f-cb581c2b28f2))
+ (segment (start 96.1 74.8) (end 96.1 75.5) (width 0.25) (layer "F.Cu") (net 35) (tstamp 1caff5cf-ba3e-4f55-9436-172aaa07aebe))
+ (segment (start 97.1 94.6) (end 126.5 124) (width 0.25) (layer "F.Cu") (net 35) (tstamp 2fefae52-d81e-4df2-a25a-1ee47f7b284d))
+ (segment (start 99.571154 73.765064) (end 99.00609 73.2) (width 0.25) (layer "F.Cu") (net 35) (tstamp 30ccd72e-eae1-417e-924a-ad084efa1466))
+ (segment (start 97.7 73.2) (end 96.1 74.8) (width 0.25) (layer "F.Cu") (net 35) (tstamp 31e47600-f2c1-48e5-8f8c-a17de66a2298))
+ (segment (start 96.247106 77.452894) (end 96.247106 80.547106) (width 0.25) (layer "F.Cu") (net 35) (tstamp 3900cf08-60ac-4298-96fb-2ae2e187ad2d))
+ (segment (start 99.00609 73.2) (end 97.7 73.2) (width 0.25) (layer "F.Cu") (net 35) (tstamp 5dad7490-7ff4-4f16-a852-9af0043a3560))
+ (segment (start 97.1 81.4) (end 97.1 94.6) (width 0.25) (layer "F.Cu") (net 35) (tstamp 76ecaf40-234d-4b63-a98a-fd654b3116b3))
+ (segment (start 132.7 126.7) (end 131.9 127.5) (width 0.25) (layer "F.Cu") (net 35) (tstamp 7ce0f274-bf5e-440c-bea9-49a38c97beb0))
+ (segment (start 95.6 76.805788) (end 96.247106 77.452894) (width 0.25) (layer "F.Cu") (net 35) (tstamp 8d522187-a295-42d0-b34b-360efc638f6a))
+ (segment (start 95.6 76) (end 95.6 76.805788) (width 0.25) (layer "F.Cu") (net 35) (tstamp a32a3c26-35e8-4dc8-908d-da553993d39c))
+ (segment (start 131.9 127.5) (end 131.0875 127.5) (width 0.25) (layer "F.Cu") (net 35) (tstamp b338edba-26ef-4489-9866-0bbc8603bbc4))
+ (segment (start 96.247106 80.547106) (end 97.1 81.4) (width 0.25) (layer "F.Cu") (locked) (net 35) (tstamp c1a6ed66-ac11-4365-9c47-06d665201f5b))
+ (segment (start 96.1 75.5) (end 95.6 76) (width 0.25) (layer "F.Cu") (net 35) (tstamp e7f65b80-bcfa-4f69-b960-9c867c764a3a))
+ (via (at 126.5 124) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 35) (tstamp 7c023908-e3f5-4f89-999b-94d7e189cc41))
+ (via (at 132.7 126.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 35) (tstamp 7c2654ac-6339-45f9-8e8e-4bf83a2751a0))
+ (segment (start 131.1 125.1) (end 132.7 126.7) (width 0.25) (layer "B.Cu") (net 35) (tstamp 5037fbc0-665c-4576-9068-9d7d6f7a7754))
+ (segment (start 126.5 124) (end 127.1 124) (width 0.25) (layer "B.Cu") (net 35) (tstamp 668bc828-d533-4b40-a77b-2d8ecd72a46e))
+ (segment (start 128.2 125.1) (end 131.1 125.1) (width 0.25) (layer "B.Cu") (net 35) (tstamp d0323e4c-4852-47c0-a517-0d906be75bf2))
+ (segment (start 127.1 124) (end 128.2 125.1) (width 0.25) (layer "B.Cu") (net 35) (tstamp fc93cd44-b9ff-4047-a447-339c7440e0fb))
+ (segment (start 96.9 77.351281) (end 98.321154 75.930127) (width 0.25) (layer "F.Cu") (net 36) (tstamp 0d83996e-af81-444e-90e9-b4becf5dfaaa))
+ (segment (start 96.9 80.563589) (end 96.9 77.351281) (width 0.25) (layer "F.Cu") (net 36) (tstamp 2694056b-a648-4e2e-96a3-0be79b781ea6))
+ (segment (start 97.550011 81.2136) (end 96.9 80.563589) (width 0.25) (layer "F.Cu") (net 36) (tstamp 31f1b5f7-aade-4546-9e22-3f5843e7edbb))
+ (segment (start 131.0875 128) (end 132.42532 128) (width 0.25) (layer "F.Cu") (net 36) (tstamp 986b9dca-7e23-4e96-8398-308b2c16be67))
+ (segment (start 97.550011 94.350011) (end 97.550011 81.2136) (width 0.25) (layer "F.Cu") (net 36) (tstamp af20334b-a16e-4ce1-98f0-2f646332b457))
+ (segment (start 127.274999 123.274999) (end 126.474999 123.274999) (width 0.25) (layer "F.Cu") (net 36) (tstamp bd0bbdeb-24b2-465f-9b14-0811b714f32f))
+ (segment (start 126.474999 123.274999) (end 97.550011 94.350011) (width 0.25) (layer "F.Cu") (net 36) (tstamp cf58da56-d58a-4f67-8f3f-1334550c843d))
+ (segment (start 132.42532 128) (end 132.46266 127.96266) (width 0.25) (layer "F.Cu") (net 36) (tstamp deaf04ed-786b-452d-af6b-a230b696ed38))
+ (segment (start 127.3 123.3) (end 127.274999 123.274999) (width 0.25) (layer "F.Cu") (net 36) (tstamp e35df749-f756-48fe-9370-911ab5b6015a))
+ (via (at 127.3 123.27499) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 36) (tstamp 0ac6eed5-3d55-42e0-bdf2-4faa58fe1849))
+ (via (at 132.46266 127.96266) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 36) (tstamp 38ca2422-ce27-438f-b562-e013472f3dfa))
+ (segment (start 131.573004 124.5) (end 128.52501 124.5) (width 0.25) (layer "B.Cu") (net 36) (tstamp 554f026f-f2d7-426e-8975-f7651ec79508))
+ (segment (start 133.425002 126.351998) (end 131.573004 124.5) (width 0.25) (layer "B.Cu") (net 36) (tstamp 64489607-a018-4917-983a-3a74a7f85a44))
+ (segment (start 133.425002 127.440683) (end 133.425002 126.351998) (width 0.25) (layer "B.Cu") (net 36) (tstamp 78cdee6a-16b0-4e1a-a606-e4bccc9f824b))
+ (segment (start 128.52501 124.5) (end 127.3 123.27499) (width 0.25) (layer "B.Cu") (net 36) (tstamp 8a56c3ea-139b-49f7-b3bd-cd3eadf6ca37))
+ (segment (start 132.965685 127.9) (end 133.425002 127.440683) (width 0.25) (layer "B.Cu") (net 36) (tstamp c2919a79-d2d0-4707-9140-dc0544f3b01e))
+ (segment (start 132.4 127.9) (end 132.965685 127.9) (width 0.25) (layer "B.Cu") (net 36) (tstamp eca0c05b-2c8d-4590-861a-7ab9118e1742))
+ (segment (start 107.4 65.2) (end 112.3 65.2) (width 0.25) (layer "F.Cu") (net 37) (tstamp 957351b9-a21d-4596-a37c-55dd7b5f4ca5))
+ (segment (start 112.3 65.2) (end 113.5 64) (width 0.25) (layer "F.Cu") (net 37) (tstamp fb2195e6-3d43-4036-9a0e-eb41d019d341))
+ (via (at 107.4 65.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 37) (tstamp a1997156-6c15-41ea-96a3-7e13b66b5f54))
+ (segment (start 103.321154 66.078846) (end 104.6 64.8) (width 0.25) (layer "B.Cu") (net 37) (tstamp 2d1e681d-1a64-4300-86ff-c0821ea3db9c))
+ (segment (start 104.6 64.8) (end 107 64.8) (width 0.25) (layer "B.Cu") (net 37) (tstamp a7b75391-9c7c-4a95-9b01-fe30a788182e))
+ (segment (start 107 64.8) (end 107.4 65.2) (width 0.25) (layer "B.Cu") (net 37) (tstamp b88cb5eb-e734-4225-a467-a1ca41915ae6))
+ (segment (start 103.321154 67.269873) (end 103.321154 66.078846) (width 0.25) (layer "B.Cu") (net 37) (tstamp d7914b85-a215-4386-9c17-c6439a80ac6c))
+ (segment (start 109.2125 64) (end 106.210778 64) (width 0.25) (layer "F.Cu") (net 38) (tstamp 8a96be6e-0156-4153-a95f-875a187fe002))
+ (via (at 106.3 64) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 38) (tstamp 2f8db78a-0b9e-4937-ad49-be98abb9f8d4))
+ (segment (start 100.9 68.263782) (end 102.071154 69.434936) (width 0.25) (layer "B.Cu") (net 38) (tstamp 4a02c584-d564-4f54-b06d-8877af565ee3))
+ (segment (start 104.76359 64) (end 103.56359 65.2) (width 0.25) (layer "B.Cu") (net 38) (tstamp 60f2cf4e-1e17-4efd-9b71-0ca844a087bb))
+ (segment (start 103.56359 65.2) (end 102.3 65.2) (width 0.25) (layer "B.Cu") (net 38) (tstamp 669b9385-9e46-4c2f-8c23-5ab325a7f72a))
+ (segment (start 102.3 65.2) (end 100.9 66.6) (width 0.25) (layer "B.Cu") (net 38) (tstamp 67931440-28d3-404c-983a-55ac1d3528a1))
+ (segment (start 106.3 64) (end 104.76359 64) (width 0.25) (layer "B.Cu") (net 38) (tstamp 87eaf47d-d2b7-451d-b087-b087d875daa4))
+ (segment (start 100.9 66.6) (end 100.9 68.263782) (width 0.25) (layer "B.Cu") (net 38) (tstamp b4ad2a2c-1af6-46d0-bd02-9bc46dd88844))
+ (segment (start 129.3743 128.675978) (end 129.311058 128.675978) (width 0.25) (layer "F.Cu") (net 39) (tstamp 05f3fb8f-fcf9-46e8-a0cc-7dc17c539c5c))
+ (segment (start 131.0875 129) (end 129.698322 129) (width 0.25) (layer "F.Cu") (net 39) (tstamp 13003ba3-9b58-4643-8fac-98078f17f2c0))
+ (segment (start 129.698322 129) (end 129.3743 128.675978) (width 0.25) (layer "F.Cu") (net 39) (tstamp 19053dde-dcec-4c1b-9043-9b2207f19dfb))
+ (segment (start 96.649991 94.786401) (end 96.64999 81.5864) (width 0.25) (layer "F.Cu") (net 39) (tstamp 193aec53-64dc-4472-87a8-0df948dc5b20))
+ (segment (start 96.64999 81.5864) (end 95.797095 80.733505) (width 0.25) (layer "F.Cu") (net 39) (tstamp 4232ae4c-4fb2-4879-a1b1-5028e7bb646e))
+ (segment (start 95.797095 78.235186) (end 95.522096 77.960187) (width 0.25) (layer "F.Cu") (net 39) (tstamp 74c7f424-c9ae-43af-9385-52c3b2bda4f4))
+ (segment (start 95.797095 80.733505) (end 95.797095 78.235186) (width 0.25) (layer "F.Cu") (net 39) (tstamp 785ec88c-ae42-45dc-9f8d-6912f165c479))
+ (segment (start 124.43734 122.57375) (end 96.649991 94.786401) (width 0.25) (layer "F.Cu") (net 39) (tstamp c06b4cdb-213e-46c4-b7db-ba9444b9ea5a))
+ (segment (start 124.43734 123.36266) (end 124.43734 122.57375) (width 0.25) (layer "F.Cu") (net 39) (tstamp d407aecd-591d-4c8a-9a2e-efbfe24af47c))
+ (via (at 95.522096 77.960187) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 39) (tstamp b7673693-3e22-40ef-b9b2-cb0edcdee083))
+ (via (at 129 128.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 39) (tstamp d75c3097-ddce-4842-8c08-2750a258fae8))
+ (via (at 124.43734 123.36266) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 39) (tstamp e86739a4-ba3e-46db-8bb1-192031e1745d))
+ (segment (start 96 77.482283) (end 95.522096 77.960187) (width 0.25) (layer "B.Cu") (net 39) (tstamp 0c3e75fb-3e6e-461a-a051-c4609e3f7297))
+ (segment (start 129.3 128.7) (end 129.101676 128.7) (width 0.25) (layer "B.Cu") (net 39) (tstamp 57a79068-d2ba-413e-8197-03563d176e4c))
+ (segment (start 129.101676 128.7) (end 124.5 124.098324) (width 0.25) (layer "B.Cu") (net 39) (tstamp 5d94c8c4-49c5-43a2-bc63-fce95f567ebc))
+ (segment (start 97.434936 73.765064) (end 96 75.2) (width 0.25) (layer "B.Cu") (net 39) (tstamp 937a06a5-6a27-468b-b50a-b8bd8e911ef6))
+ (segment (start 124.5 124.098324) (end 124.5 123.3) (width 0.25) (layer "B.Cu") (net 39) (tstamp a022f4be-8998-4f40-a9b5-fc7502beec88))
+ (segment (start 96 75.2) (end 96 77.482283) (width 0.25) (layer "B.Cu") (net 39) (tstamp a20987f5-8a1b-4325-96d8-7325cf7bc1a3))
+ (segment (start 99.571154 73.765064) (end 97.434936 73.765064) (width 0.25) (layer "B.Cu") (net 39) (tstamp a3963688-4115-418e-b2c2-739166c98585))
+ (segment (start 123.4 125) (end 123.4 122.17282) (width 0.25) (layer "F.Cu") (net 40) (tstamp 139666ab-22ac-4aaa-a32d-dba9f560ee36))
+ (segment (start 95.046194 80.746194) (end 95.046194 78.839699) (width 0.25) (layer "F.Cu") (net 40) (tstamp 5f93f59b-ef43-476e-97b4-349a5a7ffe84))
+ (segment (start 123.4 122.17282) (end 96.26359 95.03641) (width 0.25) (layer "F.Cu") (net 40) (tstamp 77d9821e-6644-4722-a9d1-56dfaed8b492))
+ (segment (start 129.3 129.5) (end 131.0875 129.5) (width 0.25) (layer "F.Cu") (net 40) (tstamp 7a901e1c-f58a-4699-b732-9b2f52a833a1))
+ (segment (start 128.9 129.9) (end 129.3 129.5) (width 0.25) (layer "F.Cu") (net 40) (tstamp 7b38793c-ee40-4c10-853e-7ad3511510a8))
+ (segment (start 96.1 81.8) (end 95.046194 80.746194) (width 0.25) (layer "F.Cu") (net 40) (tstamp 8067d817-1024-492c-9a9b-85ba14812907))
+ (segment (start 96.26359 95.03641) (end 96.1 94.87282) (width 0.25) (layer "F.Cu") (net 40) (tstamp b12a3ae7-79b4-4232-bbda-447754f75507))
+ (segment (start 96.1 94.87282) (end 96.1 81.8) (width 0.25) (layer "F.Cu") (net 40) (tstamp e89b1786-acaa-4274-b1d0-652ed3051a54))
+ (via (at 95.046194 78.839699) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 40) (tstamp 0af792ac-aeba-4eb0-bc3b-7b124e7c9ec9))
+ (via (at 123.4 125) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 40) (tstamp 210cc6e8-92e6-4d0f-a4a1-949396a131d1))
+ (via (at 128.9 129.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 40) (tstamp 9f581565-8b2c-4254-807f-5240b09c2390))
+ (segment (start 128.274999 128.574999) (end 124.7 125) (width 0.25) (layer "B.Cu") (net 40) (tstamp 08c4e8d6-45a0-4ddc-b554-63b910bb62be))
+ (segment (start 96.073002 78.6) (end 95.2 78.6) (width 0.25) (layer "B.Cu") (net 40) (tstamp 24f045fe-c6e7-435b-beb4-2d00d20b1f51))
+ (segment (start 128.274999 129.274999) (end 128.274999 128.574999) (width 0.25) (layer "B.Cu") (net 40) (tstamp 39e45df5-0353-40ae-be99-468cf9a7a506))
+ (segment (start 98.321154 76.351848) (end 96.073002 78.6) (width 0.25) (layer "B.Cu") (net 40) (tstamp 57a7e8e5-4070-4f52-bd51-550f1fff1c6f))
+ (segment (start 98.321154 75.930127) (end 98.321154 76.351848) (width 0.25) (layer "B.Cu") (net 40) (tstamp 57f85d8d-a993-4713-97b1-45dbf0f85af6))
+ (segment (start 124.7 125) (end 123.4 125) (width 0.25) (layer "B.Cu") (net 40) (tstamp 60303cc0-51fa-430a-9e74-c5d41df41c80))
+ (segment (start 128.9 129.9) (end 128.274999 129.274999) (width 0.25) (layer "B.Cu") (net 40) (tstamp bd8700d3-e695-4963-b9ad-49e46ebe6d51))
+ (segment (start 201.9 80.1) (end 203 79) (width 0.25) (layer "F.Cu") (net 41) (tstamp 0a462909-c9b5-4c91-963b-7d8fd46d9a62))
+ (segment (start 203 77.231281) (end 201.708846 75.940127) (width 0.25) (layer "F.Cu") (net 41) (tstamp 1a22f858-3f8d-4e02-bf79-a58db064f5da))
+ (segment (start 201.9 86) (end 201.9 80.1) (width 0.25) (layer "F.Cu") (net 41) (tstamp 56fca8d4-f7d3-4cd1-a92e-6eed1f4afc60))
+ (segment (start 203 79) (end 203 77.231281) (width 0.25) (layer "F.Cu") (net 41) (tstamp 59d9ecb6-e102-46f1-b953-9e251ee5b055))
+ (segment (start 200.9 87) (end 201.9 86) (width 0.25) (layer "F.Cu") (net 41) (tstamp c979d196-40e8-4408-8fe4-a547def20c55))
+ (segment (start 198.7875 87) (end 200.9 87) (width 0.25) (layer "F.Cu") (net 41) (tstamp fdfeb290-b6a7-4bd8-95c5-2fd1e8301c99))
+ (segment (start 202.4 80.5) (end 202.4 86.3) (width 0.25) (layer "F.Cu") (net 42) (tstamp 25c0a938-0e20-4350-ac72-1d046bed466d))
+ (segment (start 199.7 89) (end 198.7875 89) (width 0.25) (layer "F.Cu") (net 42) (tstamp 3c1e7929-41dc-4815-9b49-8059df3ddef1))
+ (segment (start 204 78.9) (end 202.4 80.5) (width 0.25) (layer "F.Cu") (net 42) (tstamp 5fe62047-7a6a-4030-a4c4-8c9c7edc8065))
+ (segment (start 200.458846 73.775064) (end 202.675064 73.775064) (width 0.25) (layer "F.Cu") (net 42) (tstamp 6fee9172-761d-451b-98ab-2ad974ff17c5))
+ (segment (start 202.675064 73.775064) (end 204 75.1) (width 0.25) (layer "F.Cu") (net 42) (tstamp be7e5326-a703-4a10-a691-0017259454b2))
+ (segment (start 204 75.1) (end 204 78.9) (width 0.25) (layer "F.Cu") (net 42) (tstamp c957c8e4-4ccb-44ca-b329-f8eb84cbea46))
+ (segment (start 202.4 86.3) (end 199.7 89) (width 0.25) (layer "F.Cu") (net 42) (tstamp e13a7027-9aa8-4db4-ba51-c6156a0f3fd5))
+ (segment (start 165.6 125.2) (end 146.7 125.2) (width 0.25) (layer "F.Cu") (net 43) (tstamp 0e680141-82eb-46f4-8164-63fa627c21a3))
+ (segment (start 146.7 125.2) (end 145.4 123.9) (width 0.25) (layer "F.Cu") (net 43) (tstamp 11515602-e498-4d56-9e37-4d02f6b289b8))
+ (segment (start 199.056922 68.34686) (end 199.056922 66.456922) (width 0.25) (layer "F.Cu") (net 43) (tstamp 478b431f-741e-43f0-b027-cf7d8c844785))
+ (segment (start 132.5 133) (end 132.1 133.4) (width 0.25) (layer "F.Cu") (net 43) (tstamp 6a99f66a-eb6e-4ecc-a6fb-174a329a1a16))
+ (segment (start 189.3 93.730449) (end 166.2 116.830449) (width 0.25) (layer "F.Cu") (net 43) (tstamp 958ed1b7-0a8a-4692-8a6d-dd158f0a4242))
+ (segment (start 199.056922 66.456922) (end 196.8 64.2) (width 0.25) (layer "F.Cu") (net 43) (tstamp 9797a73f-27c8-4f26-a778-2006ab70ec95))
+ (segment (start 197.958846 69.444936) (end 199.056922 68.34686) (width 0.25) (layer "F.Cu") (net 43) (tstamp a6b7d67d-0d31-48ec-8314-6165c4e9838c))
+ (segment (start 196.8 64.2) (end 193.1 64.2) (width 0.25) (layer "F.Cu") (net 43) (tstamp aa7e86b2-5630-48a5-bc05-7052af539992))
+ (segment (start 166.2 116.830449) (end 166.2 124.6) (width 0.25) (layer "F.Cu") (net 43) (tstamp cf7d2a8b-f543-4a32-8c2e-9c9f15a48704))
+ (segment (start 132.5 131.9125) (end 132.5 133) (width 0.25) (layer "F.Cu") (net 43) (tstamp d3474959-542e-47d2-bb37-ec82d5b889e8))
+ (segment (start 189.3 68) (end 189.3 93.730449) (width 0.25) (layer "F.Cu") (locked) (net 43) (tstamp e0df9146-aa46-4967-bf99-bfa78266d769))
+ (segment (start 166.2 124.6) (end 165.6 125.2) (width 0.25) (layer "F.Cu") (net 43) (tstamp e68b2178-5219-43aa-aa30-ee88b8cc84c1))
+ (segment (start 193.1 64.2) (end 189.3 68) (width 0.25) (layer "F.Cu") (net 43) (tstamp e8a6fbc2-49b7-4cc7-b333-e2a581f40034))
+ (via (at 145.4 123.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 43) (tstamp 69f7588f-ea90-4ac4-8b80-88db2cdfe338))
+ (via (at 132.1 133.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 43) (tstamp 70d306d5-c987-4a22-96f2-0e95567f7c1d))
+ (segment (start 132.1 132.46359) (end 140.66359 123.9) (width 0.25) (layer "B.Cu") (net 43) (tstamp 40405360-d163-4f67-919a-8571ac5e463e))
+ (segment (start 132.1 133.4) (end 132.1 132.46359) (width 0.25) (layer "B.Cu") (net 43) (tstamp 50fce693-790b-4248-b8dc-9a37bb04c75d))
+ (segment (start 140.66359 123.9) (end 145.4 123.9) (width 0.25) (layer "B.Cu") (net 43) (tstamp 5586a4d6-a459-4e6d-b0ba-0be507295dae))
+ (segment (start 196.708846 67.279873) (end 196.708846 66.240644) (width 0.25) (layer "F.Cu") (net 44) (tstamp 0fa73ded-a19c-481e-9396-3b08f1767f53))
+ (segment (start 165.7864 125.650011) (end 146.266113 125.650011) (width 0.25) (layer "F.Cu") (net 44) (tstamp 33e9f202-9af6-4d01-a4ca-9e78e1faff1b))
+ (segment (start 166.650011 124.7864) (end 165.7864 125.650011) (width 0.25) (layer "F.Cu") (net 44) (tstamp 3cadfb54-8e0c-4ee1-a69f-016663ec534f))
+ (segment (start 166.650011 117.016848) (end 166.650011 124.7864) (width 0.25) (layer "F.Cu") (net 44) (tstamp 6a69d4f9-2b10-4dea-9a3a-6d4f7e54538a))
+ (segment (start 193.3 64.7) (end 189.8 68.2) (width 0.25) (layer "F.Cu") (net 44) (tstamp 703d9453-a459-4bd8-9203-6636467ff37c))
+ (segment (start 189.8 68.2) (end 189.8 93.866859) (width 0.25) (layer "F.Cu") (locked) (net 44) (tstamp 9264f077-6168-4b38-bee8-afb98c22ab37))
+ (segment (start 133 133.672252) (end 132.775001 133.897251) (width 0.25) (layer "F.Cu") (net 44) (tstamp af805a62-f2f8-49c6-99a4-c64d0976ca54))
+ (segment (start 195.168202 64.7) (end 193.3 64.7) (width 0.25) (layer "F.Cu") (net 44) (tstamp bf41b382-2396-454e-8d5b-df652c965505))
+ (segment (start 133 131.9125) (end 133 133.672252) (width 0.25) (layer "F.Cu") (net 44) (tstamp caa7a093-0478-49e2-958b-fc2db4545450))
+ (segment (start 196.708846 66.240644) (end 195.168202 64.7) (width 0.25) (layer "F.Cu") (net 44) (tstamp d2e54e40-87b6-458f-94bc-4adcd425564c))
+ (segment (start 189.8 93.866859) (end 166.650011 117.016848) (width 0.25) (layer "F.Cu") (net 44) (tstamp e4e5a7b6-b4d7-4129-8a98-5ef86c2402f8))
+ (segment (start 146.266113 125.650011) (end 145.828468 125.212366) (width 0.25) (layer "F.Cu") (net 44) (tstamp e7e0d5c1-9014-4266-9891-a85c6f3cbfc5))
+ (via (at 132.775001 133.897251) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 44) (tstamp c2b7042f-22cb-467f-a1e8-5dce48922af4))
+ (via (at 145.828468 125.212366) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 44) (tstamp cfc2d28c-677b-4c25-8b34-b7474a192093))
+ (segment (start 132.775001 133.897251) (end 132.775001 132.424999) (width 0.25) (layer "B.Cu") (net 44) (tstamp 0fbae67f-f192-4bd9-9360-be8a6c5b1efc))
+ (segment (start 145.341113 124.725011) (end 145.828468 125.212366) (width 0.25) (layer "B.Cu") (net 44) (tstamp 1d3749e2-66d4-4965-a828-5a0bf8364a30))
+ (segment (start 140.474989 124.725011) (end 145.341113 124.725011) (width 0.25) (layer "B.Cu") (net 44) (tstamp 5bf40843-7cc1-41ce-b473-e0fbb48d5541))
+ (segment (start 132.775001 132.424999) (end 140.474989 124.725011) (width 0.25) (layer "B.Cu") (net 44) (tstamp f0049e81-14e0-4e83-8425-f3019d236bbc))
+ (segment (start 198.7875 83) (end 200.3 83) (width 0.25) (layer "F.Cu") (net 45) (tstamp 67a30d42-e615-4dcf-b221-985cfb4fc337))
+ (segment (start 200.3 83) (end 200.8 83.5) (width 0.25) (layer "F.Cu") (net 45) (tstamp 81c16291-9991-4887-9738-c0edcd49c8b8))
+ (via (at 200.8 83.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 45) (tstamp 0804fd27-7a45-473e-8fd6-ef1d527ee899))
+ (segment (start 203.5 80.8) (end 200.8 83.5) (width 0.25) (layer "B.Cu") (net 45) (tstamp 14d80da4-f6a4-429b-abe8-67b861c8740f))
+ (segment (start 203.5 77.731281) (end 203.5 80.8) (width 0.25) (layer "B.Cu") (net 45) (tstamp 84412db2-3ccb-4f63-bf3a-e3bcbc820d46))
+ (segment (start 201.708846 75.940127) (end 203.5 77.731281) (width 0.25) (layer "B.Cu") (net 45) (tstamp c2230c35-cfe9-47f8-853b-7fa186fe3e8f))
+ (segment (start 200.3 85) (end 200.5 84.8) (width 0.25) (layer "F.Cu") (net 46) (tstamp 24224ec2-9be6-484c-b3bc-8031e2d31b77))
+ (segment (start 198.7875 85) (end 200.3 85) (width 0.25) (layer "F.Cu") (net 46) (tstamp 37f328ab-2d64-417c-bcbb-9dc5755286de))
+ (via (at 200.5 84.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 2e49d61f-7cf7-4f34-9f38-07602618cb34))
+ (segment (start 200.458846 73.775064) (end 202.675064 73.775064) (width 0.25) (layer "B.Cu") (net 46) (tstamp 2416d159-77ad-4fc2-a79e-32573b832d76))
+ (segment (start 202.675064 73.775064) (end 204 75.1) (width 0.25) (layer "B.Cu") (net 46) (tstamp 438d49c3-a946-4e36-be85-4f30266d5dd8))
+ (segment (start 204 75.1) (end 204 81.3) (width 0.25) (layer "B.Cu") (net 46) (tstamp 511935fa-7a26-4f2b-b2b8-c65a80260323))
+ (segment (start 204 81.3) (end 200.5 84.8) (width 0.25) (layer "B.Cu") (net 46) (tstamp fbf80919-818f-4a39-818a-b722fb76e226))
+ (segment (start 167.100022 124.9728) (end 165.9728 126.100022) (width 0.25) (layer "F.Cu") (net 47) (tstamp 1aa1091f-1d30-4960-9a87-fe281e492f16))
+ (segment (start 190.8 71.2) (end 190.25001 71.74999) (width 0.25) (layer "F.Cu") (net 47) (tstamp 38cba707-ea0d-4e6c-97d9-d5a99f4b716d))
+ (segment (start 190.25001 71.74999) (end 190.25001 94.053259) (width 0.25) (layer "F.Cu") (locked) (net 47) (tstamp 3ac80928-d12f-4858-8d3a-4dd18767572c))
+ (segment (start 165.9728 126.100022) (end 145.648262 126.100022) (width 0.25) (layer "F.Cu") (net 47) (tstamp 4de4096e-def8-45ac-893a-fad4048e9e7f))
+ (segment (start 133.5 131.9125) (end 133.5 134.1) (width 0.25) (layer "F.Cu") (net 47) (tstamp 71a45fcb-96d2-40fe-8eac-831f847044e4))
+ (segment (start 145.648262 126.100022) (end 145.481426 125.933186) (width 0.25) (layer "F.Cu") (net 47) (tstamp 96a37bc6-c222-44b3-a758-cd6334b1a73c))
+ (segment (start 133.5 134.1) (end 133.7 134.3) (width 0.25) (layer "F.Cu") (net 47) (tstamp a982785c-e861-4fa6-9ff1-6fb0e779c87e))
+ (segment (start 167.100022 117.203247) (end 167.100022 124.9728) (width 0.25) (layer "F.Cu") (net 47) (tstamp ddf757d6-6ef6-4dfc-8344-7e2e96b9e69b))
+ (segment (start 190.25001 94.053259) (end 167.100022 117.203247) (width 0.25) (layer "F.Cu") (net 47) (tstamp eadd5c20-eaa5-4523-bf40-f56d84205bb6))
+ (via (at 133.7 134.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp 13bc6198-ebad-4af5-a003-38d670588fc0))
+ (via (at 145.481426 125.933186) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp c0193567-0127-4fd3-8601-76a217c5db86))
+ (via (at 190.8 71.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp d99cc9aa-16b6-4bdc-b905-67c790b17307))
+ (segment (start 190.3 66.963589) (end 190.3 70.7) (width 0.25) (layer "B.Cu") (net 47) (tstamp 0d450362-5b51-4569-bfb2-30ee0dd99eb1))
+ (segment (start 193.063589 64.2) (end 190.3 66.963589) (width 0.25) (layer "B.Cu") (net 47) (tstamp 348412f2-0be7-4a56-a2d5-9c151ee89ccf))
+ (segment (start 190.3 70.7) (end 190.8 71.2) (width 0.25) (layer "B.Cu") (net 47) (tstamp 52ccd565-2e9c-4dc1-9696-8c64a383361a))
+ (segment (start 199.056922 68.34686) (end 199.056922 66.456922) (width 0.25) (layer "B.Cu") (net 47) (tstamp 676e3fc6-69c2-45b2-9f8d-4fa5ac20c59c))
+ (segment (start 133.674999 132.161411) (end 140.64435 125.19206) (width 0.25) (layer "B.Cu") (net 47) (tstamp 6fa889eb-3487-4e46-bda6-937d4f9321e1))
+ (segment (start 196.8 64.2) (end 193.063589 64.2) (width 0.25) (layer "B.Cu") (net 47) (tstamp 7daf0997-9ce4-4029-8ff5-2ed1b7b2ccc6))
+ (segment (start 140.64435 125.19206) (end 144.7403 125.19206) (width 0.25) (layer "B.Cu") (net 47) (tstamp 9c266ac8-2bae-4ac2-bdcf-1ec277d352c8))
+ (segment (start 197.958846 69.444936) (end 199.056922 68.34686) (width 0.25) (layer "B.Cu") (net 47) (tstamp af6a9df3-03da-4c73-9759-f0ddfb3d68d8))
+ (segment (start 199.056922 66.456922) (end 196.8 64.2) (width 0.25) (layer "B.Cu") (net 47) (tstamp b1e5b11f-dbf8-4c45-8dd2-b1fee7e17f1f))
+ (segment (start 144.7403 125.19206) (end 145.481426 125.933186) (width 0.25) (layer "B.Cu") (net 47) (tstamp b52b978e-85fe-476b-b836-b7fb715fb96a))
+ (segment (start 133.7 134.3) (end 133.674999 134.274999) (width 0.25) (layer "B.Cu") (net 47) (tstamp bdea7992-083f-43ec-9ac6-b1933e59b6ca))
+ (segment (start 133.674999 134.274999) (end 133.674999 132.161411) (width 0.25) (layer "B.Cu") (net 47) (tstamp bf038c92-0c10-4e21-966e-6a44331946a4))
+ (segment (start 190.70002 72.224982) (end 190.70002 94.239659) (width 0.25) (layer "F.Cu") (locked) (net 48) (tstamp 236da334-770a-4bc8-8350-dfc7889d2d1b))
+ (segment (start 190.70002 94.239659) (end 167.550033 117.389646) (width 0.25) (layer "F.Cu") (net 48) (tstamp 38846e81-5280-4d29-91c4-f718f396bf3c))
+ (segment (start 191.1 70.2) (end 191.425001 70.525001) (width 0.25) (layer "F.Cu") (net 48) (tstamp 4ccceb74-767b-4309-9c7a-605eecc640ae))
+ (segment (start 167.550033 117.389646) (end 167.550033 125.1592) (width 0.25) (layer "F.Cu") (net 48) (tstamp 832559fa-71b1-4bed-a5a4-782bcc52da39))
+ (segment (start 191.425001 71.500001) (end 190.70002 72.224982) (width 0.25) (layer "F.Cu") (net 48) (tstamp b23afcd7-2956-4559-82eb-d5c33bd9d136))
+ (segment (start 145.222528 126.558187) (end 144.654359 125.990018) (width 0.25) (layer "F.Cu") (net 48) (tstamp b8fa6a93-86a2-4cba-955b-e91ac87c5183))
+ (segment (start 167.550033 125.1592) (end 166.151046 126.558187) (width 0.25) (layer "F.Cu") (net 48) (tstamp c6248380-36e4-4850-a13e-8294b707557f))
+ (segment (start 134 131.9125) (end 134 133.2) (width 0.25) (layer "F.Cu") (net 48) (tstamp d4e08c74-6a7a-45fe-b0c1-85556c88362c))
+ (segment (start 166.151046 126.558187) (end 145.222528 126.558187) (width 0.25) (layer "F.Cu") (net 48) (tstamp dea5c03f-c103-49b3-aadd-f1339f9f5de5))
+ (segment (start 191.425001 70.525001) (end 191.425001 71.500001) (width 0.25) (layer "F.Cu") (net 48) (tstamp f6175bab-1636-4d89-a2ae-a704c80aaaab))
+ (segment (start 134 133.2) (end 134.3 133.5) (width 0.25) (layer "F.Cu") (net 48) (tstamp feebef69-e922-49d7-8c38-2e1cff845d48))
+ (via (at 144.654359 125.990018) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp 09667343-724e-49bb-9939-bb76f6c6f0c0))
+ (via (at 191.1 70.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp 47797f62-9eeb-43e0-b1a6-419bfd44fd84))
+ (via (at 134.3 133.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp 95440af2-8ee4-4d4f-bda4-27b168e2b880))
+ (segment (start 193.2 64.7) (end 190.8 67.1) (width 0.25) (layer "B.Cu") (net 48) (tstamp 35e501e4-5aaf-438e-8e71-9b37966ba3fd))
+ (segment (start 195.168202 64.7) (end 193.2 64.7) (width 0.25) (layer "B.Cu") (net 48) (tstamp 3fedb160-3d98-4518-b721-ffa5835426bc))
+ (segment (start 190.8 67.1) (end 190.8 69.9) (width 0.25) (layer "B.Cu") (net 48) (tstamp 5197b677-2543-4434-b9c8-3dd9e67ab388))
+ (segment (start 134.3 132.17282) (end 140.782801 125.690019) (width 0.25) (layer "B.Cu") (net 48) (tstamp 66f428ef-d561-4973-8c66-7542cb897a1d))
+ (segment (start 190.8 69.9) (end 191.1 70.2) (width 0.25) (layer "B.Cu") (net 48) (tstamp 80205df9-e5ad-4098-bdfb-1714c3b35e48))
+ (segment (start 144.35436 125.690019) (end 144.654359 125.990018) (width 0.25) (layer "B.Cu") (net 48) (tstamp 8799193c-5f28-41fe-bb12-420990c03d82))
+ (segment (start 134.3 133.5) (end 134.3 132.17282) (width 0.25) (layer "B.Cu") (net 48) (tstamp 8d3c6bb2-24bd-46b9-ace4-4d72ae8cecea))
+ (segment (start 196.708846 66.240644) (end 195.168202 64.7) (width 0.25) (layer "B.Cu") (net 48) (tstamp 946e35c8-453c-4f44-bfa2-2495c8be4e72))
+ (segment (start 140.782801 125.690019) (end 144.35436 125.690019) (width 0.25) (layer "B.Cu") (net 48) (tstamp adc5141f-e308-490f-920c-029ef7886530))
+ (segment (start 196.708846 67.279873) (end 196.708846 66.240644) (width 0.25) (layer "B.Cu") (net 48) (tstamp d109bcbe-04e9-4bda-9a70-f82d0b7d2c6e))
+ (segment (start 135.1 127.926998) (end 135.1 127.1) (width 0.25) (layer "F.Cu") (net 49) (tstamp 04bddf9e-fa31-427e-a7a1-8812b5a380a9))
+ (segment (start 127.1 108.490886) (end 128.69 106.900886) (width 0.25) (layer "F.Cu") (net 49) (tstamp 3795c9ef-8fb8-4766-8330-5c87f85a8e56))
+ (segment (start 137.673002 130.5) (end 135.1 127.926998) (width 0.25) (layer "F.Cu") (net 49) (tstamp 39eec678-f007-47d8-b7dd-4f6b2e850f94))
+ (segment (start 127.1 119.7) (end 127.1 108.490886) (width 0.25) (layer "F.Cu") (net 49) (tstamp 8601cff3-6f1d-4b0a-86de-4ea9eca2bb90))
+ (segment (start 139.4125 130.5) (end 137.673002 130.5) (width 0.25) (layer "F.Cu") (net 49) (tstamp b4fb710c-b065-4fac-9120-90f656d4ddac))
+ (via (at 135 127.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 49) (tstamp 11cd38cf-cd9b-4df9-aebb-181b26e7fd35))
+ (via (at 127.1 119.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 49) (tstamp 6b4b657c-4b5c-4a32-ad07-aab1ce33ac81))
+ (segment (start 134.5 126.6) (end 134.5 125.3) (width 0.25) (layer "B.Cu") (net 49) (tstamp 19c3d02f-56a1-422f-89c3-270ba2b67fdf))
+ (segment (start 127.1 119.7) (end 128.4 121) (width 0.25) (layer "B.Cu") (net 49) (tstamp 42f98ffb-7b32-49f7-8f68-335f35bb3eb1))
+ (segment (start 135 127.1) (end 134.5 126.6) (width 0.25) (layer "B.Cu") (net 49) (tstamp 6a07b8e0-20de-461b-b203-d1b2d94997d4))
+ (segment (start 128.4 121) (end 130.2 121) (width 0.25) (layer "B.Cu") (net 49) (tstamp 75e00542-784e-47c5-ad17-e55fb2e1653a))
+ (segment (start 130.2 121) (end 130.35 121.15) (width 0.25) (layer "B.Cu") (net 49) (tstamp bc31a469-8875-4d15-9070-b1b43cc6586a))
+ (segment (start 134.5 125.3) (end 130.35 121.15) (width 0.25) (layer "B.Cu") (net 49) (tstamp e9f0e2e0-e8fa-4c73-9e70-8f0fd821e53f))
+ (segment (start 128.1 121.7) (end 128.1 119.626998) (width 0.25) (layer "F.Cu") (net 50) (tstamp 4cdb19db-0fc4-45ef-ad69-d219be183637))
+ (segment (start 127.55001 111.510581) (end 129.96 109.100591) (width 0.25) (layer "F.Cu") (net 50) (tstamp 69cd16eb-bbf3-4335-89dc-13f64f9123df))
+ (segment (start 128.1 119.626998) (end 127.55001 119.077008) (width 0.25) (layer "F.Cu") (net 50) (tstamp 7a2c3c87-9cd2-48fc-92d8-0648b3893912))
+ (segment (start 137 131.9125) (end 137 130.5) (width 0.25) (layer "F.Cu") (net 50) (tstamp 7d031fc0-719d-48aa-bec8-20f7f297afd6))
+ (segment (start 134.9 128.4) (end 134.5 128.4) (width 0.25) (layer "F.Cu") (net 50) (tstamp abad713c-240c-4288-8a44-8d0fa86a6626))
+ (segment (start 127.55001 119.077008) (end 127.55001 111.510581) (width 0.25) (layer "F.Cu") (net 50) (tstamp c1cc5c5a-4d17-4688-be74-cd513ceb7fce))
+ (segment (start 137 130.5) (end 134.9 128.4) (width 0.25) (layer "F.Cu") (net 50) (tstamp d03a3841-99ad-47aa-82a1-ad941326fcd8))
+ (via (at 134.5 128.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 50) (tstamp 299de539-4dd4-446c-9b3b-b3dd82a7ded2))
+ (via (at 128.1 121.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 50) (tstamp a721f8c0-c6c0-4ddd-a439-869bcc4da9a7))
+ (segment (start 134 125.9) (end 129.8 121.7) (width 0.25) (layer "B.Cu") (net 50) (tstamp 256f3f38-920f-458a-94dc-c2da45b46c20))
+ (segment (start 134.5 128.4) (end 134 127.9) (width 0.25) (layer "B.Cu") (net 50) (tstamp 8b80e8a9-5db4-4af2-8407-05f1dc23fa7c))
+ (segment (start 129.8 121.7) (end 128.1 121.7) (width 0.25) (layer "B.Cu") (net 50) (tstamp cd1d7fcc-1016-48b4-806d-116a8dead6aa))
+ (segment (start 134 127.9) (end 134 125.9) (width 0.25) (layer "B.Cu") (net 50) (tstamp e934a90e-c2b9-4d63-a6fd-277973d05dee))
+ (segment (start 198.2125 86) (end 201.00002 86) (width 0.25) (layer "F.Cu") (net 51) (tstamp 00f5c9ef-24f5-4cfa-af86-0bd370ef0d53))
+ (segment (start 138.346807 144.453193) (end 138.346807 145.053193) (width 0.25) (layer "F.Cu") (net 51) (tstamp 019ed5b9-d670-4b83-bd75-4d72c738ff27))
+ (segment (start 197.2125 83) (end 195.6 84.6125) (width 0.25) (layer "F.Cu") (net 51) (tstamp 0b9d739e-8b85-4960-aeaf-8f4c39051789))
+ (segment (start 151.2 146.8) (end 147.4 146.8) (width 0.25) (layer "F.Cu") (net 51) (tstamp 1001dfe7-9742-4dab-b645-1cec10790089))
+ (segment (start 141.399999 144.999999) (end 141.1 144.7) (width 0.25) (layer "F.Cu") (net 51) (tstamp 151a4240-1a63-45b5-b602-bd1438abc52f))
+ (segment (start 201.425001 85.575019) (end 201.425001 83.199999) (width 0.25) (layer "F.Cu") (net 51) (tstamp 1598159f-3dbf-4998-8898-b632b2dae90a))
+ (segment (start 125.799956 126.200043) (end 125.799956 131.906342) (width 0.25) (layer "F.Cu") (net 51) (tstamp 15da21a7-2fe0-42f3-b708-46f673255d7c))
+ (segment (start 195.6 89.976089) (end 168.049989 117.5261) (width 0.25) (layer "F.Cu") (net 51) (tstamp 18ca033f-4f43-47d9-b3b4-cbb4718bbfd5))
+ (segment (start 168.049989 117.5261) (end 168.049989 134.850011) (width 0.25) (layer "F.Cu") (net 51) (tstamp 3130920d-0039-498c-bf80-bf8faa021d9c))
+ (segment (start 140.8 147.7125) (end 140.8 146.906386) (width 0.25) (layer "F.Cu") (net 51) (tstamp 34e3f849-ece3-46fe-b19a-d09c9c62329d))
+ (segment (start 129.92502 122.07498) (end 129.92502 122.074979) (width 0.25) (layer "F.Cu") (net 51) (tstamp 427a17b4-dcac-48fe-885b-71d50bcec27c))
+ (segment (start 200.225002 82) (end 198.2125 82) (width 0.25) (layer "F.Cu") (net 51) (tstamp 4548824b-9d85-425e-a5a1-9c0b5b1f92d4))
+ (segment (start 197.2125 87) (end 198.2125 86) (width 0.25) (layer "F.Cu") (net 51) (tstamp 50106b78-91fd-4cc3-9138-f5f87fcfe9f5))
+ (segment (start 133.5 123.5875) (end 133.5 122.646632) (width 0.25) (layer "F.Cu") (net 51) (tstamp 53a8334d-af36-480a-8abe-ffed0c555e0d))
+ (segment (start 138.346807 145.053193) (end 138.3 145.1) (width 0.25) (layer "F.Cu") (net 51) (tstamp 5ada2231-6581-4f54-bbea-2c31a280da88))
+ (segment (start 129.999999 122) (end 129.92502 122.074979) (width 0.25) (layer "F.Cu") (net 51) (tstamp 62554710-637b-45ae-ab40-2ac1b9cdea00))
+ (segment (start 140.8 147.7125) (end 140.8 146.6) (width 0.25) (layer "F.Cu") (net 51) (tstamp 6714600c-65e9-4a2a-a6f3-e92ee0d49af2))
+ (segment (start 117.825001 83.025001) (end 117.5 82.7) (width 0.25) (layer "F.Cu") (net 51) (tstamp 6877c717-dc46-40e6-bcb3-bdc9ef866c5a))
+ (segment (start 140.8 147.7125) (end 145.9 147.7125) (width 0.25) (layer "F.Cu") (net 51) (tstamp 6f893ba9-b458-47e3-8494-35f3784b521a))
+ (segment (start 168.049989 134.850011) (end 156.745279 146.154721) (width 0.25) (layer "F.Cu") (net 51) (tstamp 829944db-efa1-42d0-a03e-944f4da78d5f))
+ (segment (start 201.00002 86) (end 201.425001 85.575019) (width 0.25) (layer "F.Cu") (net 51) (tstamp 865ebaac-4480-4d80-8cf2-6977eef6655b))
+ (segment (start 125.799956 131.906342) (end 138.346807 144.453193) (width 0.25) (layer "F.Cu") (net 51) (tstamp 868aaee5-9113-44b7-a77b-8adc30e0f558))
+ (segment (start 198.2125 82) (end 197.2125 83) (width 0.25) (layer "F.Cu") (net 51) (tstamp 906ceec0-451f-4113-b9bb-342b899177c5))
+ (segment (start 126.19998 90.29998) (end 118.925001 83.025001) (width 0.25) (layer "F.Cu") (net 51) (tstamp 95d19009-360b-46c9-bf8f-0cf7beb717a2))
+ (segment (start 129.92502 122.074979) (end 125.799956 126.200043) (width 0.25) (layer "F.Cu") (net 51) (tstamp 966cd749-000e-4a35-a939-29ee6b0d1988))
+ (segment (start 117.5 66.425) (end 117.5 76.6) (width 0.25) (layer "F.Cu") (locked) (net 51) (tstamp 9a23d84c-8b22-4b6f-a472-2e0dddbc2a7f))
+ (segment (start 132.853368 122) (end 129.999999 122) (width 0.25) (layer "F.Cu") (net 51) (tstamp 9a5992f5-1965-42cc-883d-a3e046a73ea6))
+ (segment (start 145.75 145.15) (end 145.6 145) (width 0.25) (layer "F.Cu") (net 51) (tstamp 9ed157d6-8746-45ee-9bb2-c2d346d3f172))
+ (segment (start 129.92502 122.074979) (end 128.699999 123.3) (width 0.25) (layer "F.Cu") (net 51) (tstamp abf980ee-4b72-435e-ace1-cc4e1dae163a))
+ (segment (start 125.474999 119.299999) (end 126.19998 118.575018) (width 0.25) (layer "F.Cu") (net 51) (tstamp b243d1f1-6f09-4d1f-b0d9-093c8bb7180c))
+ (segment (start 115.2875 61.5) (end 115.2875 63.7875) (width 0.25) (layer "F.Cu") (net 51) (tstamp b846db39-6d60-4d30-a449-b3894bb70fda))
+ (segment (start 201.425001 83.199999) (end 200.225002 82) (width 0.25) (layer "F.Cu") (net 51) (tstamp be17d6fb-4c66-4efb-8e00-b1126ae2abee))
+ (segment (start 195.6 84.6125) (end 195.6 89.976089) (width 0.25) (layer "F.Cu") (net 51) (tstamp c391b29f-c989-4b6b-9bd9-6603e9fc4b2d))
+ (segment (start 128.626998 123.3) (end 125.474999 120.148001) (width 0.25) (layer "F.Cu") (net 51) (tstamp d196df5c-d205-4159-9051-6d30e053eecb))
+ (segment (start 145.6 145) (end 141.399999 144.999999) (width 0.25) (layer "F.Cu") (net 51) (tstamp d86bd4e9-67b6-4042-a364-49a1878645fd))
+ (segment (start 133.5 122.646632) (end 132.853368 122) (width 0.25) (layer "F.Cu") (net 51) (tstamp dd25de3d-aa54-4e3b-a1a4-af3cc3f739a9))
+ (segment (start 115.075 64) (end 117.5 66.425) (width 0.25) (layer "F.Cu") (net 51) (tstamp de78bd77-e881-40cc-82b8-3518ceaea812))
+ (segment (start 126.19998 118.575018) (end 126.19998 90.29998) (width 0.25) (layer "F.Cu") (net 51) (tstamp df642b40-dda9-47a1-9380-8a54bd285e40))
+ (segment (start 118.925001 83.025001) (end 117.825001 83.025001) (width 0.25) (layer "F.Cu") (net 51) (tstamp e11cef37-5e2b-4cab-bb72-529c3a6bb537))
+ (segment (start 115.2875 63.7875) (end 115.075 64) (width 0.25) (layer "F.Cu") (net 51) (tstamp e66f0bfd-6cb2-4365-86ec-995de40eaf66))
+ (segment (start 147.4 146.8) (end 145.75 145.15) (width 0.25) (layer "F.Cu") (net 51) (tstamp eaaebfeb-bd24-49e6-805c-bbe7a0f992a2))
+ (segment (start 125.474999 120.148001) (end 125.474999 119.299999) (width 0.25) (layer "F.Cu") (net 51) (tstamp f712fccc-db77-4a51-9a0a-c2fb6c54088d))
+ (segment (start 153 145) (end 151.2 146.8) (width 0.25) (layer "F.Cu") (net 51) (tstamp f7979ad4-9925-4d87-9be5-753ece511a17))
+ (segment (start 128.699999 123.3) (end 128.626998 123.3) (width 0.25) (layer "F.Cu") (net 51) (tstamp f839056d-ab34-4dc1-be21-fa168f759df8))
+ (via (at 117.5 82.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp 00af80f6-ab98-4f11-9b95-d8bf1f79148e))
+ (via (at 138.3 145.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp 09e8aead-e1ba-4f7b-9284-442401d19ce3))
+ (via (at 117.5 76.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp 4fff0c08-df94-4d32-8874-bb3dd394b288))
+ (via (at 153 145) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp 7c2762de-d2fc-4cf5-b8d7-6144186b9e22))
+ (via (at 141.1 144.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp aaf2c294-bbf5-45e6-865f-4086812ad255))
+ (via (at 140.8 146.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp bf05e1dc-f223-4b2e-a863-7a22c1ce1301))
+ (via (at 156.745279 146.154721) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp dfd8362e-15f3-4b26-ad67-02ba4649436c))
+ (segment (start 140.8 146.6) (end 140.8 145.1) (width 0.25) (layer "B.Cu") (net 51) (tstamp 11a19d78-71cb-4d4d-8dd4-39e13c287e38))
+ (segment (start 141.1 144.7) (end 140.8 145) (width 0.25) (layer "B.Cu") (net 51) (tstamp 1fba084d-fff7-4a67-9a66-f181f3910eb7))
+ (segment (start 140.8 145) (end 140.8 146.6) (width 0.25) (layer "B.Cu") (net 51) (tstamp 26375662-20cd-4862-b8b0-b2645da01759))
+ (segment (start 140.8 145.1) (end 138.3 145.1) (width 0.25) (layer "B.Cu") (net 51) (tstamp 4d46e3ca-8007-4fd3-a3d9-360c14ba260e))
+ (segment (start 153.5 145.5) (end 156.090558 145.5) (width 0.25) (layer "B.Cu") (net 51) (tstamp 7302c0ba-4b00-44cc-af7d-18d900dd3f8e))
+ (segment (start 153 145) (end 153.5 145.5) (width 0.25) (layer "B.Cu") (net 51) (tstamp 775e751c-a83b-4330-b556-8a371e1f5384))
+ (segment (start 156.090558 145.5) (end 156.745279 146.154721) (width 0.25) (layer "B.Cu") (net 51) (tstamp cdc9e971-7946-4270-8144-fe23a4d6a51e))
+ (segment (start 117.5 82.7) (end 117.5 76.6) (width 0.25) (layer "B.Cu") (locked) (net 51) (tstamp cf53eefe-e40d-41a3-8090-7e07431fb3bd))
+ (segment (start 132.97501 122.758053) (end 132.97501 123.61249) (width 0.25) (layer "F.Cu") (net 52) (tstamp 029f6ad9-91e5-4900-804b-34e9a541a229))
+ (segment (start 149.3 147.7125) (end 151.1875 147.7125) (width 0.25) (layer "F.Cu") (net 52) (tstamp 070013af-45d7-4bef-adac-3b75ce20edcc))
+ (segment (start 111.9 61.5) (end 112.9 60.5) (width 0.25) (layer "F.Cu") (net 52) (tstamp 099b50c6-9de4-4b89-ae10-bc7753200810))
+ (segment (start 147.6 147.7125) (end 145.3875 145.5) (width 0.25) (layer "F.Cu") (net 52) (tstamp 0bf316e4-824a-4300-9b8b-25ca06c0f366))
+ (segment (start 145.3875 145.5) (end 140.030025 145.5) (width 0.25) (layer "F.Cu") (net 52) (tstamp 224c49d6-7866-4024-9006-58ff529bdc37))
+ (segment (start 197.2125 89) (end 196.1 87.8875) (width 0.25) (layer "F.Cu") (net 52) (tstamp 3dbfa4af-447d-4b3c-9ca2-bf428a022200))
+ (segment (start 115.7 60.5) (end 116.15 60.95) (width 0.25) (layer "F.Cu") (net 52) (tstamp 3e67a446-679b-443b-87e6-55e8cd5fb459))
+ (segment (start 132.741947 122.52499) (end 132.97501 122.758053) (width 0.25) (layer "F.Cu") (net 52) (tstamp 3f1d0ada-2d33-4e85-99b4-71b917529088))
+ (segment (start 130.018205 122.618205) (end 130.11142 122.52499) (width 0.25) (layer "F.Cu") (net 52) (tstamp 5272c91d-8f28-4ebb-a080-a74046ada9ae))
+ (segment (start 139.1 144.569975) (end 139.030025 144.5) (width 0.25) (layer "F.Cu") (net 52) (tstamp 5a1e9997-85be-49b6-9187-7ee44b3a8684))
+ (segment (start 110.7875 61.5) (end 111.9 61.5) (width 0.25) (layer "F.Cu") (net 52) (tstamp 5c5a1250-3eb9-461c-b915-308cdbf7b20d))
+ (segment (start 116.15 64.14998) (end 116.15 60.95) (width 0.25) (layer "F.Cu") (net 52) (tstamp 63c15f24-5af4-4f66-b0a9-456b0d4e5633))
+ (segment (start 137.4 147.7125) (end 139.1 147.7125) (width 0.25) (layer "F.Cu") (net 52) (tstamp 649ea756-a771-47a4-a8bb-2f99091649cd))
+ (segment (start 130.3 122.618205) (end 130.3 123.3) (width 0.25) (layer "F.Cu") (net 52) (tstamp 711b36c7-0265-4fcc-aafd-c97076d4de92))
+ (segment (start 140.030025 145.5) (end 139.030025 144.5) (width 0.25) (layer "F.Cu") (net 52) (tstamp 714c120d-4415-4dab-9f08-16685324db53))
+ (segment (start 168.499999 117.712501) (end 168.499999 133.900001) (width 0.25) (layer "F.Cu") (net 52) (tstamp 7a398166-1bfd-4bc1-a63c-9d72b7bdc1f0))
+ (segment (start 130.018205 122.618205) (end 130.3 122.618205) (width 0.25) (layer "F.Cu") (net 52) (tstamp 7acc8df9-57e7-4a6d-aef9-20ce9b8178b7))
+ (segment (start 126.64999 119.05001) (end 126.64999 90.04999) (width 0.25) (layer "F.Cu") (net 52) (tstamp 7c7f0655-1fe3-48bf-bade-60a1e15e4493))
+ (segment (start 130.11142 122.52499) (end 132.741947 122.52499) (width 0.25) (layer "F.Cu") (net 52) (tstamp 7cd781a9-7aa5-4985-bce7-38c2d4064f66))
+ (segment (start 168.499999 133.900001) (end 168.499998 135.036412) (width 0.25) (layer "F.Cu") (net 52) (tstamp 88817605-0f2c-46f9-9415-d7cbf766b766))
+ (segment (start 158.018205 145.518205) (end 157.687228 145.849182) (width 0.25) (layer "F.Cu") (net 52) (tstamp 8c2cd905-b75b-4367-9329-b8226e8554b3))
+ (segment (start 139.1 147.7125) (end 139.1 144.569975) (width 0.25) (layer "F.Cu") (net 52) (tstamp 95f681dd-165d-4fb7-9b5a-66b019520f9d))
+ (segment (start 151.1875 147.7125) (end 154.1 144.8) (width 0.25) (layer "F.Cu") (net 52) (tstamp 96b8c087-2a5f-4577-af8c-1543551babef))
+ (segment (start 117.95001 65.94999) (end 116.15 64.14998) (width 0.25) (layer "F.Cu") (net 52) (tstamp 987623e5-cd65-4ad5-9f05-e4d9b23431a3))
+ (segment (start 149.3 147.7125) (end 147.6 147.7125) (width 0.25) (layer "F.Cu") (net 52) (tstamp a47f76dd-f9c3-45ff-bc64-e3b7548d3571))
+ (segment (start 117.95001 76.125008) (end 118.4 76.574998) (width 0.25) (layer "F.Cu") (net 52) (tstamp a5ab06ee-4b66-4035-b93a-22c1326cb41b))
+ (segment (start 117.95001 65.94999) (end 117.95001 76.125008) (width 0.25) (layer "F.Cu") (net 52) (tstamp a64e95eb-3d55-45d8-8305-d4cccee6cc19))
+ (segment (start 157.687228 145.849182) (end 157.687228 146.212772) (width 0.25) (layer "F.Cu") (net 52) (tstamp ad57988f-ff5a-4683-a3db-ff81c73c96a0))
+ (segment (start 139.030025 144.5) (end 126.249967 131.719942) (width 0.25) (layer "F.Cu") (net 52) (tstamp af04357b-2e91-478c-81ee-7256cf1b22a5))
+ (segment (start 139.2 147.6125) (end 139.1 147.7125) (width 0.25) (layer "F.Cu") (net 52) (tstamp b0005ae2-cf91-475a-92bf-065cd6979cf4))
+ (segment (start 196.1 86.1125) (end 197.2125 85) (width 0.25) (layer "F.Cu") (net 52) (tstamp b0783db2-2d77-4b55-b503-882b97044e05))
+ (segment (start 112.9 60.5) (end 115.7 60.5) (width 0.25) (layer "F.Cu") (net 52) (tstamp bc0d4a00-5881-4ec1-9941-b18ef38cf9ee))
+ (segment (start 118.4 76.574998) (end 118.4 77.5) (width 0.25) (layer "F.Cu") (net 52) (tstamp c4c2dd9c-a96e-43c9-a25e-9bd63cde5b35))
+ (segment (start 197.2125 89) (end 168.499999 117.712501) (width 0.25) (layer "F.Cu") (net 52) (tstamp c7c5849f-2a31-46f3-8a4b-19e5fd80dc84))
+ (segment (start 168.499998 135.036412) (end 158.018205 145.518205) (width 0.25) (layer "F.Cu") (locked) (net 52) (tstamp dff366dd-e14e-4a18-a052-112c79c896bb))
+ (segment (start 126.249967 126.386443) (end 130.018205 122.618205) (width 0.25) (layer "F.Cu") (net 52) (tstamp e066142f-64cc-485b-b53e-dbbe17f5dd26))
+ (segment (start 126.1 119.6) (end 126.64999 119.05001) (width 0.25) (layer "F.Cu") (net 52) (tstamp e6d74b03-3aa0-4b52-9596-58c4b0e96ccc))
+ (segment (start 110.7875 64) (end 111.9 64) (width 0.25) (layer "F.Cu") (net 52) (tstamp edf3d497-6ef8-46e0-a77c-5d636a70b30c))
+ (segment (start 130.3 123.3) (end 130.2 123.4) (width 0.25) (layer "F.Cu") (net 52) (tstamp effa08c5-4a19-4a5d-8671-6635eae49f79))
+ (segment (start 196.1 87.8875) (end 196.1 86.1125) (width 0.25) (layer "F.Cu") (net 52) (tstamp f2a2f675-586e-4efa-88c4-39865af10387))
+ (segment (start 126.64999 90.04999) (end 119 82.4) (width 0.25) (layer "F.Cu") (net 52) (tstamp f6ca11cd-41c3-4699-b983-4ed9c2648d56))
+ (segment (start 126.249967 131.719942) (end 126.249967 126.386443) (width 0.25) (layer "F.Cu") (net 52) (tstamp f76fb288-80df-4998-866a-87f823c085f5))
+ (via (at 119 82.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp 611bfa3c-b252-4873-bdb4-5b0ddaf40b99))
+ (via (at 157.687228 146.212772) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp 8db842ab-3498-4040-ac64-dcf4dcdece57))
+ (via (at 111.9 64) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 52) (tstamp a1ba841f-d0f1-4180-9972-22f195224f10))
+ (via (at 126.1 119.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp a822c92b-aa6e-402e-8cd1-00aaf36efb4a))
+ (via (at 118.4 77.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp b012c781-a05f-49ef-b39c-472ffa759e65))
+ (via (at 130.2 123.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp c31a3e1d-19d7-40d6-9329-caa130af7e1a))
+ (via (at 154.1 144.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp c8c4cd7a-e570-413b-8879-3cc70b71e730))
+ (via (at 111.9 61.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 52) (tstamp fe0931e2-b5c3-48e5-add8-07aa165ecfe4))
+ (segment (start 111.9 61.5) (end 111.9 64) (width 0.25) (layer "B.Cu") (net 52) (tstamp 0e3ff50b-7259-4da2-89d5-65071ba11795))
+ (segment (start 154.1 144.8) (end 154.34999 145.04999) (width 0.25) (layer "B.Cu") (net 52) (tstamp 30c54344-0f6f-419d-8d2b-0e4a4a2ec869))
+ (segment (start 154.34999 145.04999) (end 156.524446 145.04999) (width 0.25) (layer "B.Cu") (net 52) (tstamp 5f0900de-fd33-423e-a3da-ff0e733e58a3))
+ (segment (start 156.524446 145.04999) (end 157.687228 146.212772) (width 0.25) (layer "B.Cu") (net 52) (tstamp 70bb7e98-d615-497c-9066-945bc07ddaf1))
+ (segment (start 117.95001 81.35001) (end 117.95001 77.94999) (width 0.25) (layer "B.Cu") (locked) (net 52) (tstamp 8230bec8-e78f-4dc9-8d0b-205c682d8e47))
+ (segment (start 126.1 120.773002) (end 126.1 119.6) (width 0.25) (layer "B.Cu") (net 52) (tstamp 9f4e0c11-a1f5-4555-adfb-ce353f344a43))
+ (segment (start 130.2 123.4) (end 128.726998 123.4) (width 0.25) (layer "B.Cu") (net 52) (tstamp a7efbff6-9d30-40c4-b999-18cb0c940c46))
+ (segment (start 117.95001 77.94999) (end 118.4 77.5) (width 0.25) (layer "B.Cu") (net 52) (tstamp b1ecc9a5-b914-4a90-b1f0-65b9ac3e38b9))
+ (segment (start 119 82.4) (end 117.95001 81.35001) (width 0.25) (layer "B.Cu") (net 52) (tstamp c9594822-f519-47e7-8b4d-49a3f1f809d8))
+ (segment (start 128.726998 123.4) (end 126.1 120.773002) (width 0.25) (layer "B.Cu") (net 52) (tstamp ee242127-2ba1-4675-a343-6a6e2ccadfc3))
+ (segment (start 144.25001 135.75001) (end 144.5 136) (width 0.25) (layer "F.Cu") (net 53) (tstamp 00395864-f5a8-4ed5-835b-396928ecb1ad))
+ (segment (start 135 131.9125) (end 135 133.63641) (width 0.25) (layer "F.Cu") (net 53) (tstamp 33a32712-a1de-4de3-ac4c-ecc850482ad0))
+ (segment (start 135 133.63641) (end 137.1136 135.75001) (width 0.25) (layer "F.Cu") (net 53) (tstamp 818f52a1-cf5d-4aff-8fb0-f3daadb1e812))
+ (segment (start 160.2125 123) (end 147.7 123) (width 0.4) (layer "F.Cu") (net 53) (tstamp ce7966d7-0092-47c4-82f6-435dede27014))
+ (segment (start 137.1136 135.75001) (end 144.25001 135.75001) (width 0.25) (layer "F.Cu") (net 53) (tstamp dd9cdce2-21cd-4bac-bdc5-58e54db33f17))
+ (via (at 147.7 123) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 53) (tstamp 2d6a9db2-d36c-4d83-84b5-b32de6d09707))
+ (via (at 144.5 136) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 53) (tstamp 7511e079-df16-46de-a700-161c389c06e3))
+ (segment (start 145.05798 130.910636) (end 145.05798 131.25798) (width 0.6) (layer "B.Cu") (net 53) (tstamp 580a3fdf-1e7e-48d6-aec3-c79f1b92d0c8))
+ (segment (start 147.7 128.268616) (end 145.05798 130.910636) (width 0.6) (layer "B.Cu") (net 53) (tstamp 726116ea-14d2-48c3-a931-dc6ede242f19))
+ (segment (start 145.05798 131.25798) (end 145.05798 135.34202) (width 0.4) (layer "B.Cu") (net 53) (tstamp a9fa2a38-7b28-4b7d-9815-aacdbea11b99))
+ (segment (start 147.7 123) (end 147.7 128.268616) (width 0.6) (layer "B.Cu") (net 53) (tstamp bdadf933-0721-4402-bea1-5e3c2aaa11c2))
+ (segment (start 145.05798 135.34202) (end 144.5 135.9) (width 0.4) (layer "B.Cu") (net 53) (tstamp d8a0c84c-a206-4d22-8133-c79deebf4760))
+ (segment (start 183.177503 145.422497) (end 183.177503 144.675613) (width 0.6) (layer "F.Cu") (net 58) (tstamp 02df0ee1-e426-4a98-9a0b-1be145758930))
+ (segment (start 148.865 140.135) (end 148.865 136.825) (width 0.25) (layer "F.Cu") (net 58) (tstamp 0d48deef-9cab-4685-b0e6-2aa34d8ff26e))
+ (segment (start 178.237574 150.047515) (end 176.9 148.709941) (width 0.6) (layer "F.Cu") (net 58) (tstamp 53fc4255-2746-45b5-a75b-ce17872e291b))
+ (segment (start 148.424989 140.575011) (end 148.865 140.135) (width 0.25) (layer "F.Cu") (net 58) (tstamp 5e26c73e-94f9-4e30-87f6-2f528b225072))
+ (segment (start 131.0875 127) (end 128.563589 127) (width 0.25) (layer "F.Cu") (net 58) (tstamp 896db8e3-58f9-4d34-b510-f9c7961de733))
+ (segment (start 136.377858 140.575011) (end 148.424989 140.575011) (width 0.25) (layer "F.Cu") (net 58) (tstamp 9da3ba73-696c-4089-9370-e4a9ff489d6d))
+ (segment (start 127.149989 128.4136) (end 127.149989 131.347142) (width 0.25) (layer "F.Cu") (net 58) (tstamp 9ef6cef5-982f-44fc-a944-dd6d96d28f81))
+ (segment (start 176.9 148.709941) (end 176.9 147.3) (width 0.6) (layer "F.Cu") (net 58) (tstamp d7570e54-b60c-42a5-a3ff-588f5afab578))
+ (segment (start 182.5 146.1) (end 183.177503 145.422497) (width 0.6) (layer "F.Cu") (net 58) (tstamp da5ebdab-6dde-408b-ae77-33761b302893))
+ (segment (start 128.563589 127) (end 127.149989 128.4136) (width 0.25) (layer "F.Cu") (net 58) (tstamp dd17affa-0ab6-46bb-b617-4710e9c0afef))
+ (segment (start 127.149989 131.347142) (end 136.377858 140.575011) (width 0.25) (layer "F.Cu") (net 58) (tstamp febaf275-f980-41b8-8bea-06be951d2e72))
+ (via (at 176.9 147.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 58) (tstamp 8f2db8eb-507c-4515-9c37-5c100e7bfbb9))
+ (via (at 182.5 146.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 58) (tstamp 98d66012-7df1-43cc-9d56-405a52a2298f))
+ (via (at 148.865 136.825) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 58) (tstamp ae1afea4-5d20-4586-8b7e-987d1aa7dbd0))
+ (segment (start 149.6 137.56) (end 148.865 136.825) (width 0.6) (layer "B.Cu") (net 58) (tstamp 104e0386-30a5-4fb4-8e5b-cf9d0c5e8029))
+ (segment (start 163.368614 148.5) (end 153.584307 138.715693) (width 0.6) (layer "B.Cu") (net 58) (tstamp 135f4207-b1e8-4dcd-b853-884663a4db1f))
+ (segment (start 178.3 146.1) (end 182.5 146.1) (width 0.6) (layer "B.Cu") (net 58) (tstamp 1bef810e-1ec4-4d21-ba90-00859939182d))
+ (segment (start 149.6 138) (end 149.6 137.56) (width 0.6) (layer "B.Cu") (net 58) (tstamp 229dcca1-a526-4b05-a406-e01b6cc531ae))
+ (segment (start 149.935 122.565) (end 149.935 121.275) (width 0.6) (layer "B.Cu") (net 58) (tstamp 3d396259-2f51-46d1-80a1-03691e1cb7e0))
+ (segment (start 152.868614 138) (end 149.6 138) (width 0.6) (layer "B.Cu") (net 58) (tstamp 3d6617e0-f983-4226-be74-85c4b9b8277b))
+ (segment (start 147.1 135.3) (end 148.625 136.825) (width 0.6) (layer "B.Cu") (net 58) (tstamp 52734020-1ecf-4b96-9257-704e7d71a630))
+ (segment (start 176.9 147.3) (end 176.9 147.865685) (width 0.6) (layer "B.Cu") (net 58) (tstamp 6211c6fa-c00a-4179-a61a-0284000e226c))
+ (segment (start 147.1 135.3) (end 146.699999 134.899999) (width 0.6) (layer "B.Cu") (net 58) (tstamp 6340d808-b3f0-439f-9b26-5ea450c7f37a))
+ (segment (start 148.625 136.825) (end 148.865 136.825) (width 0.6) (layer "B.Cu") (net 58) (tstamp 6599d30b-1f8f-4efd-ad67-fd1f43edb28b))
+ (segment (start 146.699999 134.899999) (end 146.699999 131.531385) (width 0.6) (layer "B.Cu") (net 58) (tstamp 69b42f03-5641-43f7-8586-6dabf458da74))
+ (segment (start 149.46501 128.766374) (end 149.46501 123.03499) (width 0.6) (layer "B.Cu") (net 58) (tstamp 6c8e642c-b672-4d26-9f3f-0b81b6b58edf))
+ (segment (start 176.265685 148.5) (end 163.368614 148.5) (width 0.6) (layer "B.Cu") (net 58) (tstamp 7316b92a-99c9-449a-a0bd-790f0f32cd67))
+ (segment (start 146.699999 131.531385) (end 149.46501 128.766374) (width 0.6) (layer "B.Cu") (net 58) (tstamp 8086e685-8fbc-4926-9a4f-c9beb0659309))
+ (segment (start 177.1 147.3) (end 178.3 146.1) (width 0.6) (layer "B.Cu") (net 58) (tstamp 985a9068-24de-4999-9105-729f9ef0220f))
+ (segment (start 176.9 147.3) (end 177.1 147.3) (width 0.6) (layer "B.Cu") (net 58) (tstamp c1ed37fb-9499-4541-a834-d0ee66b89f49))
+ (segment (start 176.9 147.865685) (end 176.265685 148.5) (width 0.6) (layer "B.Cu") (net 58) (tstamp c6d45e3c-6aa1-4cd5-83b0-8a5604b400d2))
+ (segment (start 149.46501 123.03499) (end 149.935 122.565) (width 0.6) (layer "B.Cu") (net 58) (tstamp e72daea3-2619-4203-9458-3c51e2ce6f24))
+ (segment (start 153.584307 138.715693) (end 152.868614 138) (width 0.6) (layer "B.Cu") (net 58) (tstamp faa7d9a1-26b0-46c5-9914-1707940f686f))
+ (segment (start 184.428209 144.896147) (end 184.428209 145.784644) (width 0.6) (layer "F.Cu") (net 59) (tstamp 12aa27cb-a207-464d-b11f-453a60ab1615))
+ (segment (start 148.61139 141.02502) (end 136.191456 141.02502) (width 0.25) (layer "F.Cu") (net 59) (tstamp 1bee9729-9945-4289-bf6b-a104b6766bcb))
+ (segment (start 126.699978 128.2272) (end 128.427178 126.5) (width 0.25) (layer "F.Cu") (net 59) (tstamp 251977a9-0f04-4a95-8607-d5ab95dbd6b6))
+ (segment (start 178.3 147.6) (end 178.3 148.375089) (width 0.6) (layer "F.Cu") (net 59) (tstamp 2b6d71be-3953-4d79-8abb-4fce84a289a0))
+ (segment (start 136.191456 141.02502) (end 126.699978 131.533542) (width 0.25) (layer "F.Cu") (net 59) (tstamp 3926a1d5-1c00-498a-bed7-7c7e1cc3d625))
+ (segment (start 150.135 136.825) (end 150.135 137.363396) (width 0.6) (layer "F.Cu") (net 59) (tstamp 4f989869-1aa6-49e2-980d-8168cde979c4))
+ (segment (start 126.699978 131.533542) (end 126.699978 128.2272) (width 0.25) (layer "F.Cu") (net 59) (tstamp 50126750-d98a-4d97-9faf-51c9bcab2c45))
+ (segment (start 150.135 137.363396) (end 151.771604 139) (width 0.6) (layer "F.Cu") (net 59) (tstamp 52441f92-46bf-438a-a1ec-cb9a2680379a))
+ (segment (start 149.315009 138.784991) (end 149.315009 140.321401) (width 0.25) (layer "F.Cu") (net 59) (tstamp 793d71bd-1afc-4b97-8cb3-2f1fafb854b3))
+ (segment (start 150.135 137.965) (end 149.315009 138.784991) (width 0.25) (layer "F.Cu") (net 59) (tstamp 897bf746-2932-4c01-8338-7e4c55acce32))
+ (segment (start 152.2 139) (end 152.5 139.3) (width 0.6) (layer "F.Cu") (net 59) (tstamp 91d6efb2-feee-40bb-9105-30186ee679ee))
+ (segment (start 150.135 136.825) (end 150.135 137.965) (width 0.25) (layer "F.Cu") (net 59) (tstamp 97efb61c-d672-40a5-8298-72e03c8c4b5f))
+ (segment (start 149.315009 140.321401) (end 148.61139 141.02502) (width 0.25) (layer "F.Cu") (net 59) (tstamp d4a3d430-842a-4d65-b65d-49d435739a59))
+ (segment (start 183.112853 147.1) (end 182.4 147.1) (width 0.6) (layer "F.Cu") (net 59) (tstamp d80bcb24-8fb9-4770-9bb5-c771a327a5ae))
+ (segment (start 128.427178 126.5) (end 131.0875 126.5) (width 0.25) (layer "F.Cu") (net 59) (tstamp ed36610e-0495-4a4b-9aaf-2d7d5cc43c5f))
+ (segment (start 151.771604 139) (end 152.2 139) (width 0.6) (layer "F.Cu") (net 59) (tstamp f1d3cb4d-1cc3-4ca3-aac6-0d697b386976))
+ (segment (start 184.428209 145.784644) (end 183.112853 147.1) (width 0.6) (layer "F.Cu") (net 59) (tstamp f81648b4-7156-4ae1-b66b-eb5562312682))
+ (segment (start 178.3 148.375089) (end 179.337426 149.412515) (width 0.6) (layer "F.Cu") (net 59) (tstamp fd60b588-22e8-4e6b-964b-f05c4a6257a4))
+ (via (at 178.3 147.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 59) (tstamp b8dd961e-14bd-4b0e-9774-b196660536fd))
+ (via (at 152.5 139.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 59) (tstamp d157774f-f877-428e-8b9d-2c9edfba5b4b))
+ (via (at 182.4 147.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 59) (tstamp d175acdc-e27a-478f-b645-c3ad246eac15))
+ (segment (start 153.181386 139.981386) (end 152.19999 138.99999) (width 0.6) (layer "B.Cu") (net 59) (tstamp 35db9dc6-ae10-4fd0-ad14-6cbf4f78ebff))
+ (segment (start 152.19999 138.99999) (end 149.29999 138.99999) (width 0.6) (layer "B.Cu") (net 59) (tstamp 43b244ac-0534-46c3-ab59-72bbe7d1e4f3))
+ (segment (start 148.665 128.435) (end 148.665 121.275) (width 0.6) (layer "B.Cu") (net 59) (tstamp 47e01d90-fee1-422a-9118-e150e4caa5c5))
+ (segment (start 178.8 147.1) (end 178.3 147.6) (width 0.6) (layer "B.Cu") (net 59) (tstamp 645bb603-097b-475d-880e-bfa70d67f722))
+ (segment (start 178.3 147.6) (end 176.6 149.3) (width 0.6) (layer "B.Cu") (net 59) (tstamp 693f48a0-4a96-4f58-963f-9e859a3534f1))
+ (segment (start 153.718614 139.981386) (end 153.181386 139.981386) (width 0.6) (layer "B.Cu") (net 59) (tstamp 73ffea80-85f6-47ef-8cac-00f3002a3219))
+ (segment (start 182.4 147.1) (end 178.8 147.1) (width 0.6) (layer "B.Cu") (net 59) (tstamp 7538dcf4-2626-4a75-9247-2c39912a38a0))
+ (segment (start 149.29999 138.99999) (end 145.899989 135.599989) (width 0.6) (layer "B.Cu") (net 59) (tstamp 79195b47-bbd9-45c4-beaf-95679a47cc57))
+ (segment (start 145.899989 135.599989) (end 145.899989 131.200011) (width 0.6) (layer "B.Cu") (net 59) (tstamp 98ee5765-53e8-401b-88d8-63cf810cee4f))
+ (segment (start 153.181386 139.981386) (end 152.5 139.3) (width 0.6) (layer "B.Cu") (net 59) (tstamp a99e159c-1859-4f4b-9dc5-fd6b2730081c))
+ (segment (start 163.037228 149.3) (end 153.718614 139.981386) (width 0.6) (layer "B.Cu") (net 59) (tstamp e019a588-0005-4bd2-b403-eebf809a111f))
+ (segment (start 176.6 149.3) (end 163.037228 149.3) (width 0.6) (layer "B.Cu") (net 59) (tstamp e5025647-96aa-42cd-abf7-f71959eb0db2))
+ (segment (start 145.899989 131.200011) (end 148.665 128.435) (width 0.6) (layer "B.Cu") (net 59) (tstamp fc6f2593-7e3b-45cb-8bb0-e35a379f2d09))
+ (segment (start 181.926797 144.45508) (end 181.926797 143.173203) (width 0.6) (layer "F.Cu") (net 60) (tstamp 1c4b60b6-f6e3-48a8-9dbe-34921a970247))
+ (segment (start 148.3 139.9) (end 148.074999 140.125001) (width 0.25) (layer "F.Cu") (net 60) (tstamp 204ae0eb-b82d-4d5c-9582-b0de70b67992))
+ (segment (start 147.595 135.605) (end 147.595 136.825) (width 0.6) (layer "F.Cu") (net 60) (tstamp 2897dfbe-d4ca-492d-9edc-28aa25ae7bdd))
+ (segment (start 127.90186 126) (end 127.80512 126.09674) (width 0.25) (layer "F.Cu") (net 60) (tstamp 5009e2fa-7fa8-4a74-95c0-0d0a4ebe3a09))
+ (segment (start 148.136397 135.063603) (end 147.595 135.605) (width 0.6) (layer "F.Cu") (net 60) (tstamp 53d19cfa-d162-47dd-aa4b-f93e25ec06b6))
+ (segment (start 147.595 136.825) (end 147.595 137.955455) (width 0.25) (layer "F.Cu") (net 60) (tstamp 5fe4b2f0-a27f-42e1-a5b1-8e3024abc5e0))
+ (segment (start 147.595 137.955455) (end 148.3 138.660455) (width 0.25) (layer "F.Cu") (net 60) (tstamp 6f61f71b-e464-4b72-9620-58b8c8b83a59))
+ (segment (start 131.0875 126) (end 127.90186 126) (width 0.25) (layer "F.Cu") (net 60) (tstamp 7882f653-aa3d-45cf-9298-3aa8f63f78c6))
+ (segment (start 175.7 149.244793) (end 177.137722 150.682515) (width 0.6) (layer "F.Cu") (net 60) (tstamp 890ae4a6-d864-4bf2-a7c6-57d2ae3e8ff0))
+ (segment (start 128.700861 132.261604) (end 128.700861 131.82501) (width 0.25) (layer "F.Cu") (net 60) (tstamp 93c15a88-8775-4609-aa6b-6cf5f9031157))
+ (segment (start 148.3 138.660455) (end 148.3 139.9) (width 0.25) (layer "F.Cu") (net 60) (tstamp 9a51d8f0-3859-4099-87cd-22d72baab9e4))
+ (segment (start 136.564258 140.125001) (end 128.700861 132.261604) (width 0.25) (layer "F.Cu") (net 60) (tstamp cb1263f8-b024-49a0-b24e-1b74a56dd65a))
+ (segment (start 175.7 147.5) (end 175.7 149.244793) (width 0.6) (layer "F.Cu") (net 60) (tstamp d7a6c0a6-e273-4918-9031-b8cce0628e31))
+ (segment (start 181.926797 143.173203) (end 182.1 143) (width 0.6) (layer "F.Cu") (net 60) (tstamp e52291b4-720d-419f-b4e4-5973560c791c))
+ (segment (start 148.074999 140.125001) (end 136.564258 140.125001) (width 0.25) (layer "F.Cu") (net 60) (tstamp ff50950f-eeda-4211-85e0-61ee1e88dfd7))
+ (via (at 128.700861 131.82501) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 60) (tstamp 35f0eb3f-521a-4c1d-8a2f-fba0d24199e0))
+ (via (at 175.7 147.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 60) (tstamp 572f3718-3363-4fb1-8d6e-8034282cfcc9))
+ (via (at 182.1 143) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 60) (tstamp 6380c4e8-2d65-405c-9487-dbb425803d22))
+ (via (at 127.80512 126.09674) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 60) (tstamp 63a5e364-09ad-4bf8-9618-58807f56d783))
+ (via (at 148.136397 135.063603) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 60) (tstamp 94d93efc-cec8-476e-9ec8-a404dc63dc56))
+ (segment (start 129.8 130.7) (end 129.8 128.09162) (width 0.25) (layer "B.Cu") (net 60) (tstamp 0091f8ae-b220-419a-994b-7381032c5695))
+ (segment (start 151.205 128.157768) (end 151.205 121.275) (width 0.6) (layer "B.Cu") (net 60) (tstamp 14f5c30b-1b34-47d1-a89a-3861cd39968f))
+ (segment (start 182.1 144.3) (end 181.3 145.1) (width 0.6) (layer "B.Cu") (net 60) (tstamp 16731aeb-dba8-4d0e-bd87-06cedfb39875))
+ (segment (start 148.5 135.5) (end 147.7 134.7) (width 0.6) (layer "B.Cu") (net 60) (tstamp 16b396e8-7eb1-4a98-a2b1-170488f06786))
+ (segment (start 181.3 145.1) (end 177.767998 145.1) (width 0.6) (layer "B.Cu") (net 60) (tstamp 2428cb85-8440-4851-9129-c2cc3dafc75a))
+ (segment (start 175.7 147.5) (end 163.5 147.5) (width 0.6) (layer "B.Cu") (net 60) (tstamp 32b85dae-256d-4c42-a9c6-1fab0984d807))
+ (segment (start 175.7 147.167998) (end 175.7 147.5) (width 0.6) (layer "B.Cu") (net 60) (tstamp 3b99c9ed-8abf-43a3-af4d-f9ae780168a7))
+ (segment (start 129.8 128.09162) (end 129.45419 127.74581) (width 0.25) (layer "B.Cu") (net 60) (tstamp 427549c5-cdf6-4295-a8ad-ce310620675c))
+ (segment (start 127.80512 126.767034) (end 128.783896 127.74581) (width 0.25) (layer "B.Cu") (net 60) (tstamp 5158f969-bb74-4eff-a676-ddd8e4f6174c))
+ (segment (start 147.7 134.7) (end 147.7 131.662768) (width 0.6) (layer "B.Cu") (net 60) (tstamp 51f65935-2c77-41e4-b742-95addb7c64eb))
+ (segment (start 128.783896 127.74581) (end 129.45419 127.74581) (width 0.25) (layer "B.Cu") (net 60) (tstamp 556f2ef6-1639-447c-85b8-abf2d65d833b))
+ (segment (start 182.1 143) (end 182.1 144.3) (width 0.6) (layer "B.Cu") (net 60) (tstamp 83039df5-75c1-45df-beab-9117b5d34240))
+ (segment (start 151.5 135.5) (end 150.1 135.5) (width 0.6) (layer "B.Cu") (net 60) (tstamp 856663cc-664d-4398-bfc4-b5f45494fbe0))
+ (segment (start 147.7 131.662768) (end 151.205 128.157768) (width 0.6) (layer "B.Cu") (net 60) (tstamp 89a9dce0-bc64-4b36-a1b4-a669b6440cf7))
+ (segment (start 177.767998 145.1) (end 175.7 147.167998) (width 0.6) (layer "B.Cu") (net 60) (tstamp 9a896b29-1dfe-4a5e-856e-20ecf69214ff))
+ (segment (start 127.80512 126.09674) (end 127.80512 126.767034) (width 0.25) (layer "B.Cu") (net 60) (tstamp ab6ff431-344d-428a-bb31-405eb52bfac2))
+ (segment (start 163.5 147.5) (end 151.5 135.5) (width 0.6) (layer "B.Cu") (net 60) (tstamp b3ffda47-b00e-4120-9d09-3c15ee6a8e1a))
+ (segment (start 148.572794 135.5) (end 148.136397 135.063603) (width 0.6) (layer "B.Cu") (net 60) (tstamp bf96dc85-193b-45cf-9ebb-3fb0ca12b68e))
+ (segment (start 150.1 135.5) (end 148.572794 135.5) (width 0.6) (layer "B.Cu") (net 60) (tstamp dbc2c322-27bd-4684-a2c0-3eb920186f83))
+ (segment (start 150.1 135.5) (end 148.5 135.5) (width 0.6) (layer "B.Cu") (net 60) (tstamp e71be30f-869f-4de8-9264-65a2c1494e57))
+ (segment (start 128.7 131.8) (end 129.8 130.7) (width 0.25) (layer "B.Cu") (net 60) (tstamp ee247cac-3a0d-4a15-89ce-5196cbababfb))
+ (segment (start 135.5 122.783042) (end 135.5 123.5875) (width 0.25) (layer "F.Cu") (net 63) (tstamp 3ee2e9be-1497-4daa-88fe-89f391a488c0))
+ (segment (start 134.4 117.4) (end 133.47499 118.32501) (width 0.25) (layer "F.Cu") (net 63) (tstamp 45dd9e18-6e08-49ef-8ea2-4a14ccf34650))
+ (segment (start 133.47499 120.758032) (end 135.5 122.783042) (width 0.25) (layer "F.Cu") (net 63) (tstamp aef3271d-1071-460d-a26f-7e0944fc1a89))
+ (segment (start 133.47499 118.32501) (end 133.47499 120.758032) (width 0.25) (layer "F.Cu") (net 63) (tstamp f36f5ed5-7569-4b93-853e-53166452ae7d))
+ (segment (start 136 119.7) (end 136 123.5875) (width 0.25) (layer "F.Cu") (net 64) (tstamp d0b32d71-589e-41dc-b152-a89ea9611609))
+ (segment (start 136.1 119.6) (end 136 119.7) (width 0.25) (layer "F.Cu") (net 64) (tstamp dfbfbf12-3bdb-4b83-96b8-b50f630b71ef))
+ (segment (start 137.774999 120.225001) (end 136.5 121.5) (width 0.25) (layer "F.Cu") (net 65) (tstamp 28ac8800-3ec9-4da7-bbf0-7fef6ca24306))
+ (segment (start 137.774999 118.475001) (end 137.774999 120.225001) (width 0.25) (layer "F.Cu") (net 65) (tstamp 3e8322dd-ee18-433e-b55b-281de50da842))
+ (segment (start 139 117.25) (end 137.774999 118.475001) (width 0.25) (layer "F.Cu") (net 65) (tstamp 570c9bc0-ac07-437a-95b0-dcaead34f69a))
+ (segment (start 136.5 121.5) (end 136.5 123.5875) (width 0.25) (layer "F.Cu") (net 65) (tstamp c22594c5-ed24-4d74-8674-6f80c472f5ad))
+ (segment (start 137 121.75) (end 137 123.5875) (width 0.25) (layer "F.Cu") (net 66) (tstamp 107eb745-f3af-4023-8f75-ce7a177fc63b))
+ (segment (start 139 119.75) (end 137 121.75) (width 0.25) (layer "F.Cu") (net 66) (tstamp 3cedd608-c198-4cb7-be86-fb89c755f6b4))
+ (segment (start 185.322497 139.824387) (end 185.322497 137.890003) (width 0.6) (layer "F.Cu") (net 68) (tstamp 1f776fd0-cfac-4a49-9e6b-4189e07e6740))
+ (segment (start 185.322497 137.890003) (end 185.9625 137.25) (width 0.6) (layer "F.Cu") (net 68) (tstamp e6b5c841-70d1-470a-b3e3-83610d88c00d))
+ (segment (start 150.135 130.0775) (end 150.7125 129.5) (width 0.6) (layer "F.Cu") (net 69) (tstamp 12c58e0d-d7df-41b6-9ee4-bb0b235e33d3))
+ (segment (start 150.135 131.675) (end 150.135 130.0775) (width 0.6) (layer "F.Cu") (net 69) (tstamp d7ecd958-c07e-4e14-9a47-754d5a35ef3b))
+ (segment (start 148.665 116.125) (end 148.665 114.0275) (width 0.6) (layer "B.Cu") (net 70) (tstamp 0bc3b449-b943-48e6-88f0-a65f4da91645))
+ (segment (start 148.665 114.0275) (end 148.5875 113.95) (width 0.6) (layer "B.Cu") (net 70) (tstamp 892fefb8-aa5f-490b-966d-fc54a77f4a86))
+ (segment (start 145.6 133.7) (end 144.8 132.9) (width 0.25) (layer "F.Cu") (net 71) (tstamp 1d83f41b-ca25-4384-ba44-b83fd21ba284))
+ (segment (start 139.974998 131.751998) (end 139.974998 132.174998) (width 0.25) (layer "F.Cu") (net 71) (tstamp 1f9e886f-4fde-4934-9105-200e8139b1d9))
+ (segment (start 144.1 132.9) (end 143.1 133.9) (width 0.25) (layer "F.Cu") (net 71) (tstamp 340672f6-c3fb-4783-a365-236dedce320d))
+ (segment (start 151.6 133.7) (end 145.6 133.7) (width 0.25) (layer "F.Cu") (net 71) (tstamp 378773c0-0579-4593-b74d-5298bcb53d50))
+ (segment (start 139.974998 133.474998) (end 139.974998 132.825002) (width 0.25) (layer "F.Cu") (net 71) (tstamp 4a23c434-97f1-4628-9876-f53b6621c5ab))
+ (segment (start 140.216958 130) (end 140.47501 130.258052) (width 0.25) (layer "F.Cu") (net 71) (tstamp 6d5bba23-db20-4068-9f8e-03d7dc9aa9c3))
+ (segment (start 139.4125 130) (end 140.216958 130) (width 0.25) (layer "F.Cu") (net 71) (tstamp 7a5889af-2bd3-4cfc-8d73-a040ebaa1097))
+ (segment (start 180.437278 143.224778) (end 180.437278 148.777515) (width 0.6) (layer "F.Cu") (net 71) (tstamp 7e20d1d7-d1fb-4960-ad1f-b1e8c10c4a4d))
+ (segment (start 177.5 140.2875) (end 180.437278 143.224778) (width 0.6) (layer "F.Cu") (net 71) (tstamp 7ec81ddf-1740-46fe-82b6-d0975ff3eb9b))
+ (segment (start 144.4 132.9) (end 144.8 132.9) (width 0.25) (layer "F.Cu") (net 71) (tstamp 86e8c6fe-7d7c-44ef-97cb-50e6f5dc2056))
+ (segment (start 140.47501 131.251986) (end 139.974998 131.751998) (width 0.25) (layer "F.Cu") (net 71) (tstamp 906f1221-8a69-4e85-9c00-d29d1815b6f8))
+ (segment (start 143.1 133.9) (end 140.5 133.9) (width 0.25) (layer "F.Cu") (net 71) (tstamp 9a81d279-214d-4a52-a9a3-1c4aacf2810d))
+ (segment (start 140.4 133.9) (end 139.974998 133.474998) (width 0.25) (layer "F.Cu") (net 71) (tstamp a51ef83e-fb0b-4e38-97ae-3aeb263c89f9))
+ (segment (start 152.6 132.7) (end 151.6 133.7) (width 0.25) (layer "F.Cu") (net 71) (tstamp bb7fe7df-8c11-4df6-8d05-a9aa2ff76395))
+ (segment (start 139.974998 133.174998) (end 139.974998 132.825002) (width 0.25) (layer "F.Cu") (net 71) (tstamp be360922-9e9e-49d3-bee1-e32e91ed63dd))
+ (segment (start 140.5 133.9) (end 140.4 133.9) (width 0.25) (layer "F.Cu") (net 71) (tstamp c5ecf3b6-a945-4292-8c3c-82bf217a266b))
+ (segment (start 139.974998 132.825002) (end 139.974998 132.174998) (width 0.25) (layer "F.Cu") (net 71) (tstamp d2aed420-e998-4d55-9eaf-744335d5eb15))
+ (segment (start 144.8 132.9) (end 144.1 132.9) (width 0.25) (layer "F.Cu") (net 71) (tstamp eaa67dde-20f3-49a8-ae3a-2b697067b6b7))
+ (segment (start 140.47501 130.258052) (end 140.47501 131.251986) (width 0.25) (layer "F.Cu") (net 71) (tstamp fc80cdb0-bd66-4735-9269-0378a2ecc7db))
+ (via (at 180.437278 144.037278) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 71) (tstamp f4aede8c-fef9-4ee2-b086-fd332c3285fe))
+ (via (at 152.6 132.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 71) (tstamp f733a862-f20f-4701-a86f-4d70ee9fbc52))
+ (segment (start 152.6 135.4) (end 152.6 132.7) (width 0.6) (layer "B.Cu") (net 71) (tstamp 0bc8f427-3d2e-462c-8551-7535f55867b6))
+ (segment (start 180.437278 144.037278) (end 177.562722 144.037278) (width 0.6) (layer "B.Cu") (net 71) (tstamp 0d8bbcb2-d790-4b36-b799-82faa92ddc5c))
+ (segment (start 174.9 146.7) (end 163.9 146.7) (width 0.6) (layer "B.Cu") (net 71) (tstamp 15747e16-5ff6-4b72-9779-2473331f5a43))
+ (segment (start 163.9 146.7) (end 152.6 135.4) (width 0.6) (layer "B.Cu") (net 71) (tstamp 9d684072-1e91-4488-bece-74e4401e4bab))
+ (segment (start 177.562722 144.037278) (end 174.9 146.7) (width 0.6) (layer "B.Cu") (net 71) (tstamp de1dbe97-ce09-415a-96e6-a8fff9ed5ed1))
+ (segment (start 137.3 135.3) (end 144.126998 135.3) (width 0.25) (layer "F.Cu") (net 72) (tstamp 1acfa5cc-c762-49d3-85f3-6b199638ca63))
+ (segment (start 185.678915 143.578915) (end 185.678915 145.11668) (width 0.6) (layer "F.Cu") (net 72) (tstamp 2828ac36-c5ac-401b-8907-22cccfe751ae))
+ (segment (start 144.949998 135.274999) (end 145.4 135.725001) (width 0.25) (layer "F.Cu") (net 72) (tstamp 288b1c58-1ef6-45ae-bcff-97c65b081254))
+ (segment (start 185.678915 145.11668) (end 185.678915 146.021085) (width 0.6) (layer "F.Cu") (net 72) (tstamp 2f9f3705-5fcf-4f27-89d5-d535afc4f5b0))
+ (segment (start 185.678915 146.021085) (end 185 146.7) (width 0.6) (layer "F.Cu") (net 72) (tstamp 4994338b-80c3-4cef-9cb7-dfb4211035a5))
+ (segment (start 145.4 135.725001) (end 145.4 136.7) (width 0.25) (layer "F.Cu") (net 72) (tstamp 576fdf97-94f8-416f-ad8b-6b64c2dbdd63))
+ (segment (start 183.3625 142.4) (end 184.5 142.4) (width 0.6) (layer "F.Cu") (net 72) (tstamp 69c9e55a-d935-4d8f-82ab-1199c887217b))
+ (segment (start 135.5 133.5) (end 137.3 135.3) (width 0.25) (layer "F.Cu") (net 72) (tstamp 8c56d4bb-ef65-4d6c-abca-a144c08089f7))
+ (segment (start 180.5 139.5375) (end 183.3625 142.4) (width 0.6) (layer "F.Cu") (net 72) (tstamp 9744ebdc-be80-4581-836b-50a597e96ab6))
+ (segment (start 144.126998 135.3) (end 144.151999 135.274999) (width 0.25) (layer "F.Cu") (net 72) (tstamp a509e272-5bce-4d8b-95ee-3d8332d3e3dc))
+ (segment (start 135.5 131.9125) (end 135.5 133.5) (width 0.25) (layer "F.Cu") (net 72) (tstamp b37f50d6-e114-4bea-aff4-199713a2db51))
+ (segment (start 144.151999 135.274999) (end 144.949998 135.274999) (width 0.25) (layer "F.Cu") (net 72) (tstamp b3c74c3c-8d2a-4118-be9e-bfefc1b0abdc))
+ (segment (start 184.5 142.4) (end 185.678915 143.578915) (width 0.6) (layer "F.Cu") (net 72) (tstamp eacb6d34-1d53-4004-abdc-18d0ad19eb36))
+ (via (at 185 146.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 72) (tstamp 37dce4e0-3f11-4290-9db5-f465f9a5e6d3))
+ (via (at 145.4 136.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 72) (tstamp 8ad9cd0f-de45-49b0-a2b1-1c0a7f47154c))
+ (segment (start 148.4 139.8) (end 145.4 136.8) (width 0.6) (layer "B.Cu") (net 72) (tstamp 1b501e04-e4eb-4660-bdb4-d04f2152e2c1))
+ (segment (start 151.700002 139.8) (end 148.4 139.8) (width 0.6) (layer "B.Cu") (net 72) (tstamp 1f374c5c-3a22-42d2-a0f9-89d2d40adac8))
+ (segment (start 153.702921 141.097079) (end 152.997081 141.097079) (width 0.6) (layer "B.Cu") (net 72) (tstamp 3b8bf4e7-284f-4572-bf63-90bbf1dd3bf5))
+ (segment (start 185 146.7) (end 183.699999 148.000001) (width 0.6) (layer "B.Cu") (net 72) (tstamp 7c8d91f5-f39b-4aba-a121-c045523eef60))
+ (segment (start 177.1 150.2) (end 162.805842 150.2) (width 0.6) (layer "B.Cu") (net 72) (tstamp 8035b813-098e-4ea4-9f89-d5b03d96ccee))
+ (segment (start 152.997081 141.097079) (end 151.700002 139.8) (width 0.6) (layer "B.Cu") (net 72) (tstamp 913b2c90-bb40-4db2-a9f7-50fcb645e0e3))
+ (segment (start 179.299999 148.000001) (end 177.1 150.2) (width 0.6) (layer "B.Cu") (net 72) (tstamp b86b1718-ad2a-4679-bc7e-4b1e55582163))
+ (segment (start 162.805842 150.2) (end 153.702921 141.097079) (width 0.6) (layer "B.Cu") (net 72) (tstamp d0bc3f86-998d-46a0-887e-da9cdaf1c42f))
+ (segment (start 183.699999 148.000001) (end 179.299999 148.000001) (width 0.6) (layer "B.Cu") (net 72) (tstamp fbe34f9d-d40d-47e4-964a-8dfb4fde7f2a))
+ (segment (start 145.1 134.2) (end 144.475011 134.824989) (width 0.25) (layer "F.Cu") (net 73) (tstamp 06c99fa3-2791-4527-a8b5-5ff0e3fb380e))
+ (segment (start 153.2875 136.825) (end 153.75 137.2875) (width 0.6) (layer "F.Cu") (net 73) (tstamp 187a5d19-6add-4929-8510-031333de2f68))
+ (segment (start 151.405 136.825) (end 151.405 135.005) (width 0.25) (layer "F.Cu") (net 73) (tstamp 31eea286-80d4-4245-88cd-69444b0c48e7))
+ (segment (start 137.4864 134.84999) (end 136 133.36359) (width 0.25) (layer "F.Cu") (net 73) (tstamp 3e770711-0910-4de9-ae8f-f5ea9ec31d36))
+ (segment (start 151.405 136.825) (end 153.2875 136.825) (width 0.6) (layer "F.Cu") (net 73) (tstamp 7a6dc0ce-28b9-487f-97d0-e41998f756e2))
+ (segment (start 144.475011 134.824989) (end 143.965599 134.824989) (width 0.25) (layer "F.Cu") (net 73) (tstamp c069f390-220f-4e0f-a874-b7261d3ced0e))
+ (segment (start 150.6 134.2) (end 145.1 134.2) (width 0.25) (layer "F.Cu") (net 73) (tstamp c2315a48-6c0a-41b6-9bc2-41aa65c8334d))
+ (segment (start 143.965599 134.824989) (end 143.940598 134.84999) (width 0.25) (layer "F.Cu") (net 73) (tstamp d251c093-757d-4752-80b9-f7b47083466d))
+ (segment (start 136 133.36359) (end 136 131.9125) (width 0.25) (layer "F.Cu") (net 73) (tstamp dc14c908-5f8f-4035-98f0-73ae4e6e90db))
+ (segment (start 143.940598 134.84999) (end 137.4864 134.84999) (width 0.25) (layer "F.Cu") (net 73) (tstamp e3a2505e-3d1e-44e9-874c-6b02d1d38577))
+ (segment (start 151.405 135.005) (end 150.6 134.2) (width 0.25) (layer "F.Cu") (net 73) (tstamp ffc6ea18-345f-49da-8e0d-010c195a6be7))
+ (segment (start 136.5 131.9125) (end 136.5 133.22718) (width 0.25) (layer "F.Cu") (net 74) (tstamp 1c99ab91-132b-4671-b72c-c67508cf86cf))
+ (segment (start 136.5 133.22718) (end 137.6728 134.39998) (width 0.25) (layer "F.Cu") (net 74) (tstamp 20963a8b-a297-4598-ab09-fdd4c9075b9f))
+ (segment (start 137.6728 134.39998) (end 143.65002 134.39998) (width 0.25) (layer "F.Cu") (net 74) (tstamp 8b25ba33-aaf0-4306-b4cc-e1f7a5a620eb))
+ (segment (start 143.65002 134.39998) (end 144.15 133.9) (width 0.25) (layer "F.Cu") (net 74) (tstamp c61796a3-31c1-4742-ac8d-c2fdfa03c3a6))
+ (segment (start 144.15 133.9) (end 144.3 133.9) (width 0.25) (layer "F.Cu") (net 74) (tstamp d0d52ccf-d2ce-401c-8da4-6f2497e46771))
+ (via (at 144.2 133.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 74) (tstamp d8ecd360-456d-4a6e-b3e6-2d238da599e6))
+ (segment (start 144.8 130) (end 146.8 128) (width 0.6) (layer "B.Cu") (net 74) (tstamp 116bf7cc-4c06-42fc-b465-f39ef6227df4))
+ (segment (start 146.9825 121.6875) (end 147.395 121.275) (width 0.6) (layer "B.Cu") (net 74) (tstamp 207adfa6-d6ea-4ccd-8e63-9915da4dec8b))
+ (segment (start 146.8 128) (end 146.8 121.87) (width 0.6) (layer "B.Cu") (net 74) (tstamp 65161dd7-6702-4651-9419-795d08750584))
+ (segment (start 145.3 121.6875) (end 146.9825 121.6875) (width 0.6) (layer "B.Cu") (net 74) (tstamp 876ebac9-2839-42ef-8dc2-4a0679b16491))
+ (segment (start 144.4 133.7) (end 144.4 130.5) (width 0.25) (layer "B.Cu") (net 74) (tstamp 9df99029-85b9-4719-ac33-2ba156b5ac29))
+ (segment (start 146.8 121.87) (end 147.395 121.275) (width 0.6) (layer "B.Cu") (net 74) (tstamp baea82e2-5d5a-47e7-91c4-761a98bd50bc))
+ (segment (start 144.4 130.5) (end 144.7 130.2) (width 0.25) (layer "B.Cu") (net 74) (tstamp c0bb9280-7d3d-4554-95ff-001d2ed89f68))
+ (segment (start 144.2 133.9) (end 144.4 133.7) (width 0.25) (layer "B.Cu") (net 74) (tstamp d9d18333-9e2d-46a4-8007-0879bed6a845))
+ (segment (start 169.2125 100) (end 165.60001 96.38751) (width 0.8) (layer "F.Cu") (net 75) (tstamp 0f868d8e-4ea0-4c3d-a4f1-21150d89a9ea))
+ (segment (start 169.2125 100) (end 169.2125 101.7875) (width 0.8) (layer "F.Cu") (net 75) (tstamp 15cc63b7-12db-4d21-a36e-44ed6bcde518))
+ (segment (start 148.6 81.8) (end 148.9875 81.8) (width 0.8) (layer "F.Cu") (net 75) (tstamp 243d0d28-2e46-4c10-8594-5e8bc17b8c55))
+ (segment (start 159.8 119) (end 158.87501 119.92499) (width 0.8) (layer "F.Cu") (net 75) (tstamp 2aed316c-e26f-45bf-9fd3-faefb546436c))
+ (segment (start 151.03751 121.82501) (end 150 120.7875) (width 0.8) (layer "F.Cu") (net 75) (tstamp 3b5dc795-3715-4fe1-881f-eef82508a3be))
+ (segment (start 130.7875 96.03751) (end 130.82501 96) (width 0.8) (layer "F.Cu") (net 75) (tstamp 4572440b-27f8-4a40-9293-4ba3b27c0eb4))
+ (segment (start 158.87501 121.149062) (end 158.199062 121.82501) (width 0.8) (layer "F.Cu") (net 75) (tstamp 633e7d45-70bd-49c0-9feb-4c5711e63507))
+ (segment (start 165.60001 92.751466) (end 157.948544 85.1) (width 0.8) (layer "F.Cu") (net 75) (tstamp 6473c2ba-4d7f-491e-b1d7-8802c16d1597))
+ (segment (start 156 84.3) (end 153.5125 84.3) (width 0.8) (layer "F.Cu") (net 75) (tstamp 65bda65c-0e65-401f-bfbf-0d8d9b87b617))
+ (segment (start 158.87501 119.92499) (end 158.87501 121.149062) (width 0.8) (layer "F.Cu") (net 75) (tstamp 68a558da-3e9e-4da4-a890-e658b2583e1c))
+ (segment (start 156.8 85.1) (end 156 84.3) (width 0.8) (layer "F.Cu") (net 75) (tstamp 697a740a-5968-4a44-9adb-bf3bf54a48a2))
+ (segment (start 157.948544 85.1) (end 156.8 85.1) (width 0.8) (layer "F.Cu") (net 75) (tstamp 6eba5682-3730-46c9-b32c-bc2104ae70be))
+ (segment (start 161 119) (end 159.8 119) (width 0.8) (layer "F.Cu") (net 75) (tstamp 96e52eeb-e253-4cc4-94ec-e0b96e354bdd))
+ (segment (start 161 116.6) (end 161 119) (width 0.8) (layer "F.Cu") (net 75) (tstamp a0ebb98e-ed95-42a4-8cf5-6382dc131188))
+ (segment (start 169.2125 101.7875) (end 166.4 104.6) (width 0.8) (layer "F.Cu") (net 75) (tstamp af60071c-bb70-40b7-b07c-e5f384ec899d))
+ (segment (start 158.199062 121.82501) (end 151.03751 121.82501) (width 0.8) (layer "F.Cu") (net 75) (tstamp b2399f4f-7da0-4477-8940-9e39be1368f5))
+ (segment (start 165.60001 96.38751) (end 165.60001 92.751466) (width 0.8) (layer "F.Cu") (net 75) (tstamp bb98dad4-3bdf-4663-a403-6a2940f172d5))
+ (segment (start 153.5125 84.3) (end 150 80.7875) (width 0.8) (layer "F.Cu") (net 75) (tstamp d1deb20c-9b36-48b1-b962-6e6835e17dec))
+ (segment (start 148.9875 81.8) (end 150 80.7875) (width 0.8) (layer "F.Cu") (net 75) (tstamp d45d95a6-7534-4bf4-b602-f976e180f8fd))
+ (segment (start 130.7875 100) (end 130.7875 96.03751) (width 0.8) (layer "F.Cu") (net 75) (tstamp f0df3c29-87e9-495c-b226-a9a4cbf7cb31))
+ (via (at 148.6 81.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 75) (tstamp 78981ea7-08cb-4d42-8a1b-ab7be921442a))
+ (via (at 161 116.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 75) (tstamp 87fcc6a8-56be-4a8c-add1-20d61a208e59))
+ (via (at 130.82501 96) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 75) (tstamp c6475fa7-6bcb-4149-9f45-1d2b5ef10ecd))
+ (via (at 166.4 104.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 75) (tstamp f2b1f9f4-48ae-4842-9eab-a851fc877abe))
+ (segment (start 130.82501 96) (end 134 96) (width 0.8) (layer "B.Cu") (net 75) (tstamp 06750999-8cdc-4cf6-820a-87ccd784e51a))
+ (segment (start 166.4 104.6) (end 166.4 111) (width 0.8) (layer "B.Cu") (net 75) (tstamp 1e42addd-4e06-479b-a12c-dcd650c94030))
+ (segment (start 166.4 111) (end 161 116.4) (width 0.8) (layer "B.Cu") (net 75) (tstamp 534ccbc9-22f9-4d59-ab76-cafa33a40f69))
+ (segment (start 161 116.4) (end 161 116.6) (width 0.8) (layer "B.Cu") (net 75) (tstamp 8306f1d2-9bf7-499b-9d54-d94fc1309f2f))
+ (segment (start 148.2 81.8) (end 148.6 81.8) (width 0.8) (layer "B.Cu") (net 75) (tstamp b74565eb-10ba-455d-b1d3-ba9163899328))
+ (segment (start 134 96) (end 148.2 81.8) (width 0.8) (layer "B.Cu") (net 75) (tstamp fca33fed-fca7-4f3f-b905-896b5b3dfb25))
+ (segment (start 161.7875 123) (end 161.7875 122.7375) (width 0.8) (layer "F.Cu") (net 76) (tstamp a812ef03-d814-4837-b8e0-7b96d1e31027))
+ (segment (start 161.7875 122.7375) (end 160.05 121) (width 0.8) (layer "F.Cu") (net 76) (tstamp d9071030-7a9c-44ab-a652-84e9c8504add))
+
+ (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 9bf0cf5f-deba-4cde-85b6-3123fdfb8252) (hatch edge 0.508)
+ (connect_pads (clearance 0))
+ (min_thickness 0.254)
+ (keepout (tracks not_allowed) (vias not_allowed) (pads not_allowed ) (copperpour allowed) (footprints allowed))
+ (fill (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 158 87)
+ (xy 162 90)
+ (xy 164 94)
+ (xy 165 99)
+ (xy 165 103)
+ (xy 163 108)
+ (xy 160 112)
+ (xy 155 114)
+ (xy 150 115)
+ (xy 145 115)
+ (xy 140 112)
+ (xy 136 107)
+ (xy 135 101)
+ (xy 135 94)
+ (xy 143 86)
+ (xy 148 85)
+ (xy 153 85)
+ )
+ )
+ )
+ (zone (net 1) (net_name "GND") (layers F&B.Cu) (tstamp e9926b53-1379-4341-abed-6bf60f0f392a) (hatch edge 0.508)
+ (connect_pads (clearance 0.25))
+ (min_thickness 0.254)
+ (fill yes (thermal_gap 0.25) (thermal_bridge_width 0.5))
+ (polygon
+ (pts
+ (xy 210 160)
+ (xy 90 160)
+ (xy 90 40)
+ (xy 210 40)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 94.269863 78.921855)
+ (xy 94.273822 78.95319)
+ (xy 94.314685 79.11234)
+ (xy 94.326311 79.141706)
+ (xy 94.405468 79.285693)
+ (xy 94.424033 79.311245)
+ (xy 94.536513 79.431023)
+ (xy 94.544695 79.437792)
+ (xy 94.544694 80.693599)
+ (xy 94.542939 80.701751)
+ (xy 94.54099 80.74308)
+ (xy 94.544694 80.774374)
+ (xy 94.544694 80.776232)
+ (xy 94.546404 80.796917)
+ (xy 94.549723 80.816858)
+ (xy 94.555677 80.867161)
+ (xy 94.561341 80.886658)
+ (xy 94.56192 80.890136)
+ (xy 94.564767 80.898452)
+ (xy 94.567218 80.906888)
+ (xy 94.568743 80.910065)
+ (xy 94.575321 80.929276)
+ (xy 94.599384 80.973871)
+ (xy 94.621306 81.019525)
+ (xy 94.63843 81.046049)
+ (xy 94.643396 81.051958)
+ (xy 94.650681 81.059901)
+ (xy 94.66001 81.071928)
+ (xy 94.695607 81.104834)
+ (xy 95.598501 82.007728)
+ (xy 95.5985 94.820225)
+ (xy 95.596745 94.828377)
+ (xy 95.594796 94.869706)
+ (xy 95.5985 94.901)
+ (xy 95.5985 94.902858)
+ (xy 95.60021 94.923543)
+ (xy 95.603529 94.943484)
+ (xy 95.609483 94.993787)
+ (xy 95.615147 95.013284)
+ (xy 95.615726 95.016762)
+ (xy 95.618573 95.025078)
+ (xy 95.621024 95.033514)
+ (xy 95.622549 95.036691)
+ (xy 95.629127 95.055902)
+ (xy 95.65319 95.100497)
+ (xy 95.675112 95.146151)
+ (xy 95.692236 95.172675)
+ (xy 95.697202 95.178584)
+ (xy 95.704487 95.186527)
+ (xy 95.713816 95.198554)
+ (xy 95.749403 95.231451)
+ (xy 95.868152 95.350199)
+ (xy 122.898501 122.380548)
+ (xy 122.8985 124.401908)
+ (xy 122.890319 124.408676)
+ (xy 122.777839 124.528454)
+ (xy 122.759274 124.554006)
+ (xy 122.680117 124.697993)
+ (xy 122.668491 124.727359)
+ (xy 122.627628 124.886509)
+ (xy 122.623669 124.917844)
+ (xy 122.623669 125.082156)
+ (xy 122.627628 125.113491)
+ (xy 122.668491 125.272641)
+ (xy 122.680117 125.302007)
+ (xy 122.759274 125.445994)
+ (xy 122.777839 125.471546)
+ (xy 122.890319 125.591324)
+ (xy 122.914655 125.611456)
+ (xy 123.053388 125.699499)
+ (xy 123.081966 125.712947)
+ (xy 123.238236 125.763722)
+ (xy 123.26926 125.76964)
+ (xy 123.433247 125.779958)
+ (xy 123.464769 125.777975)
+ (xy 123.626171 125.747186)
+ (xy 123.65621 125.737426)
+ (xy 123.804883 125.667465)
+ (xy 123.831549 125.650542)
+ (xy 123.958154 125.545806)
+ (xy 123.979775 125.522782)
+ (xy 124.076355 125.389851)
+ (xy 124.091571 125.362173)
+ (xy 124.152058 125.209399)
+ (xy 124.159912 125.178808)
+ (xy 124.180506 125.015792)
+ (xy 124.180506 124.984208)
+ (xy 124.159912 124.821192)
+ (xy 124.152058 124.790601)
+ (xy 124.091571 124.637827)
+ (xy 124.076355 124.610149)
+ (xy 123.979775 124.477218)
+ (xy 123.958154 124.454194)
+ (xy 123.9015 124.407326)
+ (xy 123.9015 123.926128)
+ (xy 123.927659 123.953984)
+ (xy 123.951995 123.974116)
+ (xy 124.090728 124.062159)
+ (xy 124.119306 124.075607)
+ (xy 124.275576 124.126382)
+ (xy 124.3066 124.1323)
+ (xy 124.470587 124.142618)
+ (xy 124.502109 124.140635)
+ (xy 124.663511 124.109846)
+ (xy 124.69355 124.100086)
+ (xy 124.842223 124.030125)
+ (xy 124.868889 124.013202)
+ (xy 124.995494 123.908466)
+ (xy 125.017115 123.885442)
+ (xy 125.113695 123.752511)
+ (xy 125.128911 123.724833)
+ (xy 125.189398 123.572059)
+ (xy 125.197252 123.541468)
+ (xy 125.212393 123.42162)
+ (xy 125.723669 123.932896)
+ (xy 125.723669 124.082156)
+ (xy 125.727628 124.113491)
+ (xy 125.768491 124.272641)
+ (xy 125.780117 124.302007)
+ (xy 125.859274 124.445994)
+ (xy 125.877839 124.471546)
+ (xy 125.990319 124.591324)
+ (xy 126.014655 124.611456)
+ (xy 126.153388 124.699499)
+ (xy 126.181966 124.712947)
+ (xy 126.338236 124.763722)
+ (xy 126.36926 124.76964)
+ (xy 126.512143 124.77863)
+ (xy 125.482541 125.808232)
+ (xy 125.475527 125.812761)
+ (xy 125.444925 125.840608)
+ (xy 125.42541 125.865363)
+ (xy 125.424102 125.866671)
+ (xy 125.410683 125.882507)
+ (xy 125.398936 125.898945)
+ (xy 125.367571 125.938732)
+ (xy 125.357786 125.956529)
+ (xy 125.355742 125.95939)
+ (xy 125.351886 125.967262)
+ (xy 125.347639 125.974986)
+ (xy 125.346468 125.978322)
+ (xy 125.33754 125.996545)
+ (xy 125.323031 126.045061)
+ (xy 125.306239 126.092877)
+ (xy 125.299592 126.123753)
+ (xy 125.298926 126.131443)
+ (xy 125.298461 126.142209)
+ (xy 125.296554 126.1573)
+ (xy 125.298456 126.205713)
+ (xy 125.298457 131.853743)
+ (xy 125.296701 131.861899)
+ (xy 125.294752 131.903228)
+ (xy 125.298457 131.934531)
+ (xy 125.298457 131.936381)
+ (xy 125.300167 131.957067)
+ (xy 125.30348 131.976971)
+ (xy 125.309438 132.027309)
+ (xy 125.315105 132.046813)
+ (xy 125.315681 132.050273)
+ (xy 125.318523 132.058574)
+ (xy 125.320985 132.067048)
+ (xy 125.322512 132.070228)
+ (xy 125.329084 132.089425)
+ (xy 125.353142 132.134011)
+ (xy 125.375068 132.179673)
+ (xy 125.392192 132.206197)
+ (xy 125.397158 132.212106)
+ (xy 125.404448 132.220055)
+ (xy 125.413774 132.232077)
+ (xy 125.449353 132.264966)
+ (xy 137.813188 144.628801)
+ (xy 137.786694 144.653163)
+ (xy 137.764894 144.679514)
+ (xy 137.685439 144.807661)
+ (xy 137.671528 144.838905)
+ (xy 137.629462 144.983698)
+ (xy 137.624466 145.017532)
+ (xy 137.622887 145.168304)
+ (xy 137.627173 145.202234)
+ (xy 137.666198 145.347876)
+ (xy 137.679451 145.379404)
+ (xy 137.756204 145.509187)
+ (xy 137.777448 145.53599)
+ (xy 137.886275 145.640351)
+ (xy 137.913944 145.660453)
+ (xy 138.046827 145.731704)
+ (xy 138.078882 145.743625)
+ (xy 138.226031 145.776517)
+ (xy 138.260111 145.779379)
+ (xy 138.410684 145.771488)
+ (xy 138.444279 145.76508)
+ (xy 138.587184 145.716987)
+ (xy 138.598501 145.711369)
+ (xy 138.5985 146.943257)
+ (xy 138.57675 146.952266)
+ (xy 138.462115 147.025937)
+ (xy 138.43501 147.049423)
+ (xy 138.345775 147.152406)
+ (xy 138.326386 147.182576)
+ (xy 138.313405 147.211)
+ (xy 138.187612 147.211)
+ (xy 138.124063 147.112115)
+ (xy 138.100577 147.08501)
+ (xy 137.997594 146.995775)
+ (xy 137.967424 146.976386)
+ (xy 137.843471 146.919779)
+ (xy 137.809061 146.909676)
+ (xy 137.708957 146.895283)
+ (xy 137.691025 146.894)
+ (xy 137.120766 146.894)
+ (xy 137.093983 146.89688)
+ (xy 136.918087 146.935144)
+ (xy 136.87675 146.952266)
+ (xy 136.762115 147.025937)
+ (xy 136.73501 147.049423)
+ (xy 136.645775 147.152406)
+ (xy 136.626386 147.182576)
+ (xy 136.569779 147.306529)
+ (xy 136.559676 147.340939)
+ (xy 136.545283 147.441043)
+ (xy 136.544 147.458975)
+ (xy 136.544 147.954234)
+ (xy 136.54688 147.981017)
+ (xy 136.585144 148.156913)
+ (xy 136.602266 148.19825)
+ (xy 136.675937 148.312885)
+ (xy 136.699423 148.33999)
+ (xy 136.802406 148.429225)
+ (xy 136.832576 148.448614)
+ (xy 136.951427 148.502891)
+ (xy 136.918087 148.510144)
+ (xy 136.87675 148.527266)
+ (xy 136.762115 148.600937)
+ (xy 136.73501 148.624423)
+ (xy 136.645775 148.727406)
+ (xy 136.626386 148.757576)
+ (xy 136.569779 148.881529)
+ (xy 136.559676 148.915939)
+ (xy 136.545283 149.016043)
+ (xy 136.544 149.033975)
+ (xy 136.544 149.529234)
+ (xy 136.54688 149.556017)
+ (xy 136.585144 149.731913)
+ (xy 136.602266 149.77325)
+ (xy 136.675937 149.887885)
+ (xy 136.699423 149.91499)
+ (xy 136.802406 150.004225)
+ (xy 136.832576 150.023614)
+ (xy 136.956529 150.080221)
+ (xy 136.990939 150.090324)
+ (xy 137.091043 150.104717)
+ (xy 137.108975 150.106)
+ (xy 137.509273 150.106)
+ (xy 138.698501 151.295229)
+ (xy 138.6985 155.147405)
+ (xy 138.696745 155.155557)
+ (xy 138.694796 155.196886)
+ (xy 138.6985 155.22818)
+ (xy 138.6985 155.230038)
+ (xy 138.70021 155.250723)
+ (xy 138.703529 155.270664)
+ (xy 138.709483 155.320967)
+ (xy 138.715147 155.340464)
+ (xy 138.715726 155.343942)
+ (xy 138.718573 155.352258)
+ (xy 138.721024 155.360694)
+ (xy 138.722549 155.363871)
+ (xy 138.729127 155.383082)
+ (xy 138.75319 155.427677)
+ (xy 138.775112 155.473331)
+ (xy 138.792236 155.499855)
+ (xy 138.797202 155.505764)
+ (xy 138.804487 155.513707)
+ (xy 138.813816 155.525734)
+ (xy 138.849413 155.55864)
+ (xy 142.433106 159.142333)
+ (xy 141.495261 159.018864)
+ (xy 140.055845 158.793457)
+ (xy 138.622325 158.532943)
+ (xy 137.195662 158.237495)
+ (xy 135.776627 157.907275)
+ (xy 134.366025 157.542469)
+ (xy 132.96485 157.143333)
+ (xy 131.573759 156.710057)
+ (xy 130.193757 156.242952)
+ (xy 128.825517 155.742247)
+ (xy 127.469906 155.208258)
+ (xy 126.127808 154.641334)
+ (xy 124.799911 154.041765)
+ (xy 123.487078 153.409941)
+ (xy 122.190058 152.746223)
+ (xy 120.909656 152.051021)
+ (xy 119.646635 151.324751)
+ (xy 118.4017 150.567819)
+ (xy 117.175701 149.780742)
+ (xy 115.969212 148.963887)
+ (xy 114.78305 148.117806)
+ (xy 113.617972 147.243041)
+ (xy 112.474571 146.340037)
+ (xy 111.353586 145.409378)
+ (xy 110.255674 144.451608)
+ (xy 109.181494 143.467303)
+ (xy 108.131686 142.45705)
+ (xy 107.106833 141.421409)
+ (xy 106.107648 140.361099)
+ (xy 105.134626 139.276649)
+ (xy 104.188424 138.168789)
+ (xy 103.269531 137.038092)
+ (xy 102.378585 135.885342)
+ (xy 101.516016 134.711095)
+ (xy 100.682448 133.516204)
+ (xy 99.878266 132.301218)
+ (xy 99.104052 131.067014)
+ (xy 98.360226 129.81427)
+ (xy 97.647188 128.543651)
+ (xy 96.965458 127.256087)
+ (xy 96.54366 126.410091)
+ (xy 111.713247 126.410091)
+ (xy 111.719238 126.534816)
+ (xy 111.72573 126.669987)
+ (xy 111.728006 126.688524)
+ (xy 111.778768 126.943719)
+ (xy 111.783759 126.961714)
+ (xy 111.871683 127.206603)
+ (xy 111.879279 127.223664)
+ (xy 112.002434 127.452867)
+ (xy 112.012468 127.468618)
+ (xy 112.168149 127.677101)
+ (xy 112.180401 127.691195)
+ (xy 112.365188 127.874376)
+ (xy 112.379389 127.886505)
+ (xy 112.589222 128.04036)
+ (xy 112.605059 128.050256)
+ (xy 112.835328 128.171407)
+ (xy 112.852455 128.178854)
+ (xy 113.098102 128.264638)
+ (xy 113.116141 128.269472)
+ (xy 113.37177 128.318004)
+ (xy 113.390325 128.320118)
+ (xy 113.650319 128.330334)
+ (xy 113.668983 128.329682)
+ (xy 113.927631 128.301355)
+ (xy 113.945994 128.297952)
+ (xy 114.197615 128.231706)
+ (xy 114.215273 128.225626)
+ (xy 114.454338 128.122916)
+ (xy 114.470903 128.114292)
+ (xy 114.69216 127.977373)
+ (xy 114.707269 127.966396)
+ (xy 114.905858 127.798278)
+ (xy 114.919178 127.785189)
+ (xy 115.090737 127.589564)
+ (xy 115.101976 127.574649)
+ (xy 115.242734 127.355815)
+ (xy 115.251645 127.339403)
+ (xy 115.358512 127.102167)
+ (xy 115.364899 127.084618)
+ (xy 115.435527 126.834192)
+ (xy 115.439251 126.815891)
+ (xy 115.472087 126.557777)
+ (xy 115.473051 126.545174)
+ (xy 115.475457 126.453298)
+ (xy 115.475154 126.440662)
+ (xy 115.455871 126.181183)
+ (xy 115.45311 126.162713)
+ (xy 115.395686 125.908933)
+ (xy 115.390226 125.891073)
+ (xy 115.295921 125.64857)
+ (xy 115.287881 125.631715)
+ (xy 115.158768 125.405814)
+ (xy 115.148325 125.390331)
+ (xy 114.98724 125.185995)
+ (xy 114.974623 125.172226)
+ (xy 114.785105 124.993945)
+ (xy 114.770592 124.982192)
+ (xy 114.556804 124.833882)
+ (xy 114.540712 124.824404)
+ (xy 114.30735 124.709323)
+ (xy 114.290035 124.702327)
+ (xy 114.042226 124.623003)
+ (xy 114.024067 124.618644)
+ (xy 113.767256 124.576819)
+ (xy 113.748651 124.575191)
+ (xy 113.488478 124.571785)
+ (xy 113.469838 124.572925)
+ (xy 113.21202 124.608013)
+ (xy 113.193753 124.611896)
+ (xy 112.943953 124.684706)
+ (xy 112.92646 124.691246)
+ (xy 112.690166 124.800179)
+ (xy 112.673832 124.809233)
+ (xy 112.456234 124.951896)
+ (xy 112.441418 124.963265)
+ (xy 112.247298 125.136524)
+ (xy 112.234325 125.149958)
+ (xy 112.067946 125.350007)
+ (xy 112.057101 125.365211)
+ (xy 111.922119 125.587655)
+ (xy 111.913641 125.604295)
+ (xy 111.813021 125.844247)
+ (xy 111.807095 125.861957)
+ (xy 111.743047 126.114146)
+ (xy 111.739804 126.132537)
+ (xy 111.713736 126.391422)
+ (xy 111.713247 126.410091)
+ (xy 96.54366 126.410091)
+ (xy 96.31535 125.952173)
+ (xy 95.697309 124.632796)
+ (xy 95.111677 123.298689)
+ (xy 94.558838 121.950728)
+ (xy 94.039079 120.589612)
+ (xy 93.552729 119.216204)
+ (xy 93.100093 117.831362)
+ (xy 92.681411 116.435821)
+ (xy 92.296962 115.030516)
+ (xy 91.946957 113.616211)
+ (xy 91.63162 112.193812)
+ (xy 91.35112 110.764094)
+ (xy 91.105631 109.327925)
+ (xy 90.895312 107.88624)
+ (xy 90.720281 106.439862)
+ (xy 90.580636 104.989599)
+ (xy 90.476464 103.536324)
+ (xy 90.407835 102.081028)
+ (xy 90.374779 100.624406)
+ (xy 90.377322 99.167455)
+ (xy 90.41546 97.711002)
+ (xy 90.489175 96.255867)
+ (xy 90.598413 94.803047)
+ (xy 90.743118 93.353286)
+ (xy 90.923203 91.907477)
+ (xy 91.138553 90.466535)
+ (xy 91.389054 89.031231)
+ (xy 91.674533 87.602549)
+ (xy 91.99484 86.181233)
+ (xy 92.349785 84.768137)
+ (xy 92.739128 83.364211)
+ (xy 93.162679 81.970141)
+ (xy 93.620163 80.586838)
+ (xy 94.111285 79.215186)
+ (xy 94.269863 78.804223)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 204.557664 75.937204)
+ (xy 205.129277 77.277329)
+ (xy 205.667995 78.631068)
+ (xy 206.173459 79.997516)
+ (xy 206.645408 81.375966)
+ (xy 207.083514 82.765461)
+ (xy 207.487552 84.165282)
+ (xy 207.857267 85.574552)
+ (xy 208.192447 86.992467)
+ (xy 208.492875 88.418098)
+ (xy 208.758386 89.850667)
+ (xy 208.988815 91.289282)
+ (xy 209.184029 92.733119)
+ (xy 209.343906 94.181257)
+ (xy 209.468358 95.632938)
+ (xy 209.557303 97.087177)
+ (xy 209.61069 98.543114)
+ (xy 209.628498 100.000675)
+ (xy 209.628137 100.207529)
+ (xy 209.605243 101.664926)
+ (xy 209.546773 103.12071)
+ (xy 209.452752 104.57463)
+ (xy 209.323235 106.025829)
+ (xy 209.158301 107.473439)
+ (xy 208.958053 108.916553)
+ (xy 208.722597 110.354394)
+ (xy 208.452095 111.785988)
+ (xy 208.146684 113.210601)
+ (xy 207.806556 114.627335)
+ (xy 207.431929 116.035288)
+ (xy 207.023011 117.433681)
+ (xy 206.580053 118.82165)
+ (xy 206.1033 120.198432)
+ (xy 205.59305 121.563156)
+ (xy 205.049638 122.914935)
+ (xy 204.473337 124.253093)
+ (xy 203.864513 125.576771)
+ (xy 203.223547 126.885144)
+ (xy 202.550815 128.17745)
+ (xy 201.846666 129.453015)
+ (xy 201.111575 130.710971)
+ (xy 200.346018 131.950513)
+ (xy 199.550338 133.171084)
+ (xy 198.725114 134.371793)
+ (xy 197.870797 135.551986)
+ (xy 196.987896 136.71096)
+ (xy 196.076939 137.848018)
+ (xy 195.138459 138.9625)
+ (xy 194.173066 140.053678)
+ (xy 193.181268 141.12098)
+ (xy 192.16371 142.16371)
+ (xy 191.12098 143.181268)
+ (xy 190.053678 144.173066)
+ (xy 188.962502 145.138457)
+ (xy 187.848018 146.076939)
+ (xy 186.71096 146.987896)
+ (xy 185.551986 147.870797)
+ (xy 184.371793 148.725114)
+ (xy 183.171084 149.550338)
+ (xy 181.950513 150.346018)
+ (xy 180.710971 151.111575)
+ (xy 179.453015 151.846666)
+ (xy 178.17745 152.550815)
+ (xy 176.885144 153.223547)
+ (xy 175.576771 153.864513)
+ (xy 174.253093 154.473337)
+ (xy 172.914935 155.049638)
+ (xy 171.563156 155.59305)
+ (xy 170.198432 156.1033)
+ (xy 168.82165 156.580053)
+ (xy 167.433681 157.023011)
+ (xy 166.035288 157.431929)
+ (xy 164.627335 157.806556)
+ (xy 163.210601 158.146684)
+ (xy 161.785988 158.452095)
+ (xy 160.354394 158.722597)
+ (xy 158.916553 158.958053)
+ (xy 158.434249 159.024978)
+ (xy 160.817425 156.641803)
+ (xy 160.824428 156.637281)
+ (xy 160.85503 156.609436)
+ (xy 160.87454 156.584688)
+ (xy 160.875854 156.583374)
+ (xy 160.889275 156.567536)
+ (xy 160.901034 156.551081)
+ (xy 160.932384 156.511314)
+ (xy 160.942171 156.493514)
+ (xy 160.944219 156.490647)
+ (xy 160.948077 156.482771)
+ (xy 160.952315 156.475063)
+ (xy 160.953486 156.471729)
+ (xy 160.962416 156.453499)
+ (xy 160.976925 156.404983)
+ (xy 160.993717 156.357167)
+ (xy 161.000364 156.326291)
+ (xy 161.00103 156.318601)
+ (xy 161.001495 156.307835)
+ (xy 161.003402 156.292744)
+ (xy 161.0015 156.244331)
+ (xy 161.0015 155.152595)
+ (xy 161.003255 155.144443)
+ (xy 161.005204 155.103114)
+ (xy 161.0015 155.071819)
+ (xy 161.0015 155.069961)
+ (xy 160.99979 155.049271)
+ (xy 160.996471 155.029331)
+ (xy 160.990518 154.979034)
+ (xy 160.984853 154.959534)
+ (xy 160.984274 154.956057)
+ (xy 160.981427 154.947743)
+ (xy 160.978976 154.939305)
+ (xy 160.977451 154.936128)
+ (xy 160.970873 154.916917)
+ (xy 160.94681 154.872322)
+ (xy 160.924888 154.826668)
+ (xy 160.907764 154.800144)
+ (xy 160.902798 154.794235)
+ (xy 160.895509 154.786288)
+ (xy 160.886183 154.774265)
+ (xy 160.850617 154.741388)
+ (xy 159.574283 153.465055)
+ (xy 159.580506 153.415792)
+ (xy 159.580506 153.384208)
+ (xy 159.559912 153.221192)
+ (xy 159.552058 153.190601)
+ (xy 159.491571 153.037827)
+ (xy 159.476355 153.010149)
+ (xy 159.379775 152.877218)
+ (xy 159.358154 152.854194)
+ (xy 159.231549 152.749458)
+ (xy 159.204883 152.732535)
+ (xy 159.05621 152.662574)
+ (xy 159.026171 152.652814)
+ (xy 158.864769 152.622025)
+ (xy 158.833247 152.620042)
+ (xy 158.66926 152.63036)
+ (xy 158.638236 152.636278)
+ (xy 158.481966 152.687053)
+ (xy 158.453388 152.700501)
+ (xy 158.314655 152.788544)
+ (xy 158.290319 152.808676)
+ (xy 158.177839 152.928454)
+ (xy 158.159274 152.954006)
+ (xy 158.080117 153.097993)
+ (xy 158.068491 153.127359)
+ (xy 158.027628 153.286509)
+ (xy 158.023669 153.317844)
+ (xy 158.023669 153.482156)
+ (xy 158.027628 153.513491)
+ (xy 158.068491 153.672641)
+ (xy 158.080117 153.702007)
+ (xy 158.159274 153.845994)
+ (xy 158.177839 153.871546)
+ (xy 158.290319 153.991324)
+ (xy 158.314655 154.011456)
+ (xy 158.453388 154.099499)
+ (xy 158.481966 154.112947)
+ (xy 158.638236 154.163722)
+ (xy 158.66926 154.16964)
+ (xy 158.833247 154.179958)
+ (xy 158.864769 154.177975)
+ (xy 158.868111 154.177338)
+ (xy 159.029794 154.339021)
+ (xy 158.881966 154.387053)
+ (xy 158.853388 154.400501)
+ (xy 158.714655 154.488544)
+ (xy 158.690319 154.508676)
+ (xy 158.577839 154.628454)
+ (xy 158.559274 154.654006)
+ (xy 158.480117 154.797993)
+ (xy 158.468491 154.827359)
+ (xy 158.427628 154.986509)
+ (xy 158.423669 155.017844)
+ (xy 158.423669 155.182156)
+ (xy 158.427628 155.213491)
+ (xy 158.468491 155.372641)
+ (xy 158.480117 155.402007)
+ (xy 158.559274 155.545994)
+ (xy 158.577839 155.571546)
+ (xy 158.690319 155.691324)
+ (xy 158.714655 155.711456)
+ (xy 158.853388 155.799499)
+ (xy 158.881966 155.812947)
+ (xy 159.038236 155.863722)
+ (xy 159.06926 155.86964)
+ (xy 159.165102 155.87567)
+ (xy 158.752273 156.2885)
+ (xy 157.658183 156.2885)
+ (xy 157.674164 156.280609)
+ (xy 157.707911 156.254687)
+ (xy 157.732827 156.238489)
+ (xy 157.770218 156.218162)
+ (xy 157.792045 156.199988)
+ (xy 157.793852 156.198814)
+ (xy 157.813147 156.183383)
+ (xy 157.8199 156.176798)
+ (xy 157.827134 156.170775)
+ (xy 157.844474 156.153188)
+ (xy 157.845828 156.151514)
+ (xy 157.866172 156.131676)
+ (xy 157.890265 156.096581)
+ (xy 157.908944 156.07349)
+ (xy 157.938224 156.042605)
+ (xy 157.953372 156.01857)
+ (xy 157.954734 156.016886)
+ (xy 157.968309 155.996255)
+ (xy 157.972693 155.987911)
+ (xy 157.977712 155.979948)
+ (xy 157.988772 155.957856)
+ (xy 157.989543 155.955845)
+ (xy 158.002758 155.930696)
+ (xy 158.014824 155.889883)
+ (xy 158.02546 155.862134)
+ (xy 158.043759 155.82372)
+ (xy 158.050736 155.796187)
+ (xy 158.051512 155.794164)
+ (xy 158.058049 155.770342)
+ (xy 158.059639 155.76106)
+ (xy 158.061953 155.751929)
+ (xy 158.065645 155.727503)
+ (xy 158.065757 155.72534)
+ (xy 158.070553 155.697343)
+ (xy 158.069418 155.654815)
+ (xy 158.070958 155.625132)
+ (xy 158.076491 155.582936)
+ (xy 158.074619 155.554592)
+ (xy 158.074731 155.552428)
+ (xy 158.073587 155.527756)
+ (xy 158.072229 155.518427)
+ (xy 158.071609 155.509035)
+ (xy 158.067572 155.484664)
+ (xy 158.067013 155.482584)
+ (xy 158.062922 155.454467)
+ (xy 158.048693 155.41435)
+ (xy 158.040991 155.385666)
+ (xy 158.033214 155.343824)
+ (xy 158.022672 155.31744)
+ (xy 158.022113 155.315358)
+ (xy 158.013399 155.29224)
+ (xy 158.00923 155.283796)
+ (xy 158.005735 155.27505)
+ (xy 157.994364 155.253119)
+ (xy 157.99319 155.251314)
+ (xy 157.980609 155.225834)
+ (xy 157.954686 155.192087)
+ (xy 157.93849 155.167175)
+ (xy 157.918165 155.129786)
+ (xy 157.899984 155.107948)
+ (xy 157.898808 155.106139)
+ (xy 157.883379 155.086849)
+ (xy 157.876802 155.080105)
+ (xy 157.8765 155.079742)
+ (xy 157.8765 154.917407)
+ (xy 157.878053 154.915725)
+ (xy 157.888234 154.899093)
+ (xy 157.908945 154.873489)
+ (xy 157.938224 154.842605)
+ (xy 157.953372 154.81857)
+ (xy 157.954734 154.816886)
+ (xy 157.968309 154.796255)
+ (xy 157.972693 154.787911)
+ (xy 157.977712 154.779948)
+ (xy 157.988772 154.757856)
+ (xy 157.989543 154.755845)
+ (xy 158.002758 154.730696)
+ (xy 158.014824 154.689883)
+ (xy 158.02546 154.662134)
+ (xy 158.043759 154.62372)
+ (xy 158.050736 154.596187)
+ (xy 158.051512 154.594164)
+ (xy 158.058049 154.570342)
+ (xy 158.059639 154.56106)
+ (xy 158.061953 154.551929)
+ (xy 158.065645 154.527503)
+ (xy 158.065757 154.52534)
+ (xy 158.070553 154.497343)
+ (xy 158.069418 154.454815)
+ (xy 158.070958 154.425132)
+ (xy 158.076491 154.382936)
+ (xy 158.074619 154.354592)
+ (xy 158.074731 154.352428)
+ (xy 158.073587 154.327756)
+ (xy 158.072229 154.318427)
+ (xy 158.071609 154.309035)
+ (xy 158.067572 154.284664)
+ (xy 158.067013 154.282584)
+ (xy 158.062922 154.254467)
+ (xy 158.048693 154.21435)
+ (xy 158.040991 154.185666)
+ (xy 158.033214 154.143824)
+ (xy 158.022672 154.11744)
+ (xy 158.022113 154.115358)
+ (xy 158.013399 154.09224)
+ (xy 158.00923 154.083796)
+ (xy 158.005735 154.07505)
+ (xy 157.994364 154.053119)
+ (xy 157.99319 154.051314)
+ (xy 157.980609 154.025834)
+ (xy 157.954686 153.992087)
+ (xy 157.93849 153.967175)
+ (xy 157.918165 153.929786)
+ (xy 157.899984 153.907948)
+ (xy 157.898808 153.906139)
+ (xy 157.883379 153.886849)
+ (xy 157.876802 153.880105)
+ (xy 157.870779 153.87287)
+ (xy 157.853189 153.855526)
+ (xy 157.851509 153.854167)
+ (xy 157.831674 153.833827)
+ (xy 157.796592 153.809743)
+ (xy 157.77349 153.791055)
+ (xy 157.742603 153.761774)
+ (xy 157.718572 153.746629)
+ (xy 157.716894 153.745272)
+ (xy 157.696252 153.731689)
+ (xy 157.68791 153.727306)
+ (xy 157.67995 153.722289)
+ (xy 157.657858 153.711229)
+ (xy 157.655849 153.710459)
+ (xy 157.630694 153.697241)
+ (xy 157.589888 153.685177)
+ (xy 157.562137 153.674541)
+ (xy 157.523721 153.656241)
+ (xy 157.49618 153.649261)
+ (xy 157.49416 153.648487)
+ (xy 157.470345 153.641951)
+ (xy 157.461051 153.640359)
+ (xy 157.451924 153.638046)
+ (xy 157.427502 153.634355)
+ (xy 157.425341 153.634243)
+ (xy 157.397344 153.629447)
+ (xy 157.354815 153.630582)
+ (xy 157.325132 153.629042)
+ (xy 157.282936 153.623509)
+ (xy 157.254592 153.625381)
+ (xy 157.252428 153.625269)
+ (xy 157.227756 153.626413)
+ (xy 157.218427 153.627771)
+ (xy 157.209035 153.628391)
+ (xy 157.184664 153.632428)
+ (xy 157.182584 153.632987)
+ (xy 157.154467 153.637078)
+ (xy 157.11435 153.651307)
+ (xy 157.085666 153.659009)
+ (xy 157.043824 153.666786)
+ (xy 157.01744 153.677328)
+ (xy 157.015358 153.677887)
+ (xy 156.99224 153.686601)
+ (xy 156.983796 153.69077)
+ (xy 156.97505 153.694265)
+ (xy 156.953119 153.705636)
+ (xy 156.951314 153.70681)
+ (xy 156.925834 153.719391)
+ (xy 156.892087 153.745314)
+ (xy 156.867175 153.76151)
+ (xy 156.829786 153.781835)
+ (xy 156.807948 153.800016)
+ (xy 156.806139 153.801192)
+ (xy 156.786849 153.816621)
+ (xy 156.780105 153.823198)
+ (xy 156.779742 153.8235)
+ (xy 156.261 153.8235)
+ (xy 156.261 151.69)
+ (xy 156.258579 151.665418)
+ (xy 156.239168 151.567834)
+ (xy 156.220354 151.522414)
+ (xy 156.165077 151.439686)
+ (xy 156.130314 151.404923)
+ (xy 156.047586 151.349646)
+ (xy 156.002166 151.330832)
+ (xy 155.904582 151.311421)
+ (xy 155.88 151.309)
+ (xy 154.918228 151.309)
+ (xy 154.074283 150.465055)
+ (xy 154.080506 150.415792)
+ (xy 154.080506 150.384208)
+ (xy 154.059912 150.221192)
+ (xy 154.052058 150.190601)
+ (xy 153.991571 150.037827)
+ (xy 153.976355 150.010149)
+ (xy 153.879775 149.877218)
+ (xy 153.858154 149.854194)
+ (xy 153.731549 149.749458)
+ (xy 153.704883 149.732535)
+ (xy 153.55621 149.662574)
+ (xy 153.526171 149.652814)
+ (xy 153.364769 149.622025)
+ (xy 153.333247 149.620042)
+ (xy 153.16926 149.63036)
+ (xy 153.138236 149.636278)
+ (xy 152.981966 149.687053)
+ (xy 152.953388 149.700501)
+ (xy 152.814655 149.788544)
+ (xy 152.790319 149.808676)
+ (xy 152.7522 149.849268)
+ (xy 152.631549 149.749458)
+ (xy 152.604883 149.732535)
+ (xy 152.45621 149.662574)
+ (xy 152.426171 149.652814)
+ (xy 152.283885 149.625672)
+ (xy 153.876421 148.033136)
+ (xy 154.313985 148.4707)
+ (xy 154.353163 148.513306)
+ (xy 154.379515 148.535107)
+ (xy 154.416482 148.558027)
+ (xy 154.451122 148.584321)
+ (xy 154.480918 148.601111)
+ (xy 154.494889 148.606643)
+ (xy 154.507662 148.614562)
+ (xy 154.538906 148.628472)
+ (xy 154.580675 148.640607)
+ (xy 154.62111 148.656616)
+ (xy 154.654321 148.664774)
+ (xy 154.669262 148.666345)
+ (xy 154.6837 148.670539)
+ (xy 154.709624 148.675203)
+ (xy 154.722684 148.676162)
+ (xy 154.731911 148.6765)
+ (xy 154.765887 148.6765)
+ (xy 154.804277 148.680535)
+ (xy 154.838461 148.679461)
+ (xy 154.855968 148.6765)
+ (xy 170.377094 148.6765)
+ (xy 170.425652 148.680066)
+ (xy 170.459786 148.677918)
+ (xy 170.466818 148.6765)
+ (xy 171.355984 148.6765)
+ (xy 171.402406 148.716725)
+ (xy 171.432576 148.736114)
+ (xy 171.556529 148.792721)
+ (xy 171.590939 148.802824)
+ (xy 171.691043 148.817217)
+ (xy 171.708975 148.8185)
+ (xy 172.279234 148.8185)
+ (xy 172.306017 148.81562)
+ (xy 172.481913 148.777356)
+ (xy 172.52325 148.760234)
+ (xy 172.637885 148.686563)
+ (xy 172.66499 148.663077)
+ (xy 172.754225 148.560094)
+ (xy 172.773614 148.529924)
+ (xy 172.830221 148.405971)
+ (xy 172.840324 148.371561)
+ (xy 172.854717 148.271457)
+ (xy 172.856 148.253525)
+ (xy 172.856 147.758266)
+ (xy 172.85312 147.731483)
+ (xy 172.814856 147.555587)
+ (xy 172.797734 147.51425)
+ (xy 172.724063 147.399615)
+ (xy 172.700577 147.37251)
+ (xy 172.597594 147.283275)
+ (xy 172.567424 147.263886)
+ (xy 172.448573 147.209609)
+ (xy 172.481913 147.202356)
+ (xy 172.52325 147.185234)
+ (xy 172.637885 147.111563)
+ (xy 172.66499 147.088077)
+ (xy 172.754225 146.985094)
+ (xy 172.773614 146.954924)
+ (xy 172.830221 146.830971)
+ (xy 172.840324 146.796561)
+ (xy 172.854717 146.696457)
+ (xy 172.856 146.678525)
+ (xy 172.856 146.675)
+ (xy 172.835998 146.606879)
+ (xy 172.782342 146.560386)
+ (xy 172.73 146.549)
+ (xy 172.249999 146.548999)
+ (xy 172.249996 146.549)
+ (xy 171.271798 146.548999)
+ (xy 171.203677 146.569001)
+ (xy 171.157184 146.622657)
+ (xy 171.148678 146.701782)
+ (xy 171.185144 146.869413)
+ (xy 171.202266 146.91075)
+ (xy 171.275937 147.025385)
+ (xy 171.299423 147.05249)
+ (xy 171.402406 147.141725)
+ (xy 171.432576 147.161114)
+ (xy 171.551427 147.215391)
+ (xy 171.518087 147.222644)
+ (xy 171.47675 147.239766)
+ (xy 171.362115 147.313437)
+ (xy 171.350501 147.3235)
+ (xy 171.0765 147.3235)
+ (xy 171.0765 146.171475)
+ (xy 171.144 146.171475)
+ (xy 171.144 146.175)
+ (xy 171.164002 146.243121)
+ (xy 171.217658 146.289614)
+ (xy 171.27 146.301)
+ (xy 171.750001 146.301001)
+ (xy 171.818122 146.280999)
+ (xy 171.864615 146.227344)
+ (xy 171.876001 146.175001)
+ (xy 171.876001 145.7325)
+ (xy 172.124 145.7325)
+ (xy 172.124 146.175)
+ (xy 172.144002 146.243121)
+ (xy 172.197658 146.289614)
+ (xy 172.25 146.301)
+ (xy 172.728202 146.301001)
+ (xy 172.796323 146.280999)
+ (xy 172.842816 146.227344)
+ (xy 172.851322 146.148218)
+ (xy 172.814856 145.980587)
+ (xy 172.797734 145.93925)
+ (xy 172.724063 145.824615)
+ (xy 172.700577 145.79751)
+ (xy 172.597594 145.708275)
+ (xy 172.567424 145.688886)
+ (xy 172.445828 145.633355)
+ (xy 173.536737 145.633355)
+ (xy 173.543451 145.761456)
+ (xy 173.551647 145.800016)
+ (xy 173.586165 145.889938)
+ (xy 173.594677 145.907783)
+ (xy 173.847958 146.346479)
+ (xy 173.89934 146.395472)
+ (xy 173.969054 146.408908)
+ (xy 174.020077 146.392598)
+ (xy 174.284216 146.240098)
+ (xy 174.333209 146.188716)
+ (xy 174.346645 146.119002)
+ (xy 174.330335 146.067979)
+ (xy 173.895754 145.315263)
+ (xy 173.844372 145.26627)
+ (xy 173.774658 145.252834)
+ (xy 173.707339 145.280344)
+ (xy 173.686333 145.297355)
+ (xy 173.659957 145.326649)
+ (xy 173.590092 145.43423)
+ (xy 173.574057 145.470244)
+ (xy 173.540857 145.594149)
+ (xy 173.536737 145.633355)
+ (xy 172.445828 145.633355)
+ (xy 172.443471 145.632279)
+ (xy 172.409061 145.622176)
+ (xy 172.308957 145.607783)
+ (xy 172.291025 145.6065)
+ (xy 172.25 145.6065)
+ (xy 172.181879 145.626502)
+ (xy 172.135386 145.680158)
+ (xy 172.124 145.7325)
+ (xy 171.876001 145.7325)
+ (xy 171.855999 145.664379)
+ (xy 171.802343 145.617886)
+ (xy 171.750001 145.6065)
+ (xy 171.720766 145.6065)
+ (xy 171.693983 145.60938)
+ (xy 171.518087 145.647644)
+ (xy 171.47675 145.664766)
+ (xy 171.362115 145.738437)
+ (xy 171.33501 145.761923)
+ (xy 171.245775 145.864906)
+ (xy 171.226386 145.895076)
+ (xy 171.169779 146.019029)
+ (xy 171.159676 146.053439)
+ (xy 171.145283 146.153543)
+ (xy 171.144 146.171475)
+ (xy 171.0765 146.171475)
+ (xy 171.0765 143.749999)
+ (xy 171.894 143.749999)
+ (xy 171.894 143.779234)
+ (xy 171.89688 143.806017)
+ (xy 171.935144 143.981913)
+ (xy 171.952266 144.02325)
+ (xy 172.025937 144.137885)
+ (xy 172.049423 144.16499)
+ (xy 172.152406 144.254225)
+ (xy 172.182576 144.273614)
+ (xy 172.306529 144.330221)
+ (xy 172.340939 144.340324)
+ (xy 172.441043 144.354717)
+ (xy 172.458975 144.356)
+ (xy 172.4625 144.356)
+ (xy 172.530621 144.335998)
+ (xy 172.577114 144.282342)
+ (xy 172.5885 144.23)
+ (xy 172.588501 143.749999)
+ (xy 172.568499 143.681878)
+ (xy 172.514844 143.635385)
+ (xy 172.462501 143.623999)
+ (xy 172.02 143.623999)
+ (xy 171.951879 143.644001)
+ (xy 171.905386 143.697657)
+ (xy 171.894 143.749999)
+ (xy 171.0765 143.749999)
+ (xy 171.0765 143.15651)
+ (xy 171.900248 143.15651)
+ (xy 171.895283 143.191043)
+ (xy 171.894 143.208975)
+ (xy 171.894 143.25)
+ (xy 171.914002 143.318121)
+ (xy 171.967658 143.364614)
+ (xy 172.02 143.376)
+ (xy 172.462501 143.376)
+ (xy 172.8365 143.376001)
+ (xy 172.836499 144.228202)
+ (xy 172.856501 144.296323)
+ (xy 172.910157 144.342816)
+ (xy 172.989282 144.351322)
+ (xy 173.156913 144.314856)
+ (xy 173.19825 144.297734)
+ (xy 173.312885 144.224063)
+ (xy 173.33999 144.200577)
+ (xy 173.429225 144.097594)
+ (xy 173.448614 144.067424)
+ (xy 173.502891 143.948573)
+ (xy 173.510144 143.981913)
+ (xy 173.527266 144.02325)
+ (xy 173.600937 144.137885)
+ (xy 173.624423 144.16499)
+ (xy 173.727406 144.254225)
+ (xy 173.757576 144.273614)
+ (xy 173.881529 144.330221)
+ (xy 173.915939 144.340324)
+ (xy 174.016043 144.354717)
+ (xy 174.033975 144.356)
+ (xy 174.186785 144.356)
+ (xy 174.6235 144.792716)
+ (xy 174.6235 145.077048)
+ (xy 174.565513 145.039391)
+ (xy 174.529499 145.023356)
+ (xy 174.405594 144.990156)
+ (xy 174.366389 144.986036)
+ (xy 174.238288 144.992749)
+ (xy 174.199725 145.000946)
+ (xy 174.174491 145.010633)
+ (xy 174.118064 145.05372)
+ (xy 174.093889 145.120474)
+ (xy 174.110529 145.191263)
+ (xy 174.719635 146.246266)
+ (xy 174.732109 146.267872)
+ (xy 174.418907 146.4487)
+ (xy 174.418907 146.448699)
+ (xy 174.408218 146.45487)
+ (xy 174.408216 146.454872)
+ (xy 174.144078 146.607373)
+ (xy 174.095085 146.658756)
+ (xy 174.081649 146.728469)
+ (xy 174.097959 146.779492)
+ (xy 174.351243 147.218192)
+ (xy 174.362441 147.234486)
+ (xy 174.423053 147.309336)
+ (xy 174.452349 147.335714)
+ (xy 174.559931 147.405579)
+ (xy 174.595945 147.421614)
+ (xy 174.71985 147.454814)
+ (xy 174.759055 147.458934)
+ (xy 174.887156 147.452221)
+ (xy 174.923669 147.44446)
+ (xy 174.923669 147.582156)
+ (xy 174.927628 147.613491)
+ (xy 174.968491 147.772641)
+ (xy 174.980117 147.802006)
+ (xy 175.0235 147.880921)
+ (xy 175.023501 149.23396)
+ (xy 175.021077 149.291794)
+ (xy 175.024295 149.32584)
+ (xy 175.034227 149.368187)
+ (xy 175.04013 149.411279)
+ (xy 175.049326 149.444217)
+ (xy 175.055293 149.458006)
+ (xy 175.058725 149.472639)
+ (xy 175.070981 149.504567)
+ (xy 175.091939 149.54269)
+ (xy 175.109209 149.582598)
+ (xy 175.126926 149.611852)
+ (xy 175.136379 149.623525)
+ (xy 175.14362 149.636697)
+ (xy 175.158655 149.658329)
+ (xy 175.167212 149.668242)
+ (xy 175.173497 149.675004)
+ (xy 175.197519 149.699026)
+ (xy 175.221815 149.729029)
+ (xy 175.246746 149.752441)
+ (xy 175.26122 149.762727)
+ (xy 176.584092 151.0856)
+ (xy 176.926243 151.678222)
+ (xy 176.937441 151.694516)
+ (xy 176.998053 151.769366)
+ (xy 177.027349 151.795744)
+ (xy 177.134931 151.865609)
+ (xy 177.170945 151.881644)
+ (xy 177.29485 151.914844)
+ (xy 177.334055 151.918964)
+ (xy 177.462156 151.912251)
+ (xy 177.500717 151.904055)
+ (xy 177.590631 151.86954)
+ (xy 177.608476 151.861028)
+ (xy 177.922966 151.679457)
+ (xy 177.939261 151.668258)
+ (xy 178.01411 151.607646)
+ (xy 178.040487 151.578351)
+ (xy 178.110352 151.47077)
+ (xy 178.126387 151.434756)
+ (xy 178.159587 151.310851)
+ (xy 178.163707 151.271645)
+ (xy 178.158976 151.181379)
+ (xy 178.234783 151.230609)
+ (xy 178.270797 151.246644)
+ (xy 178.394702 151.279844)
+ (xy 178.433907 151.283964)
+ (xy 178.562008 151.277251)
+ (xy 178.600569 151.269055)
+ (xy 178.690483 151.23454)
+ (xy 178.708328 151.226028)
+ (xy 179.022818 151.044457)
+ (xy 179.039113 151.033258)
+ (xy 179.113962 150.972646)
+ (xy 179.140339 150.943351)
+ (xy 179.210204 150.83577)
+ (xy 179.226239 150.799756)
+ (xy 179.259439 150.675851)
+ (xy 179.263559 150.636645)
+ (xy 179.258828 150.546379)
+ (xy 179.334635 150.595609)
+ (xy 179.370649 150.611644)
+ (xy 179.494554 150.644844)
+ (xy 179.533759 150.648964)
+ (xy 179.66186 150.642251)
+ (xy 179.700421 150.634055)
+ (xy 179.790335 150.59954)
+ (xy 179.80818 150.591028)
+ (xy 180.12267 150.409457)
+ (xy 180.138965 150.398258)
+ (xy 180.213814 150.337646)
+ (xy 180.240191 150.308351)
+ (xy 180.310056 150.20077)
+ (xy 180.326091 150.164756)
+ (xy 180.359291 150.040851)
+ (xy 180.363411 150.001645)
+ (xy 180.35868 149.911379)
+ (xy 180.434487 149.960609)
+ (xy 180.470501 149.976644)
+ (xy 180.594406 150.009844)
+ (xy 180.633611 150.013964)
+ (xy 180.761712 150.007251)
+ (xy 180.800273 149.999055)
+ (xy 180.890187 149.96454)
+ (xy 180.908032 149.956028)
+ (xy 181.222522 149.774457)
+ (xy 181.238817 149.763258)
+ (xy 181.313666 149.702646)
+ (xy 181.340043 149.673351)
+ (xy 181.409908 149.56577)
+ (xy 181.425943 149.529756)
+ (xy 181.459143 149.405851)
+ (xy 181.463263 149.366645)
+ (xy 181.456549 149.238544)
+ (xy 181.448353 149.199984)
+ (xy 181.413835 149.110062)
+ (xy 181.405323 149.092217)
+ (xy 181.113778 148.587247)
+ (xy 181.113778 144.426864)
+ (xy 181.128849 144.399451)
+ (xy 181.189336 144.246677)
+ (xy 181.19719 144.216086)
+ (xy 181.217784 144.05307)
+ (xy 181.217784 144.021486)
+ (xy 181.19719 143.85847)
+ (xy 181.189336 143.827879)
+ (xy 181.128849 143.675105)
+ (xy 181.113778 143.647692)
+ (xy 181.113778 143.235579)
+ (xy 181.116201 143.177777)
+ (xy 181.112983 143.143728)
+ (xy 181.103052 143.101388)
+ (xy 181.097149 143.058293)
+ (xy 181.087953 143.025354)
+ (xy 181.081982 143.011554)
+ (xy 181.078551 142.996929)
+ (xy 181.066296 142.965002)
+ (xy 181.045344 142.92689)
+ (xy 181.02807 142.886973)
+ (xy 181.010353 142.85772)
+ (xy 181.000895 142.84604)
+ (xy 180.993656 142.832873)
+ (xy 180.978627 142.811247)
+ (xy 180.970071 142.801334)
+ (xy 180.963781 142.794566)
+ (xy 180.93976 142.770545)
+ (xy 180.915464 142.740542)
+ (xy 180.890533 142.71713)
+ (xy 180.876059 142.706844)
+ (xy 178.356 140.186786)
+ (xy 178.356 140.045766)
+ (xy 178.35312 140.018983)
+ (xy 178.314856 139.843087)
+ (xy 178.297734 139.80175)
+ (xy 178.224063 139.687115)
+ (xy 178.200577 139.66001)
+ (xy 178.097594 139.570775)
+ (xy 178.067424 139.551386)
+ (xy 177.948573 139.497109)
+ (xy 177.981913 139.489856)
+ (xy 178.02325 139.472734)
+ (xy 178.137885 139.399063)
+ (xy 178.16499 139.375577)
+ (xy 178.244363 139.283975)
+ (xy 179.644 139.283975)
+ (xy 179.644 139.779234)
+ (xy 179.64688 139.806017)
+ (xy 179.685144 139.981913)
+ (xy 179.702266 140.02325)
+ (xy 179.775937 140.137885)
+ (xy 179.799423 140.16499)
+ (xy 179.902406 140.254225)
+ (xy 179.932576 140.273614)
+ (xy 180.056529 140.330221)
+ (xy 180.090939 140.340324)
+ (xy 180.191043 140.354717)
+ (xy 180.208975 140.356)
+ (xy 180.361786 140.356)
+ (xy 182.242671 142.236886)
+ (xy 182.164769 142.222025)
+ (xy 182.133247 142.220042)
+ (xy 181.96926 142.23036)
+ (xy 181.938236 142.236278)
+ (xy 181.781966 142.287053)
+ (xy 181.753388 142.300501)
+ (xy 181.614655 142.388544)
+ (xy 181.590319 142.408676)
+ (xy 181.477839 142.528454)
+ (xy 181.459274 142.554006)
+ (xy 181.380117 142.697993)
+ (xy 181.368491 142.727359)
+ (xy 181.344166 142.822098)
+ (xy 181.342474 142.824327)
+ (xy 181.325686 142.854123)
+ (xy 181.320154 142.868096)
+ (xy 181.312237 142.880864)
+ (xy 181.298326 142.912108)
+ (xy 181.286193 142.953869)
+ (xy 181.27018 142.994314)
+ (xy 181.262022 143.027529)
+ (xy 181.260452 143.042473)
+ (xy 181.25626 143.0569)
+ (xy 181.251595 143.082827)
+ (xy 181.250636 143.095887)
+ (xy 181.250298 143.105114)
+ (xy 181.250298 143.139079)
+ (xy 181.246262 143.177481)
+ (xy 181.247336 143.211664)
+ (xy 181.250298 143.229176)
+ (xy 181.250297 144.369977)
+ (xy 181.124766 145.081897)
+ (xy 181.122871 145.101578)
+ (xy 181.12119 145.197879)
+ (xy 181.126677 145.236917)
+ (xy 181.164181 145.359588)
+ (xy 181.181462 145.395019)
+ (xy 181.255038 145.500097)
+ (xy 181.282422 145.528455)
+ (xy 181.384868 145.605654)
+ (xy 181.419675 145.624161)
+ (xy 181.510742 145.655518)
+ (xy 181.529884 145.660469)
+ (xy 181.826927 145.712846)
+ (xy 181.780117 145.797993)
+ (xy 181.768491 145.827359)
+ (xy 181.727628 145.986509)
+ (xy 181.723669 146.017844)
+ (xy 181.723669 146.182156)
+ (xy 181.727628 146.213491)
+ (xy 181.768491 146.372641)
+ (xy 181.780117 146.402007)
+ (xy 181.857797 146.543308)
+ (xy 181.777839 146.628454)
+ (xy 181.759274 146.654006)
+ (xy 181.680117 146.797993)
+ (xy 181.668491 146.827359)
+ (xy 181.627628 146.986509)
+ (xy 181.623669 147.017844)
+ (xy 181.623669 147.182156)
+ (xy 181.627628 147.213491)
+ (xy 181.668491 147.372641)
+ (xy 181.680117 147.402007)
+ (xy 181.759274 147.545994)
+ (xy 181.777839 147.571546)
+ (xy 181.890319 147.691324)
+ (xy 181.914655 147.711456)
+ (xy 182.053388 147.799499)
+ (xy 182.081966 147.812947)
+ (xy 182.238236 147.863722)
+ (xy 182.26926 147.86964)
+ (xy 182.433247 147.879958)
+ (xy 182.464769 147.877975)
+ (xy 182.626171 147.847186)
+ (xy 182.65621 147.837426)
+ (xy 182.785683 147.7765)
+ (xy 183.102043 147.7765)
+ (xy 183.159854 147.778923)
+ (xy 183.193902 147.775705)
+ (xy 183.236243 147.765774)
+ (xy 183.279338 147.759871)
+ (xy 183.312276 147.750675)
+ (xy 183.326072 147.744705)
+ (xy 183.3407 147.741274)
+ (xy 183.372627 147.729018)
+ (xy 183.410737 147.708067)
+ (xy 183.450657 147.690792)
+ (xy 183.479911 147.673076)
+ (xy 183.491594 147.663616)
+ (xy 183.504757 147.656379)
+ (xy 183.526389 147.641345)
+ (xy 183.536302 147.632788)
+ (xy 183.543064 147.626503)
+ (xy 183.567081 147.602486)
+ (xy 183.597089 147.578186)
+ (xy 183.620501 147.553255)
+ (xy 183.630787 147.538781)
+ (xy 184.253871 146.915698)
+ (xy 184.268491 146.972641)
+ (xy 184.280117 147.002007)
+ (xy 184.359274 147.145994)
+ (xy 184.377839 147.171546)
+ (xy 184.490319 147.291324)
+ (xy 184.514655 147.311456)
+ (xy 184.653388 147.399499)
+ (xy 184.681966 147.412947)
+ (xy 184.838236 147.463722)
+ (xy 184.86926 147.46964)
+ (xy 185.033247 147.479958)
+ (xy 185.064769 147.477975)
+ (xy 185.226171 147.447186)
+ (xy 185.25621 147.437426)
+ (xy 185.404883 147.367465)
+ (xy 185.431549 147.350542)
+ (xy 185.558154 147.245806)
+ (xy 185.579775 147.222782)
+ (xy 185.676355 147.089851)
+ (xy 185.691571 147.062173)
+ (xy 185.752058 146.909399)
+ (xy 185.753696 146.903019)
+ (xy 186.149615 146.5071)
+ (xy 186.192221 146.467922)
+ (xy 186.214022 146.44157)
+ (xy 186.236942 146.404603)
+ (xy 186.263236 146.369963)
+ (xy 186.280026 146.340165)
+ (xy 186.285555 146.3262)
+ (xy 186.293476 146.313425)
+ (xy 186.307387 146.282181)
+ (xy 186.319525 146.240404)
+ (xy 186.335532 146.199973)
+ (xy 186.34369 146.166761)
+ (xy 186.345261 146.151817)
+ (xy 186.349453 146.137387)
+ (xy 186.354118 146.111461)
+ (xy 186.355077 146.098401)
+ (xy 186.355415 146.089174)
+ (xy 186.355415 146.055207)
+ (xy 186.359451 146.016808)
+ (xy 186.358376 145.982624)
+ (xy 186.355415 145.965118)
+ (xy 186.355415 145.201782)
+ (xy 186.480946 144.489863)
+ (xy 186.482841 144.470182)
+ (xy 186.484522 144.373881)
+ (xy 186.479035 144.334843)
+ (xy 186.441531 144.212172)
+ (xy 186.42425 144.176741)
+ (xy 186.355415 144.078434)
+ (xy 186.355415 143.589725)
+ (xy 186.357838 143.531914)
+ (xy 186.35462 143.497867)
+ (xy 186.34469 143.455527)
+ (xy 186.338786 143.41243)
+ (xy 186.329589 143.37949)
+ (xy 186.323622 143.3657)
+ (xy 186.32019 143.351069)
+ (xy 186.307934 143.31914)
+ (xy 186.286979 143.281023)
+ (xy 186.269707 143.24111)
+ (xy 186.251989 143.211855)
+ (xy 186.242534 143.20018)
+ (xy 186.235296 143.187013)
+ (xy 186.22026 143.165379)
+ (xy 186.211703 143.155466)
+ (xy 186.205418 143.148704)
+ (xy 186.181401 143.124687)
+ (xy 186.157101 143.094679)
+ (xy 186.13217 143.071267)
+ (xy 186.117692 143.060978)
+ (xy 184.986039 141.929325)
+ (xy 184.946838 141.886694)
+ (xy 184.920485 141.864893)
+ (xy 184.883513 141.84197)
+ (xy 184.848877 141.815679)
+ (xy 184.81908 141.798889)
+ (xy 184.805112 141.793359)
+ (xy 184.792339 141.785439)
+ (xy 184.761096 141.771528)
+ (xy 184.719319 141.75939)
+ (xy 184.678888 141.743383)
+ (xy 184.645676 141.735225)
+ (xy 184.630731 141.733654)
+ (xy 184.616301 141.729462)
+ (xy 184.590376 141.724797)
+ (xy 184.577316 141.723838)
+ (xy 184.568089 141.7235)
+ (xy 184.534114 141.7235)
+ (xy 184.495722 141.719465)
+ (xy 184.461539 141.720539)
+ (xy 184.444032 141.7235)
+ (xy 183.642715 141.7235)
+ (xy 182.456812 140.537597)
+ (xy 182.471872 140.52887)
+ (xy 182.504629 140.463946)
+ (xy 182.655558 139.607989)
+ (xy 182.647689 139.53743)
+ (xy 182.602922 139.482326)
+ (xy 182.553351 139.462023)
+ (xy 182.252984 139.409061)
+ (xy 182.182425 139.41693)
+ (xy 182.127322 139.461698)
+ (xy 182.107019 139.511267)
+ (xy 182.019054 140.010137)
+ (xy 182.017159 140.029818)
+ (xy 182.01599 140.096776)
+ (xy 181.356 139.436786)
+ (xy 181.356 139.295766)
+ (xy 181.35312 139.268983)
+ (xy 181.314856 139.093087)
+ (xy 181.297734 139.05175)
+ (xy 181.2766 139.018864)
+ (xy 182.193843 139.018864)
+ (xy 182.201712 139.089423)
+ (xy 182.246479 139.144527)
+ (xy 182.296049 139.16483)
+ (xy 182.596416 139.217793)
+ (xy 182.666975 139.209924)
+ (xy 182.722079 139.165157)
+ (xy 182.742382 139.115587)
+ (xy 182.89331 138.259629)
+ (xy 182.885441 138.189069)
+ (xy 182.840673 138.133966)
+ (xy 182.771424 138.111768)
+ (xy 182.744398 138.111296)
+ (xy 182.705359 138.116783)
+ (xy 182.582688 138.154287)
+ (xy 182.547256 138.171568)
+ (xy 182.442178 138.245145)
+ (xy 182.413821 138.27253)
+ (xy 182.336623 138.374976)
+ (xy 182.318116 138.409782)
+ (xy 182.286759 138.500848)
+ (xy 182.281808 138.51999)
+ (xy 182.193843 139.018864)
+ (xy 181.2766 139.018864)
+ (xy 181.224063 138.937115)
+ (xy 181.200577 138.91001)
+ (xy 181.097594 138.820775)
+ (xy 181.067424 138.801386)
+ (xy 180.948573 138.747109)
+ (xy 180.981913 138.739856)
+ (xy 181.02325 138.722734)
+ (xy 181.137885 138.649063)
+ (xy 181.16499 138.625577)
+ (xy 181.254225 138.522594)
+ (xy 181.273614 138.492424)
+ (xy 181.330221 138.368471)
+ (xy 181.340324 138.334061)
+ (xy 181.354717 138.233957)
+ (xy 181.356 138.216025)
+ (xy 181.356 138.2125)
+ (xy 181.335998 138.144379)
+ (xy 181.282342 138.097886)
+ (xy 181.23 138.0865)
+ (xy 180.749999 138.086499)
+ (xy 180.749996 138.0865)
+ (xy 179.771798 138.086499)
+ (xy 179.703677 138.106501)
+ (xy 179.657184 138.160157)
+ (xy 179.648678 138.239282)
+ (xy 179.685144 138.406913)
+ (xy 179.702266 138.44825)
+ (xy 179.775937 138.562885)
+ (xy 179.799423 138.58999)
+ (xy 179.902406 138.679225)
+ (xy 179.932576 138.698614)
+ (xy 180.051427 138.752891)
+ (xy 180.018087 138.760144)
+ (xy 179.97675 138.777266)
+ (xy 179.862115 138.850937)
+ (xy 179.83501 138.874423)
+ (xy 179.745775 138.977406)
+ (xy 179.726386 139.007576)
+ (xy 179.669779 139.131529)
+ (xy 179.659676 139.165939)
+ (xy 179.645283 139.266043)
+ (xy 179.644 139.283975)
+ (xy 178.244363 139.283975)
+ (xy 178.254225 139.272594)
+ (xy 178.273614 139.242424)
+ (xy 178.330221 139.118471)
+ (xy 178.340324 139.084061)
+ (xy 178.354717 138.983957)
+ (xy 178.356 138.966025)
+ (xy 178.356 138.9625)
+ (xy 178.335998 138.894379)
+ (xy 178.282342 138.847886)
+ (xy 178.23 138.8365)
+ (xy 177.749999 138.836499)
+ (xy 177.749996 138.8365)
+ (xy 176.771798 138.836499)
+ (xy 176.703677 138.856501)
+ (xy 176.657184 138.910157)
+ (xy 176.648678 138.989282)
+ (xy 176.685144 139.156913)
+ (xy 176.702266 139.19825)
+ (xy 176.775937 139.312885)
+ (xy 176.799423 139.33999)
+ (xy 176.902406 139.429225)
+ (xy 176.932576 139.448614)
+ (xy 177.051427 139.502891)
+ (xy 177.018087 139.510144)
+ (xy 176.97675 139.527266)
+ (xy 176.862115 139.600937)
+ (xy 176.83501 139.624423)
+ (xy 176.745775 139.727406)
+ (xy 176.726386 139.757576)
+ (xy 176.669779 139.881529)
+ (xy 176.659676 139.915939)
+ (xy 176.645283 140.016043)
+ (xy 176.644 140.033975)
+ (xy 176.644 140.529234)
+ (xy 176.64688 140.556017)
+ (xy 176.685144 140.731913)
+ (xy 176.702266 140.77325)
+ (xy 176.775937 140.887885)
+ (xy 176.799423 140.91499)
+ (xy 176.902406 141.004225)
+ (xy 176.932576 141.023614)
+ (xy 177.056529 141.080221)
+ (xy 177.090939 141.090324)
+ (xy 177.191043 141.104717)
+ (xy 177.208975 141.106)
+ (xy 177.361786 141.106)
+ (xy 179.760778 143.504993)
+ (xy 179.760778 143.656357)
+ (xy 179.717395 143.735271)
+ (xy 179.705769 143.764637)
+ (xy 179.664906 143.923787)
+ (xy 179.660947 143.955122)
+ (xy 179.660947 144.119434)
+ (xy 179.664906 144.150769)
+ (xy 179.705769 144.309919)
+ (xy 179.717395 144.339285)
+ (xy 179.760778 144.418199)
+ (xy 179.760779 147.717789)
+ (xy 179.652034 147.780573)
+ (xy 179.635739 147.791772)
+ (xy 179.56089 147.852384)
+ (xy 179.534513 147.881679)
+ (xy 179.464648 147.98926)
+ (xy 179.448613 148.025274)
+ (xy 179.415413 148.149179)
+ (xy 179.411293 148.188385)
+ (xy 179.416024 148.278651)
+ (xy 179.340217 148.229421)
+ (xy 179.304203 148.213386)
+ (xy 179.180298 148.180186)
+ (xy 179.141093 148.176066)
+ (xy 179.061844 148.180219)
+ (xy 178.9765 148.094875)
+ (xy 178.9765 147.989586)
+ (xy 178.991571 147.962173)
+ (xy 179.052058 147.809399)
+ (xy 179.059912 147.778808)
+ (xy 179.080506 147.615792)
+ (xy 179.080506 147.584208)
+ (xy 179.059912 147.421192)
+ (xy 179.052058 147.390601)
+ (xy 178.991571 147.237827)
+ (xy 178.976355 147.210149)
+ (xy 178.879775 147.077218)
+ (xy 178.858154 147.054194)
+ (xy 178.731549 146.949458)
+ (xy 178.704883 146.932535)
+ (xy 178.55621 146.862574)
+ (xy 178.526171 146.852814)
+ (xy 178.364769 146.822025)
+ (xy 178.333247 146.820042)
+ (xy 178.16926 146.83036)
+ (xy 178.138236 146.836278)
+ (xy 177.981966 146.887053)
+ (xy 177.953388 146.900501)
+ (xy 177.814655 146.988544)
+ (xy 177.790319 147.008676)
+ (xy 177.677839 147.128454)
+ (xy 177.663349 147.148397)
+ (xy 177.659912 147.121192)
+ (xy 177.652058 147.090601)
+ (xy 177.591571 146.937827)
+ (xy 177.576355 146.910149)
+ (xy 177.479775 146.777218)
+ (xy 177.458154 146.754194)
+ (xy 177.331549 146.649458)
+ (xy 177.304883 146.632535)
+ (xy 177.15621 146.562574)
+ (xy 177.126171 146.552814)
+ (xy 176.964769 146.522025)
+ (xy 176.933247 146.520042)
+ (xy 176.76926 146.53036)
+ (xy 176.738236 146.536278)
+ (xy 176.581966 146.587053)
+ (xy 176.553388 146.600501)
+ (xy 176.414655 146.688544)
+ (xy 176.390319 146.708676)
+ (xy 176.277839 146.828454)
+ (xy 176.259274 146.854006)
+ (xy 176.221064 146.923511)
+ (xy 176.131549 146.849458)
+ (xy 176.104883 146.832535)
+ (xy 176.041735 146.802819)
+ (xy 176.115483 146.77451)
+ (xy 176.133328 146.765998)
+ (xy 176.447818 146.584427)
+ (xy 176.464113 146.573228)
+ (xy 176.538962 146.512616)
+ (xy 176.565339 146.483321)
+ (xy 176.635204 146.37574)
+ (xy 176.651239 146.339726)
+ (xy 176.684439 146.215821)
+ (xy 176.688559 146.176615)
+ (xy 176.683828 146.086349)
+ (xy 176.759635 146.135579)
+ (xy 176.795649 146.151614)
+ (xy 176.919554 146.184814)
+ (xy 176.958759 146.188934)
+ (xy 177.08686 146.182221)
+ (xy 177.125421 146.174025)
+ (xy 177.215335 146.13951)
+ (xy 177.23318 146.130998)
+ (xy 177.54767 145.949427)
+ (xy 177.563965 145.938228)
+ (xy 177.638814 145.877616)
+ (xy 177.665191 145.848321)
+ (xy 177.735056 145.74074)
+ (xy 177.751091 145.704726)
+ (xy 177.784291 145.580821)
+ (xy 177.788411 145.541615)
+ (xy 177.78368 145.451349)
+ (xy 177.859487 145.500579)
+ (xy 177.895501 145.516614)
+ (xy 178.019406 145.549814)
+ (xy 178.058611 145.553934)
+ (xy 178.186712 145.547221)
+ (xy 178.225275 145.539024)
+ (xy 178.250509 145.529337)
+ (xy 178.306936 145.48625)
+ (xy 178.331111 145.419496)
+ (xy 178.314471 145.348707)
+ (xy 177.778841 144.420968)
+ (xy 178.078355 144.420968)
+ (xy 178.094665 144.471991)
+ (xy 178.529246 145.224707)
+ (xy 178.580628 145.2737)
+ (xy 178.650342 145.287136)
+ (xy 178.717661 145.259626)
+ (xy 178.738667 145.242615)
+ (xy 178.765043 145.213321)
+ (xy 178.834908 145.10574)
+ (xy 178.850943 145.069726)
+ (xy 178.884143 144.945821)
+ (xy 178.888263 144.906615)
+ (xy 178.881549 144.778514)
+ (xy 178.873353 144.739954)
+ (xy 178.838835 144.650032)
+ (xy 178.830323 144.632187)
+ (xy 178.577042 144.193491)
+ (xy 178.52566 144.144498)
+ (xy 178.455946 144.131062)
+ (xy 178.404923 144.147372)
+ (xy 178.140784 144.299872)
+ (xy 178.091791 144.351254)
+ (xy 178.078355 144.420968)
+ (xy 177.778841 144.420968)
+ (xy 177.629891 144.162979)
+ (xy 177.19531 143.410263)
+ (xy 177.143928 143.36127)
+ (xy 177.074565 143.347902)
+ (xy 177.073074 143.34544)
+ (xy 177.063619 143.333765)
+ (xy 177.056381 143.320598)
+ (xy 177.041345 143.298964)
+ (xy 177.032788 143.289051)
+ (xy 177.026503 143.282289)
+ (xy 177.002486 143.258272)
+ (xy 176.978186 143.228264)
+ (xy 176.964567 143.215474)
+ (xy 177.393445 143.215474)
+ (xy 177.410085 143.286263)
+ (xy 177.844665 144.038979)
+ (xy 177.896047 144.087972)
+ (xy 177.965761 144.101408)
+ (xy 178.016784 144.085098)
+ (xy 178.280922 143.932597)
+ (xy 178.329915 143.881214)
+ (xy 178.343351 143.811501)
+ (xy 178.327041 143.760478)
+ (xy 178.073757 143.321778)
+ (xy 178.062559 143.305484)
+ (xy 178.001947 143.230634)
+ (xy 177.972651 143.204256)
+ (xy 177.865069 143.134391)
+ (xy 177.829055 143.118356)
+ (xy 177.70515 143.085156)
+ (xy 177.665945 143.081036)
+ (xy 177.537844 143.087749)
+ (xy 177.499281 143.095946)
+ (xy 177.474047 143.105633)
+ (xy 177.41762 143.14872)
+ (xy 177.393445 143.215474)
+ (xy 176.964567 143.215474)
+ (xy 176.953255 143.204852)
+ (xy 176.938777 143.194563)
+ (xy 175.106 141.361786)
+ (xy 175.106 141.220766)
+ (xy 175.10312 141.193983)
+ (xy 175.064856 141.018087)
+ (xy 175.047734 140.97675)
+ (xy 174.974063 140.862115)
+ (xy 174.950577 140.83501)
+ (xy 174.847594 140.745775)
+ (xy 174.817424 140.726386)
+ (xy 174.693471 140.669779)
+ (xy 174.659061 140.659676)
+ (xy 174.558957 140.645283)
+ (xy 174.541025 140.644)
+ (xy 174.045766 140.644)
+ (xy 174.018983 140.64688)
+ (xy 173.843087 140.685144)
+ (xy 173.80175 140.702266)
+ (xy 173.687115 140.775937)
+ (xy 173.66001 140.799423)
+ (xy 173.570775 140.902406)
+ (xy 173.551386 140.932576)
+ (xy 173.497109 141.051427)
+ (xy 173.489856 141.018087)
+ (xy 173.472734 140.97675)
+ (xy 173.399063 140.862115)
+ (xy 173.375577 140.83501)
+ (xy 173.272594 140.745775)
+ (xy 173.242424 140.726386)
+ (xy 173.118471 140.669779)
+ (xy 173.084061 140.659676)
+ (xy 172.983957 140.645283)
+ (xy 172.966025 140.644)
+ (xy 172.9625 140.644)
+ (xy 172.894379 140.664002)
+ (xy 172.847886 140.717658)
+ (xy 172.8365 140.77)
+ (xy 172.836499 141.250001)
+ (xy 172.8365 141.250004)
+ (xy 172.836499 141.624)
+ (xy 172.02 141.623999)
+ (xy 171.951879 141.644001)
+ (xy 171.905386 141.697657)
+ (xy 171.894 141.749999)
+ (xy 171.894 141.779234)
+ (xy 171.89661 141.80351)
+ (xy 171.0765 141.80351)
+ (xy 171.0765 141.208975)
+ (xy 171.894 141.208975)
+ (xy 171.894 141.25)
+ (xy 171.914002 141.318121)
+ (xy 171.967658 141.364614)
+ (xy 172.02 141.376)
+ (xy 172.4625 141.376)
+ (xy 172.530621 141.355998)
+ (xy 172.577114 141.302342)
+ (xy 172.5885 141.25)
+ (xy 172.588501 140.771798)
+ (xy 172.568499 140.703677)
+ (xy 172.514844 140.657184)
+ (xy 172.435718 140.648678)
+ (xy 172.268087 140.685144)
+ (xy 172.22675 140.702266)
+ (xy 172.112115 140.775937)
+ (xy 172.08501 140.799423)
+ (xy 171.995775 140.902406)
+ (xy 171.976386 140.932576)
+ (xy 171.919779 141.056529)
+ (xy 171.909676 141.090939)
+ (xy 171.895283 141.191043)
+ (xy 171.894 141.208975)
+ (xy 171.0765 141.208975)
+ (xy 171.0765 140.380214)
+ (xy 172.997739 138.458975)
+ (xy 176.644 138.458975)
+ (xy 176.644 138.4625)
+ (xy 176.664002 138.530621)
+ (xy 176.717658 138.577114)
+ (xy 176.77 138.5885)
+ (xy 177.250001 138.588501)
+ (xy 177.318122 138.568499)
+ (xy 177.364615 138.514844)
+ (xy 177.376001 138.462501)
+ (xy 177.376001 138.02)
+ (xy 177.624 138.02)
+ (xy 177.624 138.4625)
+ (xy 177.644002 138.530621)
+ (xy 177.697658 138.577114)
+ (xy 177.75 138.5885)
+ (xy 178.228202 138.588501)
+ (xy 178.296323 138.568499)
+ (xy 178.342816 138.514844)
+ (xy 178.351322 138.435718)
+ (xy 178.314856 138.268087)
+ (xy 178.297734 138.22675)
+ (xy 178.224063 138.112115)
+ (xy 178.200577 138.08501)
+ (xy 178.097594 137.995775)
+ (xy 178.067424 137.976386)
+ (xy 177.943471 137.919779)
+ (xy 177.909061 137.909676)
+ (xy 177.808957 137.895283)
+ (xy 177.791025 137.894)
+ (xy 177.75 137.894)
+ (xy 177.681879 137.914002)
+ (xy 177.635386 137.967658)
+ (xy 177.624 138.02)
+ (xy 177.376001 138.02)
+ (xy 177.355999 137.951879)
+ (xy 177.302343 137.905386)
+ (xy 177.250001 137.894)
+ (xy 177.220766 137.894)
+ (xy 177.193983 137.89688)
+ (xy 177.018087 137.935144)
+ (xy 176.97675 137.952266)
+ (xy 176.862115 138.025937)
+ (xy 176.83501 138.049423)
+ (xy 176.745775 138.152406)
+ (xy 176.726386 138.182576)
+ (xy 176.669779 138.306529)
+ (xy 176.659676 138.340939)
+ (xy 176.645283 138.441043)
+ (xy 176.644 138.458975)
+ (xy 172.997739 138.458975)
+ (xy 173.747739 137.708975)
+ (xy 179.644 137.708975)
+ (xy 179.644 137.7125)
+ (xy 179.664002 137.780621)
+ (xy 179.717658 137.827114)
+ (xy 179.77 137.8385)
+ (xy 180.250001 137.838501)
+ (xy 180.318122 137.818499)
+ (xy 180.364615 137.764844)
+ (xy 180.376001 137.712501)
+ (xy 180.376001 137.27)
+ (xy 180.624 137.27)
+ (xy 180.624 137.7125)
+ (xy 180.644002 137.780621)
+ (xy 180.697658 137.827114)
+ (xy 180.75 137.8385)
+ (xy 181.228202 137.838501)
+ (xy 181.296323 137.818499)
+ (xy 181.342816 137.764844)
+ (xy 181.351322 137.685718)
+ (xy 181.314856 137.518087)
+ (xy 181.297734 137.47675)
+ (xy 181.224063 137.362115)
+ (xy 181.200577 137.33501)
+ (xy 181.097594 137.245775)
+ (xy 181.067424 137.226386)
+ (xy 180.943471 137.169779)
+ (xy 180.909061 137.159676)
+ (xy 180.808957 137.145283)
+ (xy 180.791025 137.144)
+ (xy 180.75 137.144)
+ (xy 180.681879 137.164002)
+ (xy 180.635386 137.217658)
+ (xy 180.624 137.27)
+ (xy 180.376001 137.27)
+ (xy 180.355999 137.201879)
+ (xy 180.302343 137.155386)
+ (xy 180.250001 137.144)
+ (xy 180.220766 137.144)
+ (xy 180.193983 137.14688)
+ (xy 180.018087 137.185144)
+ (xy 179.97675 137.202266)
+ (xy 179.862115 137.275937)
+ (xy 179.83501 137.299423)
+ (xy 179.745775 137.402406)
+ (xy 179.726386 137.432576)
+ (xy 179.669779 137.556529)
+ (xy 179.659676 137.590939)
+ (xy 179.645283 137.691043)
+ (xy 179.644 137.708975)
+ (xy 173.747739 137.708975)
+ (xy 174.456715 136.999999)
+ (xy 181.6815 136.999999)
+ (xy 181.6815 137.029234)
+ (xy 181.68438 137.056017)
+ (xy 181.722644 137.231913)
+ (xy 181.739766 137.27325)
+ (xy 181.813437 137.387885)
+ (xy 181.836923 137.41499)
+ (xy 181.939906 137.504225)
+ (xy 181.970076 137.523614)
+ (xy 182.094029 137.580221)
+ (xy 182.128439 137.590324)
+ (xy 182.228543 137.604717)
+ (xy 182.246475 137.606)
+ (xy 182.25 137.606)
+ (xy 182.318121 137.585998)
+ (xy 182.364614 137.532342)
+ (xy 182.376 137.48)
+ (xy 182.376001 136.999999)
+ (xy 182.355999 136.931878)
+ (xy 182.302344 136.885385)
+ (xy 182.250001 136.873999)
+ (xy 181.8075 136.873999)
+ (xy 181.739379 136.894001)
+ (xy 181.692886 136.947657)
+ (xy 181.6815 136.999999)
+ (xy 174.456715 136.999999)
+ (xy 175.480215 135.9765)
+ (xy 181.976541 135.9765)
+ (xy 181.899615 136.025937)
+ (xy 181.87251 136.049423)
+ (xy 181.783275 136.152406)
+ (xy 181.763886 136.182576)
+ (xy 181.707279 136.306529)
+ (xy 181.697176 136.340939)
+ (xy 181.682783 136.441043)
+ (xy 181.6815 136.458975)
+ (xy 181.6815 136.5)
+ (xy 181.701502 136.568121)
+ (xy 181.755158 136.614614)
+ (xy 181.8075 136.626)
+ (xy 182.250001 136.626)
+ (xy 182.624 136.626001)
+ (xy 182.623999 137.478202)
+ (xy 182.644001 137.546323)
+ (xy 182.697657 137.592816)
+ (xy 182.776782 137.601322)
+ (xy 182.944413 137.564856)
+ (xy 182.98575 137.547734)
+ (xy 183.100385 137.474063)
+ (xy 183.12749 137.450577)
+ (xy 183.216725 137.347594)
+ (xy 183.236114 137.317424)
+ (xy 183.290391 137.198573)
+ (xy 183.297644 137.231913)
+ (xy 183.314766 137.27325)
+ (xy 183.388437 137.387885)
+ (xy 183.395292 137.395796)
+ (xy 183.395292 138.257069)
+ (xy 183.363014 138.232746)
+ (xy 183.328205 138.214238)
+ (xy 183.302647 138.205438)
+ (xy 183.231726 138.202173)
+ (xy 183.170298 138.23777)
+ (xy 183.137541 138.302694)
+ (xy 182.986612 139.158651)
+ (xy 182.901013 139.644111)
+ (xy 182.89979 139.651044)
+ (xy 182.899788 139.651053)
+ (xy 182.74886 140.507011)
+ (xy 182.756729 140.577571)
+ (xy 182.801497 140.632674)
+ (xy 182.870746 140.654872)
+ (xy 182.897772 140.655344)
+ (xy 182.936811 140.649857)
+ (xy 183.059482 140.612353)
+ (xy 183.094914 140.595072)
+ (xy 183.199992 140.521495)
+ (xy 183.228349 140.49411)
+ (xy 183.282748 140.42192)
+ (xy 183.309175 140.508361)
+ (xy 183.326456 140.543792)
+ (xy 183.400032 140.64887)
+ (xy 183.427416 140.677228)
+ (xy 183.529862 140.754427)
+ (xy 183.564669 140.772934)
+ (xy 183.655736 140.804291)
+ (xy 183.674878 140.809242)
+ (xy 184.032499 140.872301)
+ (xy 184.05218 140.874196)
+ (xy 184.148479 140.875877)
+ (xy 184.187517 140.87039)
+ (xy 184.310188 140.832886)
+ (xy 184.34562 140.815605)
+ (xy 184.450698 140.742028)
+ (xy 184.479055 140.714643)
+ (xy 184.533453 140.642453)
+ (xy 184.559881 140.728895)
+ (xy 184.577162 140.764326)
+ (xy 184.650738 140.869404)
+ (xy 184.678122 140.897762)
+ (xy 184.780568 140.974961)
+ (xy 184.815375 140.993468)
+ (xy 184.906442 141.024825)
+ (xy 184.925584 141.029776)
+ (xy 185.283205 141.092835)
+ (xy 185.302886 141.09473)
+ (xy 185.399185 141.096411)
+ (xy 185.438223 141.090924)
+ (xy 185.560894 141.05342)
+ (xy 185.596326 141.036139)
+ (xy 185.701404 140.962562)
+ (xy 185.729761 140.935177)
+ (xy 185.78416 140.862987)
+ (xy 185.810587 140.949428)
+ (xy 185.827868 140.984859)
+ (xy 185.901444 141.089937)
+ (xy 185.928828 141.118295)
+ (xy 186.031274 141.195494)
+ (xy 186.066083 141.214002)
+ (xy 186.091641 141.222802)
+ (xy 186.162562 141.226067)
+ (xy 186.22399 141.19047)
+ (xy 186.235018 141.168611)
+ (xy 186.500978 141.168611)
+ (xy 186.508847 141.239171)
+ (xy 186.553615 141.294274)
+ (xy 186.622864 141.316472)
+ (xy 186.64989 141.316944)
+ (xy 186.688929 141.311457)
+ (xy 186.8116 141.273953)
+ (xy 186.847032 141.256672)
+ (xy 186.95211 141.183095)
+ (xy 186.980467 141.15571)
+ (xy 187.057665 141.053264)
+ (xy 187.076172 141.018458)
+ (xy 187.107529 140.927392)
+ (xy 187.11248 140.90825)
+ (xy 187.200445 140.409376)
+ (xy 187.192576 140.338817)
+ (xy 187.147809 140.283713)
+ (xy 187.098239 140.26341)
+ (xy 186.797872 140.210447)
+ (xy 186.727313 140.218316)
+ (xy 186.672209 140.263083)
+ (xy 186.651906 140.312653)
+ (xy 186.500978 141.168611)
+ (xy 186.235018 141.168611)
+ (xy 186.256747 141.125546)
+ (xy 186.477498 139.87361)
+ (xy 186.486906 139.820251)
+ (xy 186.73873 139.820251)
+ (xy 186.746599 139.89081)
+ (xy 186.791366 139.945914)
+ (xy 186.840937 139.966217)
+ (xy 187.141304 140.019179)
+ (xy 187.211863 140.01131)
+ (xy 187.266966 139.966542)
+ (xy 187.287269 139.916973)
+ (xy 187.375234 139.418103)
+ (xy 187.377129 139.398422)
+ (xy 187.37881 139.302121)
+ (xy 187.373323 139.263083)
+ (xy 187.335819 139.140412)
+ (xy 187.318538 139.104981)
+ (xy 187.244962 138.999903)
+ (xy 187.217578 138.971545)
+ (xy 187.115132 138.894346)
+ (xy 187.080323 138.875838)
+ (xy 187.054765 138.867038)
+ (xy 186.983844 138.863773)
+ (xy 186.922416 138.89937)
+ (xy 186.889659 138.964294)
+ (xy 186.73873 139.820251)
+ (xy 186.486906 139.820251)
+ (xy 186.645428 138.921229)
+ (xy 186.637559 138.85067)
+ (xy 186.592792 138.795566)
+ (xy 186.523542 138.773368)
+ (xy 186.496516 138.772896)
+ (xy 186.457477 138.778383)
+ (xy 186.334806 138.815887)
+ (xy 186.299374 138.833168)
+ (xy 186.194296 138.906745)
+ (xy 186.165939 138.93413)
+ (xy 186.11154 139.00632)
+ (xy 186.085113 138.919879)
+ (xy 186.067832 138.884448)
+ (xy 185.998997 138.786141)
+ (xy 185.998997 138.170217)
+ (xy 186.063214 138.106)
+ (xy 186.204234 138.106)
+ (xy 186.231017 138.10312)
+ (xy 186.406913 138.064856)
+ (xy 186.44825 138.047734)
+ (xy 186.562885 137.974063)
+ (xy 186.58999 137.950577)
+ (xy 186.679225 137.847594)
+ (xy 186.698614 137.817424)
+ (xy 186.752891 137.698573)
+ (xy 186.760144 137.731913)
+ (xy 186.777266 137.77325)
+ (xy 186.850937 137.887885)
+ (xy 186.874423 137.91499)
+ (xy 186.977406 138.004225)
+ (xy 187.007576 138.023614)
+ (xy 187.131529 138.080221)
+ (xy 187.165939 138.090324)
+ (xy 187.266043 138.104717)
+ (xy 187.283975 138.106)
+ (xy 187.2875 138.106)
+ (xy 187.355621 138.085998)
+ (xy 187.402114 138.032342)
+ (xy 187.4135 137.98)
+ (xy 187.4135 137.5)
+ (xy 187.6615 137.5)
+ (xy 187.6615 137.978202)
+ (xy 187.681502 138.046323)
+ (xy 187.735158 138.092816)
+ (xy 187.814283 138.101322)
+ (xy 187.981913 138.064856)
+ (xy 188.02325 138.047734)
+ (xy 188.137885 137.974063)
+ (xy 188.16499 137.950577)
+ (xy 188.254225 137.847594)
+ (xy 188.273614 137.817424)
+ (xy 188.330221 137.693471)
+ (xy 188.340324 137.659061)
+ (xy 188.354717 137.558957)
+ (xy 188.356 137.541025)
+ (xy 188.356 137.5)
+ (xy 188.335998 137.431879)
+ (xy 188.282342 137.385386)
+ (xy 188.23 137.374)
+ (xy 187.7875 137.374)
+ (xy 187.719379 137.394002)
+ (xy 187.672886 137.447658)
+ (xy 187.6615 137.5)
+ (xy 187.4135 137.5)
+ (xy 187.4135 136.521798)
+ (xy 187.412973 136.52)
+ (xy 187.6615 136.52)
+ (xy 187.6615 137)
+ (xy 187.681502 137.068121)
+ (xy 187.735158 137.114614)
+ (xy 187.7875 137.126)
+ (xy 188.23 137.126)
+ (xy 188.298121 137.105998)
+ (xy 188.344614 137.052342)
+ (xy 188.356 137)
+ (xy 188.356 136.970766)
+ (xy 188.35312 136.943983)
+ (xy 188.314856 136.768087)
+ (xy 188.297734 136.72675)
+ (xy 188.224063 136.612115)
+ (xy 188.200577 136.58501)
+ (xy 188.097594 136.495775)
+ (xy 188.067424 136.476386)
+ (xy 187.943471 136.419779)
+ (xy 187.909061 136.409676)
+ (xy 187.808957 136.395283)
+ (xy 187.791025 136.394)
+ (xy 187.7875 136.394)
+ (xy 187.719379 136.414002)
+ (xy 187.672886 136.467658)
+ (xy 187.6615 136.52)
+ (xy 187.412973 136.52)
+ (xy 187.393498 136.453677)
+ (xy 187.339842 136.407184)
+ (xy 187.260717 136.398678)
+ (xy 187.093087 136.435144)
+ (xy 187.05175 136.452266)
+ (xy 186.937115 136.525937)
+ (xy 186.91001 136.549423)
+ (xy 186.820775 136.652406)
+ (xy 186.801386 136.682576)
+ (xy 186.747109 136.801427)
+ (xy 186.739856 136.768087)
+ (xy 186.722734 136.72675)
+ (xy 186.649063 136.612115)
+ (xy 186.625577 136.58501)
+ (xy 186.522594 136.495775)
+ (xy 186.492424 136.476386)
+ (xy 186.368471 136.419779)
+ (xy 186.334061 136.409676)
+ (xy 186.233957 136.395283)
+ (xy 186.216025 136.394)
+ (xy 185.720766 136.394)
+ (xy 185.693983 136.39688)
+ (xy 185.518087 136.435144)
+ (xy 185.47675 136.452266)
+ (xy 185.362115 136.525937)
+ (xy 185.33501 136.549423)
+ (xy 185.245775 136.652406)
+ (xy 185.226386 136.682576)
+ (xy 185.169779 136.806529)
+ (xy 185.159676 136.840939)
+ (xy 185.145283 136.941043)
+ (xy 185.144 136.958975)
+ (xy 185.144 137.111784)
+ (xy 184.851797 137.403988)
+ (xy 184.809192 137.443165)
+ (xy 184.787392 137.469517)
+ (xy 184.764478 137.506473)
+ (xy 184.748291 137.527799)
+ (xy 184.748291 137.39772)
+ (xy 184.791725 137.347594)
+ (xy 184.811114 137.317424)
+ (xy 184.867721 137.193471)
+ (xy 184.877824 137.159061)
+ (xy 184.892217 137.058957)
+ (xy 184.8935 137.041025)
+ (xy 184.8935 136.470766)
+ (xy 184.89062 136.443983)
+ (xy 184.852356 136.268087)
+ (xy 184.835234 136.22675)
+ (xy 184.761563 136.112115)
+ (xy 184.738077 136.08501)
+ (xy 184.635094 135.995775)
+ (xy 184.604924 135.976386)
+ (xy 184.480971 135.919779)
+ (xy 184.446561 135.909676)
+ (xy 184.346457 135.895283)
+ (xy 184.328525 135.894)
+ (xy 184.175714 135.894)
+ (xy 183.111039 134.829325)
+ (xy 183.071838 134.786694)
+ (xy 183.045485 134.764893)
+ (xy 183.008513 134.74197)
+ (xy 182.973877 134.715679)
+ (xy 182.94408 134.698889)
+ (xy 182.930112 134.693359)
+ (xy 182.917339 134.685439)
+ (xy 182.886096 134.671528)
+ (xy 182.844319 134.65939)
+ (xy 182.803888 134.643383)
+ (xy 182.770676 134.635225)
+ (xy 182.755731 134.633654)
+ (xy 182.741301 134.629462)
+ (xy 182.715376 134.624797)
+ (xy 182.702316 134.623838)
+ (xy 182.693089 134.6235)
+ (xy 182.659114 134.6235)
+ (xy 182.620722 134.619465)
+ (xy 182.586539 134.620539)
+ (xy 182.569032 134.6235)
+ (xy 175.21081 134.6235)
+ (xy 175.152998 134.621077)
+ (xy 175.118951 134.624295)
+ (xy 175.076611 134.634225)
+ (xy 175.033514 134.640129)
+ (xy 175.000573 134.649326)
+ (xy 174.98678 134.655295)
+ (xy 174.972155 134.658725)
+ (xy 174.940224 134.670982)
+ (xy 174.902116 134.691932)
+ (xy 174.862196 134.709207)
+ (xy 174.832943 134.726923)
+ (xy 174.821265 134.736379)
+ (xy 174.808093 134.743621)
+ (xy 174.786463 134.758655)
+ (xy 174.77655 134.767212)
+ (xy 174.769788 134.773497)
+ (xy 174.745767 134.797518)
+ (xy 174.715764 134.821814)
+ (xy 174.692352 134.846744)
+ (xy 174.682063 134.861222)
+ (xy 169.929325 139.613961)
+ (xy 169.886694 139.653162)
+ (xy 169.864893 139.679516)
+ (xy 169.841977 139.716476)
+ (xy 169.815679 139.751122)
+ (xy 169.798889 139.780921)
+ (xy 169.79336 139.794886)
+ (xy 169.785439 139.807661)
+ (xy 169.771528 139.838905)
+ (xy 169.75939 139.880682)
+ (xy 169.743383 139.921113)
+ (xy 169.735225 139.954324)
+ (xy 169.733654 139.96927)
+ (xy 169.729462 139.9837)
+ (xy 169.724797 140.009625)
+ (xy 169.723838 140.022685)
+ (xy 169.7235 140.031912)
+ (xy 169.7235 140.065885)
+ (xy 169.719465 140.104278)
+ (xy 169.720539 140.13846)
+ (xy 169.7235 140.155967)
+ (xy 169.723501 142.431886)
+ (xy 169.7235 142.431912)
+ (xy 169.7235 142.465886)
+ (xy 169.719465 142.504278)
+ (xy 169.720539 142.53846)
+ (xy 169.7235 142.555967)
+ (xy 169.723501 147.3235)
+ (xy 155.080215 147.3235)
+ (xy 154.833136 147.076421)
+ (xy 157.773293 144.136264)
+ (xy 157.789931 144.12402)
+ (xy 157.811274 144.104117)
+ (xy 157.844221 144.065336)
+ (xy 157.850104 144.059453)
+ (xy 157.859824 144.048535)
+ (xy 157.877841 144.025762)
+ (xy 157.924565 143.970765)
+ (xy 157.940755 143.946491)
+ (xy 157.941112 143.945793)
+ (xy 157.941605 143.945169)
+ (xy 157.956936 143.920343)
+ (xy 157.987503 143.85494)
+ (xy 158.020333 143.790648)
+ (xy 158.030506 143.763294)
+ (xy 158.030691 143.762537)
+ (xy 158.031024 143.761825)
+ (xy 158.040236 143.734131)
+ (xy 158.054933 143.663468)
+ (xy 158.072096 143.59333)
+ (xy 158.075465 143.571182)
+ (xy 158.076248 143.55856)
+ (xy 158.078462 143.533753)
+ (xy 158.0765 143.461228)
+ (xy 158.0765 129.065739)
+ (xy 158.079607 129.045316)
+ (xy 158.080625 129.016151)
+ (xy 158.0765 128.965438)
+ (xy 158.0765 128.957113)
+ (xy 158.075653 128.942524)
+ (xy 158.07229 128.913678)
+ (xy 158.066439 128.841746)
+ (xy 158.060722 128.813135)
+ (xy 158.060481 128.812391)
+ (xy 158.060389 128.811602)
+ (xy 158.053676 128.783206)
+ (xy 158.029038 128.71533)
+ (xy 158.006795 128.646668)
+ (xy 157.994649 128.620136)
+ (xy 157.994241 128.619463)
+ (xy 157.993972 128.618723)
+ (xy 157.980906 128.592633)
+ (xy 157.941321 128.532255)
+ (xy 157.903871 128.470541)
+ (xy 157.890596 128.452502)
+ (xy 157.882228 128.443027)
+ (xy 157.866246 128.423912)
+ (xy 157.813557 128.373999)
+ (xy 156.499244 127.059687)
+ (xy 166.098451 127.059687)
+ (xy 166.106603 127.061442)
+ (xy 166.147932 127.063391)
+ (xy 166.179226 127.059687)
+ (xy 166.181085 127.059687)
+ (xy 166.201774 127.057977)
+ (xy 166.22171 127.054659)
+ (xy 166.272012 127.048705)
+ (xy 166.29151 127.04304)
+ (xy 166.294984 127.042462)
+ (xy 166.303301 127.039615)
+ (xy 166.311746 127.037161)
+ (xy 166.314922 127.035636)
+ (xy 166.334129 127.02906)
+ (xy 166.378732 127.004993)
+ (xy 166.424377 126.983075)
+ (xy 166.450901 126.965951)
+ (xy 166.45681 126.960985)
+ (xy 166.46475 126.953702)
+ (xy 166.476782 126.94437)
+ (xy 166.509695 126.908765)
+ (xy 167.548489 125.869971)
+ (xy 167.54849 134.642282)
+ (xy 156.715883 145.474891)
+ (xy 156.685494 145.476803)
+ (xy 156.537689 145.506606)
+ (xy 156.505391 145.517853)
+ (xy 156.371045 145.586306)
+ (xy 156.342962 145.605825)
+ (xy 156.231973 145.707884)
+ (xy 156.210173 145.734235)
+ (xy 156.130718 145.862382)
+ (xy 156.116807 145.893626)
+ (xy 156.074741 146.038419)
+ (xy 156.069745 146.072253)
+ (xy 156.068166 146.223025)
+ (xy 156.072452 146.256955)
+ (xy 156.111477 146.402597)
+ (xy 156.12473 146.434125)
+ (xy 156.201483 146.563908)
+ (xy 156.222727 146.590711)
+ (xy 156.331554 146.695072)
+ (xy 156.359223 146.715174)
+ (xy 156.492106 146.786425)
+ (xy 156.524161 146.798346)
+ (xy 156.67131 146.831238)
+ (xy 156.70539 146.8341)
+ (xy 156.855963 146.826209)
+ (xy 156.889558 146.819801)
+ (xy 157.032463 146.771708)
+ (xy 157.063097 146.756501)
+ (xy 157.187804 146.67175)
+ (xy 157.188239 146.671358)
+ (xy 157.273503 146.753123)
+ (xy 157.301172 146.773225)
+ (xy 157.434055 146.844476)
+ (xy 157.46611 146.856397)
+ (xy 157.613259 146.889289)
+ (xy 157.647339 146.892151)
+ (xy 157.797912 146.88426)
+ (xy 157.831507 146.877852)
+ (xy 157.974412 146.829759)
+ (xy 158.005046 146.814552)
+ (xy 158.129753 146.729801)
+ (xy 158.155168 146.706917)
+ (xy 158.25249 146.591752)
+ (xy 158.270816 146.562875)
+ (xy 158.333583 146.42578)
+ (xy 158.343468 146.39304)
+ (xy 158.367055 146.244117)
+ (xy 158.368599 146.225727)
+ (xy 158.368721 146.214093)
+ (xy 158.367562 146.195672)
+ (xy 158.347099 146.046287)
+ (xy 158.337902 146.013346)
+ (xy 158.306004 145.939633)
+ (xy 162.17675 142.068887)
+ (xy 162.125021 142.192247)
+ (xy 162.119095 142.209957)
+ (xy 162.055047 142.462146)
+ (xy 162.051804 142.480537)
+ (xy 162.025736 142.739422)
+ (xy 162.025247 142.758091)
+ (xy 162.031238 142.882816)
+ (xy 162.03773 143.017987)
+ (xy 162.040006 143.036524)
+ (xy 162.090768 143.291719)
+ (xy 162.095759 143.309714)
+ (xy 162.183683 143.554603)
+ (xy 162.191279 143.571664)
+ (xy 162.314434 143.800867)
+ (xy 162.324468 143.816618)
+ (xy 162.480149 144.025101)
+ (xy 162.492401 144.039195)
+ (xy 162.677188 144.222376)
+ (xy 162.691389 144.234505)
+ (xy 162.901222 144.38836)
+ (xy 162.917059 144.398256)
+ (xy 163.147328 144.519407)
+ (xy 163.164455 144.526854)
+ (xy 163.410102 144.612638)
+ (xy 163.428141 144.617472)
+ (xy 163.68377 144.666004)
+ (xy 163.702325 144.668118)
+ (xy 163.962319 144.678334)
+ (xy 163.980983 144.677682)
+ (xy 164.239631 144.649355)
+ (xy 164.257994 144.645952)
+ (xy 164.509615 144.579706)
+ (xy 164.527273 144.573626)
+ (xy 164.766338 144.470916)
+ (xy 164.782903 144.462292)
+ (xy 165.00416 144.325373)
+ (xy 165.019269 144.314396)
+ (xy 165.217858 144.146278)
+ (xy 165.231178 144.133189)
+ (xy 165.402737 143.937564)
+ (xy 165.413976 143.922649)
+ (xy 165.554734 143.703815)
+ (xy 165.563645 143.687403)
+ (xy 165.670512 143.450167)
+ (xy 165.676899 143.432618)
+ (xy 165.747527 143.182192)
+ (xy 165.751251 143.163891)
+ (xy 165.784087 142.905777)
+ (xy 165.785051 142.893174)
+ (xy 165.787457 142.801298)
+ (xy 165.787154 142.788662)
+ (xy 165.767871 142.529183)
+ (xy 165.76511 142.510713)
+ (xy 165.707686 142.256933)
+ (xy 165.702226 142.239073)
+ (xy 165.607921 141.99657)
+ (xy 165.599881 141.979715)
+ (xy 165.470768 141.753814)
+ (xy 165.460325 141.738331)
+ (xy 165.29924 141.533995)
+ (xy 165.286623 141.520226)
+ (xy 165.097105 141.341945)
+ (xy 165.082592 141.330192)
+ (xy 164.868804 141.181882)
+ (xy 164.852712 141.172404)
+ (xy 164.61935 141.057323)
+ (xy 164.602035 141.050327)
+ (xy 164.354226 140.971003)
+ (xy 164.336067 140.966644)
+ (xy 164.079256 140.924819)
+ (xy 164.060651 140.923191)
+ (xy 163.800478 140.919785)
+ (xy 163.781838 140.920925)
+ (xy 163.52402 140.956013)
+ (xy 163.505753 140.959896)
+ (xy 163.255953 141.032706)
+ (xy 163.23846 141.039246)
+ (xy 163.178962 141.066675)
+ (xy 168.817421 135.428216)
+ (xy 168.824426 135.423693)
+ (xy 168.855028 135.395848)
+ (xy 168.874541 135.371096)
+ (xy 168.875852 135.369785)
+ (xy 168.889271 135.353949)
+ (xy 168.901031 135.337493)
+ (xy 168.932382 135.297724)
+ (xy 168.942161 135.279936)
+ (xy 168.944213 135.277065)
+ (xy 168.948083 135.269165)
+ (xy 168.952313 135.261471)
+ (xy 168.95348 135.258148)
+ (xy 168.962414 135.239911)
+ (xy 168.976929 135.191375)
+ (xy 168.993714 135.143579)
+ (xy 169.000361 135.112703)
+ (xy 169.001027 135.105013)
+ (xy 169.001492 135.094261)
+ (xy 169.0034 135.079155)
+ (xy 169.001497 135.030719)
+ (xy 169.001499 133.869963)
+ (xy 169.001499 117.920228)
+ (xy 186.961636 99.960091)
+ (xy 193.119247 99.960091)
+ (xy 193.125238 100.084816)
+ (xy 193.13173 100.219987)
+ (xy 193.134006 100.238524)
+ (xy 193.184768 100.493719)
+ (xy 193.189759 100.511714)
+ (xy 193.277683 100.756603)
+ (xy 193.285279 100.773664)
+ (xy 193.408434 101.002867)
+ (xy 193.418468 101.018618)
+ (xy 193.574149 101.227101)
+ (xy 193.586401 101.241195)
+ (xy 193.771188 101.424376)
+ (xy 193.785389 101.436505)
+ (xy 193.995222 101.59036)
+ (xy 194.011059 101.600256)
+ (xy 194.241328 101.721407)
+ (xy 194.258455 101.728854)
+ (xy 194.504102 101.814638)
+ (xy 194.522141 101.819472)
+ (xy 194.77777 101.868004)
+ (xy 194.796325 101.870118)
+ (xy 195.056319 101.880334)
+ (xy 195.074983 101.879682)
+ (xy 195.333631 101.851355)
+ (xy 195.351994 101.847952)
+ (xy 195.603615 101.781706)
+ (xy 195.621273 101.775626)
+ (xy 195.860338 101.672916)
+ (xy 195.876903 101.664292)
+ (xy 196.09816 101.527373)
+ (xy 196.113269 101.516396)
+ (xy 196.311858 101.348278)
+ (xy 196.325178 101.335189)
+ (xy 196.496737 101.139564)
+ (xy 196.507976 101.124649)
+ (xy 196.648734 100.905815)
+ (xy 196.657645 100.889403)
+ (xy 196.764512 100.652167)
+ (xy 196.770899 100.634618)
+ (xy 196.841527 100.384192)
+ (xy 196.845251 100.365891)
+ (xy 196.878087 100.107777)
+ (xy 196.879051 100.095174)
+ (xy 196.881457 100.003298)
+ (xy 196.881154 99.990662)
+ (xy 196.861871 99.731183)
+ (xy 196.85911 99.712713)
+ (xy 196.801686 99.458933)
+ (xy 196.796226 99.441073)
+ (xy 196.701921 99.19857)
+ (xy 196.693881 99.181715)
+ (xy 196.564768 98.955814)
+ (xy 196.554325 98.940331)
+ (xy 196.39324 98.735995)
+ (xy 196.380623 98.722226)
+ (xy 196.191105 98.543945)
+ (xy 196.176592 98.532192)
+ (xy 195.962804 98.383882)
+ (xy 195.946712 98.374404)
+ (xy 195.71335 98.259323)
+ (xy 195.696035 98.252327)
+ (xy 195.448226 98.173003)
+ (xy 195.430067 98.168644)
+ (xy 195.173256 98.126819)
+ (xy 195.154651 98.125191)
+ (xy 194.894478 98.121785)
+ (xy 194.875838 98.122925)
+ (xy 194.61802 98.158013)
+ (xy 194.599753 98.161896)
+ (xy 194.349953 98.234706)
+ (xy 194.33246 98.241246)
+ (xy 194.096166 98.350179)
+ (xy 194.079832 98.359233)
+ (xy 193.862234 98.501896)
+ (xy 193.847418 98.513265)
+ (xy 193.653298 98.686524)
+ (xy 193.640325 98.699958)
+ (xy 193.473946 98.900007)
+ (xy 193.463101 98.915211)
+ (xy 193.328119 99.137655)
+ (xy 193.319641 99.154295)
+ (xy 193.219021 99.394247)
+ (xy 193.213095 99.411957)
+ (xy 193.149047 99.664146)
+ (xy 193.145804 99.682537)
+ (xy 193.119736 99.941422)
+ (xy 193.119247 99.960091)
+ (xy 186.961636 99.960091)
+ (xy 197.065728 89.856)
+ (xy 197.454234 89.856)
+ (xy 197.481017 89.85312)
+ (xy 197.656913 89.814856)
+ (xy 197.69825 89.797734)
+ (xy 197.812885 89.724063)
+ (xy 197.83999 89.700577)
+ (xy 197.929225 89.597594)
+ (xy 197.948614 89.567424)
+ (xy 198.002891 89.448573)
+ (xy 198.010144 89.481913)
+ (xy 198.027266 89.52325)
+ (xy 198.100937 89.637885)
+ (xy 198.124423 89.66499)
+ (xy 198.227406 89.754225)
+ (xy 198.257576 89.773614)
+ (xy 198.381529 89.830221)
+ (xy 198.415939 89.840324)
+ (xy 198.516043 89.854717)
+ (xy 198.533975 89.856)
+ (xy 199.029234 89.856)
+ (xy 199.056017 89.85312)
+ (xy 199.231913 89.814856)
+ (xy 199.27325 89.797734)
+ (xy 199.387885 89.724063)
+ (xy 199.41499 89.700577)
+ (xy 199.504225 89.597594)
+ (xy 199.523614 89.567424)
+ (xy 199.55372 89.5015)
+ (xy 199.647405 89.5015)
+ (xy 199.655557 89.503255)
+ (xy 199.696886 89.505204)
+ (xy 199.72818 89.5015)
+ (xy 199.730039 89.5015)
+ (xy 199.750728 89.49979)
+ (xy 199.770664 89.496472)
+ (xy 199.820966 89.490518)
+ (xy 199.840464 89.484853)
+ (xy 199.843938 89.484275)
+ (xy 199.852255 89.481428)
+ (xy 199.8607 89.478974)
+ (xy 199.863876 89.477449)
+ (xy 199.883083 89.470873)
+ (xy 199.927686 89.446806)
+ (xy 199.973331 89.424888)
+ (xy 199.999855 89.407764)
+ (xy 200.005764 89.402798)
+ (xy 200.013704 89.395515)
+ (xy 200.025736 89.386183)
+ (xy 200.058649 89.350578)
+ (xy 202.71742 86.691807)
+ (xy 202.724428 86.687282)
+ (xy 202.755031 86.659436)
+ (xy 202.774547 86.63468)
+ (xy 202.775854 86.633373)
+ (xy 202.789274 86.617535)
+ (xy 202.801009 86.601113)
+ (xy 202.832385 86.561313)
+ (xy 202.842171 86.543512)
+ (xy 202.844214 86.540653)
+ (xy 202.848074 86.532775)
+ (xy 202.852318 86.525054)
+ (xy 202.853487 86.521725)
+ (xy 202.862416 86.503499)
+ (xy 202.876926 86.45498)
+ (xy 202.893717 86.407167)
+ (xy 202.900364 86.376291)
+ (xy 202.90103 86.368601)
+ (xy 202.901495 86.357835)
+ (xy 202.903402 86.342744)
+ (xy 202.9015 86.294331)
+ (xy 202.9015 80.707727)
+ (xy 204.31742 79.291807)
+ (xy 204.324428 79.287282)
+ (xy 204.355031 79.259436)
+ (xy 204.374547 79.23468)
+ (xy 204.375854 79.233373)
+ (xy 204.389273 79.217537)
+ (xy 204.401023 79.201095)
+ (xy 204.432385 79.161312)
+ (xy 204.44217 79.143515)
+ (xy 204.444214 79.140654)
+ (xy 204.44807 79.132782)
+ (xy 204.452317 79.125058)
+ (xy 204.453488 79.121722)
+ (xy 204.462416 79.103499)
+ (xy 204.476925 79.054983)
+ (xy 204.493717 79.007167)
+ (xy 204.500364 78.976291)
+ (xy 204.50103 78.968601)
+ (xy 204.501495 78.957835)
+ (xy 204.503402 78.942744)
+ (xy 204.5015 78.894331)
+ (xy 204.5015 75.813963)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 144.171233 128.17329)
+ (xy 144.18348 128.189932)
+ (xy 144.203383 128.211275)
+ (xy 144.242169 128.244226)
+ (xy 144.248047 128.250104)
+ (xy 144.258962 128.259821)
+ (xy 144.281713 128.277822)
+ (xy 144.336737 128.324567)
+ (xy 144.361009 128.340755)
+ (xy 144.361707 128.341112)
+ (xy 144.362331 128.341605)
+ (xy 144.387157 128.356936)
+ (xy 144.452556 128.387501)
+ (xy 144.516852 128.420333)
+ (xy 144.544208 128.430507)
+ (xy 144.544967 128.430693)
+ (xy 144.545676 128.431024)
+ (xy 144.573369 128.440236)
+ (xy 144.644033 128.454933)
+ (xy 144.71417 128.472096)
+ (xy 144.736318 128.475465)
+ (xy 144.74894 128.476248)
+ (xy 144.773747 128.478462)
+ (xy 144.846272 128.4765)
+ (xy 147.836943 128.4765)
+ (xy 148.161001 128.800558)
+ (xy 148.161001 128.880691)
+ (xy 148.149063 128.862115)
+ (xy 148.125577 128.83501)
+ (xy 148.022594 128.745775)
+ (xy 147.992424 128.726386)
+ (xy 147.868471 128.669779)
+ (xy 147.834061 128.659676)
+ (xy 147.733957 128.645283)
+ (xy 147.716025 128.644)
+ (xy 147.7125 128.644)
+ (xy 147.644379 128.664002)
+ (xy 147.597886 128.717658)
+ (xy 147.5865 128.77)
+ (xy 147.586499 129.250001)
+ (xy 147.5865 129.250004)
+ (xy 147.586499 130.228202)
+ (xy 147.606501 130.296323)
+ (xy 147.660157 130.342816)
+ (xy 147.739282 130.351322)
+ (xy 147.906913 130.314856)
+ (xy 147.94825 130.297734)
+ (xy 148.062885 130.224063)
+ (xy 148.08999 130.200577)
+ (xy 148.179225 130.097594)
+ (xy 148.188501 130.083161)
+ (xy 148.1885 130.651167)
+ (xy 148.165792 130.619913)
+ (xy 148.075087 130.529208)
+ (xy 148.043195 130.506036)
+ (xy 147.9289 130.4478)
+ (xy 147.891406 130.435618)
+ (xy 147.864709 130.43139)
+ (xy 147.794298 130.44049)
+ (xy 147.739985 130.486213)
+ (xy 147.719 130.555839)
+ (xy 147.718999 131.425001)
+ (xy 147.719 131.425004)
+ (xy 147.718999 132.794162)
+ (xy 147.739001 132.862283)
+ (xy 147.792657 132.908776)
+ (xy 147.864712 132.91861)
+ (xy 147.89141 132.914381)
+ (xy 147.9289 132.9022)
+ (xy 148.043195 132.843964)
+ (xy 148.075087 132.820792)
+ (xy 148.165792 132.730087)
+ (xy 148.188964 132.698195)
+ (xy 148.23 132.617657)
+ (xy 148.271036 132.698195)
+ (xy 148.294208 132.730087)
+ (xy 148.384913 132.820792)
+ (xy 148.416805 132.843964)
+ (xy 148.5311 132.9022)
+ (xy 148.568592 132.914382)
+ (xy 148.663721 132.929449)
+ (xy 148.683432 132.931)
+ (xy 149.046568 132.931)
+ (xy 149.066279 132.929449)
+ (xy 149.161408 132.914382)
+ (xy 149.1989 132.9022)
+ (xy 149.313195 132.843964)
+ (xy 149.345087 132.820792)
+ (xy 149.435792 132.730087)
+ (xy 149.458964 132.698195)
+ (xy 149.5 132.617657)
+ (xy 149.541036 132.698195)
+ (xy 149.564208 132.730087)
+ (xy 149.654913 132.820792)
+ (xy 149.686805 132.843964)
+ (xy 149.8011 132.9022)
+ (xy 149.838592 132.914382)
+ (xy 149.933721 132.929449)
+ (xy 149.953432 132.931)
+ (xy 150.316568 132.931)
+ (xy 150.336279 132.929449)
+ (xy 150.431408 132.914382)
+ (xy 150.4689 132.9022)
+ (xy 150.583195 132.843964)
+ (xy 150.615087 132.820792)
+ (xy 150.705792 132.730087)
+ (xy 150.728964 132.698195)
+ (xy 150.77 132.617657)
+ (xy 150.811036 132.698195)
+ (xy 150.834208 132.730087)
+ (xy 150.924913 132.820792)
+ (xy 150.956805 132.843964)
+ (xy 151.0711 132.9022)
+ (xy 151.108594 132.914382)
+ (xy 151.135291 132.91861)
+ (xy 151.205702 132.90951)
+ (xy 151.260015 132.863787)
+ (xy 151.281 132.794161)
+ (xy 151.281001 131.924999)
+ (xy 151.281 131.924996)
+ (xy 151.281 131.425001)
+ (xy 151.528999 131.425001)
+ (xy 151.549001 131.493122)
+ (xy 151.602657 131.539615)
+ (xy 151.654999 131.551001)
+ (xy 151.96 131.551001)
+ (xy 152.028121 131.530999)
+ (xy 152.074614 131.477343)
+ (xy 152.086 131.425001)
+ (xy 152.086 130.918432)
+ (xy 152.084449 130.898721)
+ (xy 152.069382 130.803592)
+ (xy 152.0572 130.7661)
+ (xy 151.998964 130.651805)
+ (xy 151.975792 130.619913)
+ (xy 151.885087 130.529208)
+ (xy 151.853195 130.506036)
+ (xy 151.7389 130.4478)
+ (xy 151.701406 130.435618)
+ (xy 151.674709 130.43139)
+ (xy 151.604298 130.44049)
+ (xy 151.549985 130.486213)
+ (xy 151.529 130.555839)
+ (xy 151.528999 131.425001)
+ (xy 151.281 131.425001)
+ (xy 151.281001 130.555838)
+ (xy 151.260999 130.487717)
+ (xy 151.207343 130.441224)
+ (xy 151.135288 130.43139)
+ (xy 151.10859 130.435619)
+ (xy 151.0711 130.4478)
+ (xy 150.956805 130.506036)
+ (xy 150.924913 130.529208)
+ (xy 150.834208 130.619913)
+ (xy 150.8115 130.651166)
+ (xy 150.8115 130.357714)
+ (xy 150.813214 130.356)
+ (xy 150.954234 130.356)
+ (xy 150.981017 130.35312)
+ (xy 151.156913 130.314856)
+ (xy 151.19825 130.297734)
+ (xy 151.312885 130.224063)
+ (xy 151.33999 130.200577)
+ (xy 151.429225 130.097594)
+ (xy 151.448614 130.067424)
+ (xy 151.502891 129.948573)
+ (xy 151.510144 129.981913)
+ (xy 151.527266 130.02325)
+ (xy 151.600937 130.137885)
+ (xy 151.624423 130.16499)
+ (xy 151.727406 130.254225)
+ (xy 151.757576 130.273614)
+ (xy 151.881529 130.330221)
+ (xy 151.915939 130.340324)
+ (xy 152.016043 130.354717)
+ (xy 152.033975 130.356)
+ (xy 152.0375 130.356)
+ (xy 152.105621 130.335998)
+ (xy 152.152114 130.282342)
+ (xy 152.1635 130.23)
+ (xy 152.1635 129.75)
+ (xy 152.4115 129.75)
+ (xy 152.4115 130.228202)
+ (xy 152.431502 130.296323)
+ (xy 152.485158 130.342816)
+ (xy 152.564283 130.351322)
+ (xy 152.731913 130.314856)
+ (xy 152.77325 130.297734)
+ (xy 152.887885 130.224063)
+ (xy 152.91499 130.200577)
+ (xy 153.004225 130.097594)
+ (xy 153.023614 130.067424)
+ (xy 153.080221 129.943471)
+ (xy 153.090324 129.909061)
+ (xy 153.104717 129.808957)
+ (xy 153.106 129.791025)
+ (xy 153.106 129.75)
+ (xy 153.085998 129.681879)
+ (xy 153.032342 129.635386)
+ (xy 152.98 129.624)
+ (xy 152.5375 129.624)
+ (xy 152.469379 129.644002)
+ (xy 152.422886 129.697658)
+ (xy 152.4115 129.75)
+ (xy 152.1635 129.75)
+ (xy 152.1635 128.771798)
+ (xy 152.162973 128.77)
+ (xy 152.4115 128.77)
+ (xy 152.4115 129.25)
+ (xy 152.431502 129.318121)
+ (xy 152.485158 129.364614)
+ (xy 152.5375 129.376)
+ (xy 152.98 129.376)
+ (xy 153.048121 129.355998)
+ (xy 153.094614 129.302342)
+ (xy 153.106 129.25)
+ (xy 153.106 129.220766)
+ (xy 153.10312 129.193983)
+ (xy 153.064856 129.018087)
+ (xy 153.047734 128.97675)
+ (xy 152.974063 128.862115)
+ (xy 152.950577 128.83501)
+ (xy 152.847594 128.745775)
+ (xy 152.817424 128.726386)
+ (xy 152.693471 128.669779)
+ (xy 152.659061 128.659676)
+ (xy 152.558957 128.645283)
+ (xy 152.541025 128.644)
+ (xy 152.5375 128.644)
+ (xy 152.469379 128.664002)
+ (xy 152.422886 128.717658)
+ (xy 152.4115 128.77)
+ (xy 152.162973 128.77)
+ (xy 152.143498 128.703677)
+ (xy 152.089842 128.657184)
+ (xy 152.010717 128.648678)
+ (xy 151.843087 128.685144)
+ (xy 151.80175 128.702266)
+ (xy 151.687115 128.775937)
+ (xy 151.66001 128.799423)
+ (xy 151.570775 128.902406)
+ (xy 151.551386 128.932576)
+ (xy 151.497109 129.051427)
+ (xy 151.489856 129.018087)
+ (xy 151.472734 128.97675)
+ (xy 151.399063 128.862115)
+ (xy 151.375577 128.83501)
+ (xy 151.272594 128.745775)
+ (xy 151.242424 128.726386)
+ (xy 151.118471 128.669779)
+ (xy 151.084061 128.659676)
+ (xy 150.983957 128.645283)
+ (xy 150.966025 128.644)
+ (xy 150.470766 128.644)
+ (xy 150.443983 128.64688)
+ (xy 150.268087 128.685144)
+ (xy 150.22675 128.702266)
+ (xy 150.112115 128.775937)
+ (xy 150.08501 128.799423)
+ (xy 149.995775 128.902406)
+ (xy 149.976386 128.932576)
+ (xy 149.919779 129.056529)
+ (xy 149.914 129.076212)
+ (xy 149.914 128.503239)
+ (xy 149.917107 128.482816)
+ (xy 149.917327 128.4765)
+ (xy 155.436943 128.4765)
+ (xy 156.323501 129.363058)
+ (xy 156.3235 143.106942)
+ (xy 154.764336 144.666107)
+ (xy 154.759871 144.633515)
+ (xy 154.750674 144.600574)
+ (xy 154.690792 144.462195)
+ (xy 154.673075 144.432942)
+ (xy 154.578186 144.315764)
+ (xy 154.553255 144.292352)
+ (xy 154.43035 144.205008)
+ (xy 154.400042 144.189163)
+ (xy 154.258176 144.138088)
+ (xy 154.224723 144.130977)
+ (xy 154.074348 144.119934)
+ (xy 154.040215 144.122082)
+ (xy 153.89241 144.151885)
+ (xy 153.860112 144.163132)
+ (xy 153.725766 144.231585)
+ (xy 153.697683 144.251104)
+ (xy 153.586694 144.353163)
+ (xy 153.564894 144.379514)
+ (xy 153.485439 144.507661)
+ (xy 153.480538 144.518669)
+ (xy 153.478186 144.515764)
+ (xy 153.453255 144.492352)
+ (xy 153.33035 144.405008)
+ (xy 153.300042 144.389163)
+ (xy 153.158176 144.338088)
+ (xy 153.124723 144.330977)
+ (xy 152.974348 144.319934)
+ (xy 152.940215 144.322082)
+ (xy 152.79241 144.351885)
+ (xy 152.760112 144.363132)
+ (xy 152.625766 144.431585)
+ (xy 152.597683 144.451104)
+ (xy 152.486694 144.553163)
+ (xy 152.464894 144.579514)
+ (xy 152.385439 144.707661)
+ (xy 152.371528 144.738905)
+ (xy 152.329462 144.883698)
+ (xy 152.324466 144.917531)
+ (xy 152.32395 144.966823)
+ (xy 150.992274 146.2985)
+ (xy 147.607727 146.2985)
+ (xy 146.145455 144.836229)
+ (xy 146.145434 144.836206)
+ (xy 145.991805 144.682578)
+ (xy 145.987282 144.675573)
+ (xy 145.959436 144.64497)
+ (xy 145.93468 144.625454)
+ (xy 145.933372 144.624146)
+ (xy 145.917536 144.610727)
+ (xy 145.901102 144.598983)
+ (xy 145.861313 144.567616)
+ (xy 145.843524 144.557837)
+ (xy 145.840658 144.555789)
+ (xy 145.832749 144.551914)
+ (xy 145.82505 144.547682)
+ (xy 145.821734 144.546518)
+ (xy 145.803499 144.537584)
+ (xy 145.754963 144.523069)
+ (xy 145.707167 144.506284)
+ (xy 145.676291 144.499637)
+ (xy 145.668601 144.498971)
+ (xy 145.657849 144.498506)
+ (xy 145.642743 144.496598)
+ (xy 145.594306 144.498501)
+ (xy 141.749776 144.498499)
+ (xy 141.690792 144.362195)
+ (xy 141.673075 144.332942)
+ (xy 141.578186 144.215764)
+ (xy 141.553255 144.192352)
+ (xy 141.43035 144.105008)
+ (xy 141.400042 144.089163)
+ (xy 141.258176 144.038088)
+ (xy 141.224723 144.030977)
+ (xy 141.074348 144.019934)
+ (xy 141.040215 144.022082)
+ (xy 140.89241 144.051885)
+ (xy 140.860112 144.063132)
+ (xy 140.725766 144.131585)
+ (xy 140.697683 144.151104)
+ (xy 140.586694 144.253163)
+ (xy 140.564894 144.279514)
+ (xy 140.485439 144.407661)
+ (xy 140.471528 144.438905)
+ (xy 140.429462 144.583698)
+ (xy 140.424466 144.617532)
+ (xy 140.422887 144.768304)
+ (xy 140.427173 144.802234)
+ (xy 140.466198 144.947876)
+ (xy 140.479451 144.979404)
+ (xy 140.490744 144.9985)
+ (xy 140.237753 144.9985)
+ (xy 139.49549 144.256238)
+ (xy 139.486183 144.244239)
+ (xy 139.450566 144.211315)
+ (xy 139.425463 144.186212)
+ (xy 139.425458 144.186206)
+ (xy 136.765772 141.52652)
+ (xy 148.558795 141.52652)
+ (xy 148.566947 141.528275)
+ (xy 148.608276 141.530224)
+ (xy 148.63957 141.52652)
+ (xy 148.641429 141.52652)
+ (xy 148.662118 141.52481)
+ (xy 148.682054 141.521492)
+ (xy 148.732356 141.515538)
+ (xy 148.751854 141.509873)
+ (xy 148.755328 141.509295)
+ (xy 148.763645 141.506448)
+ (xy 148.77209 141.503994)
+ (xy 148.775266 141.502469)
+ (xy 148.794473 141.495893)
+ (xy 148.839076 141.471826)
+ (xy 148.884721 141.449908)
+ (xy 148.911245 141.432784)
+ (xy 148.917154 141.427818)
+ (xy 148.925094 141.420535)
+ (xy 148.937126 141.411203)
+ (xy 148.970039 141.375598)
+ (xy 149.632429 140.713208)
+ (xy 149.639437 140.708683)
+ (xy 149.67004 140.680837)
+ (xy 149.689556 140.656081)
+ (xy 149.690863 140.654774)
+ (xy 149.704283 140.638936)
+ (xy 149.716018 140.622514)
+ (xy 149.747394 140.582714)
+ (xy 149.75718 140.564913)
+ (xy 149.759223 140.562054)
+ (xy 149.763083 140.554176)
+ (xy 149.767327 140.546455)
+ (xy 149.768496 140.543126)
+ (xy 149.777425 140.5249)
+ (xy 149.791935 140.476381)
+ (xy 149.808726 140.428568)
+ (xy 149.815373 140.397692)
+ (xy 149.816039 140.390002)
+ (xy 149.816504 140.379236)
+ (xy 149.818411 140.364145)
+ (xy 149.816509 140.315732)
+ (xy 149.816509 138.992719)
+ (xy 150.312059 138.497169)
+ (xy 151.285565 139.470675)
+ (xy 151.324766 139.513306)
+ (xy 151.351119 139.535107)
+ (xy 151.388091 139.55803)
+ (xy 151.422727 139.584321)
+ (xy 151.452526 139.601112)
+ (xy 151.466492 139.606641)
+ (xy 151.479263 139.61456)
+ (xy 151.510509 139.628472)
+ (xy 151.552277 139.640607)
+ (xy 151.592714 139.656617)
+ (xy 151.625928 139.664775)
+ (xy 151.640872 139.666346)
+ (xy 151.655302 139.670538)
+ (xy 151.681228 139.675203)
+ (xy 151.694288 139.676162)
+ (xy 151.703515 139.6765)
+ (xy 151.737482 139.6765)
+ (xy 151.775881 139.680536)
+ (xy 151.810065 139.679461)
+ (xy 151.821623 139.677506)
+ (xy 151.859274 139.745994)
+ (xy 151.877839 139.771546)
+ (xy 151.990319 139.891324)
+ (xy 152.014655 139.911456)
+ (xy 152.153388 139.999499)
+ (xy 152.181966 140.012947)
+ (xy 152.338236 140.063722)
+ (xy 152.36926 140.06964)
+ (xy 152.533247 140.079958)
+ (xy 152.564769 140.077975)
+ (xy 152.726171 140.047186)
+ (xy 152.75621 140.037426)
+ (xy 152.904883 139.967465)
+ (xy 152.931549 139.950542)
+ (xy 153.058154 139.845806)
+ (xy 153.079775 139.822782)
+ (xy 153.176355 139.689851)
+ (xy 153.191571 139.662173)
+ (xy 153.252058 139.509399)
+ (xy 153.259912 139.478808)
+ (xy 153.280506 139.315792)
+ (xy 153.280506 139.284208)
+ (xy 153.259912 139.121192)
+ (xy 153.252058 139.090601)
+ (xy 153.191571 138.937827)
+ (xy 153.176355 138.910149)
+ (xy 153.079775 138.777218)
+ (xy 153.058154 138.754194)
+ (xy 152.931549 138.649458)
+ (xy 152.904883 138.632535)
+ (xy 152.75621 138.562574)
+ (xy 152.72617 138.552814)
+ (xy 152.705605 138.548891)
+ (xy 152.686039 138.529325)
+ (xy 152.646838 138.486694)
+ (xy 152.620485 138.464893)
+ (xy 152.583513 138.44197)
+ (xy 152.548877 138.415679)
+ (xy 152.51908 138.398889)
+ (xy 152.505112 138.393359)
+ (xy 152.492339 138.385439)
+ (xy 152.461096 138.371528)
+ (xy 152.419319 138.35939)
+ (xy 152.378888 138.343383)
+ (xy 152.345676 138.335225)
+ (xy 152.330731 138.333654)
+ (xy 152.316301 138.329462)
+ (xy 152.290376 138.324797)
+ (xy 152.277316 138.323838)
+ (xy 152.268089 138.3235)
+ (xy 152.234114 138.3235)
+ (xy 152.195722 138.319465)
+ (xy 152.161539 138.320539)
+ (xy 152.144032 138.3235)
+ (xy 152.051818 138.3235)
+ (xy 151.76647 138.038152)
+ (xy 151.853195 137.993964)
+ (xy 151.885087 137.970792)
+ (xy 151.975792 137.880087)
+ (xy 151.998964 137.848195)
+ (xy 152.0572 137.7339)
+ (xy 152.069382 137.696408)
+ (xy 152.084449 137.601279)
+ (xy 152.086 137.581568)
+ (xy 152.086 137.5015)
+ (xy 152.894 137.5015)
+ (xy 152.894 137.529234)
+ (xy 152.89688 137.556017)
+ (xy 152.935144 137.731913)
+ (xy 152.952266 137.77325)
+ (xy 153.025937 137.887885)
+ (xy 153.049423 137.91499)
+ (xy 153.152406 138.004225)
+ (xy 153.182576 138.023614)
+ (xy 153.306529 138.080221)
+ (xy 153.340939 138.090324)
+ (xy 153.441043 138.104717)
+ (xy 153.458975 138.106)
+ (xy 154.029234 138.106)
+ (xy 154.056017 138.10312)
+ (xy 154.231913 138.064856)
+ (xy 154.27325 138.047734)
+ (xy 154.387885 137.974063)
+ (xy 154.41499 137.950577)
+ (xy 154.504225 137.847594)
+ (xy 154.523614 137.817424)
+ (xy 154.580221 137.693471)
+ (xy 154.590324 137.659061)
+ (xy 154.604717 137.558957)
+ (xy 154.606 137.541025)
+ (xy 154.606 137.045766)
+ (xy 154.60312 137.018983)
+ (xy 154.564856 136.843087)
+ (xy 154.547734 136.80175)
+ (xy 154.474063 136.687115)
+ (xy 154.450577 136.66001)
+ (xy 154.347594 136.570775)
+ (xy 154.317424 136.551386)
+ (xy 154.198573 136.497109)
+ (xy 154.231913 136.489856)
+ (xy 154.27325 136.472734)
+ (xy 154.387885 136.399063)
+ (xy 154.41499 136.375577)
+ (xy 154.504225 136.272594)
+ (xy 154.523614 136.242424)
+ (xy 154.580221 136.118471)
+ (xy 154.590324 136.084061)
+ (xy 154.604717 135.983957)
+ (xy 154.606 135.966025)
+ (xy 154.606 135.9625)
+ (xy 154.585998 135.894379)
+ (xy 154.532342 135.847886)
+ (xy 154.48 135.8365)
+ (xy 153.999999 135.836499)
+ (xy 153.999996 135.8365)
+ (xy 153.021798 135.836499)
+ (xy 152.953677 135.856501)
+ (xy 152.907184 135.910157)
+ (xy 152.898678 135.989282)
+ (xy 152.933314 136.1485)
+ (xy 152.086 136.1485)
+ (xy 152.086 136.068432)
+ (xy 152.084449 136.048721)
+ (xy 152.069382 135.953592)
+ (xy 152.0572 135.9161)
+ (xy 151.998964 135.801805)
+ (xy 151.975792 135.769913)
+ (xy 151.9065 135.700621)
+ (xy 151.9065 135.458975)
+ (xy 152.894 135.458975)
+ (xy 152.894 135.4625)
+ (xy 152.914002 135.530621)
+ (xy 152.967658 135.577114)
+ (xy 153.02 135.5885)
+ (xy 153.500001 135.588501)
+ (xy 153.568122 135.568499)
+ (xy 153.614615 135.514844)
+ (xy 153.626001 135.462501)
+ (xy 153.626001 135.02)
+ (xy 153.874 135.02)
+ (xy 153.874 135.4625)
+ (xy 153.894002 135.530621)
+ (xy 153.947658 135.577114)
+ (xy 154 135.5885)
+ (xy 154.478202 135.588501)
+ (xy 154.546323 135.568499)
+ (xy 154.592816 135.514844)
+ (xy 154.601322 135.435718)
+ (xy 154.564856 135.268087)
+ (xy 154.547734 135.22675)
+ (xy 154.474063 135.112115)
+ (xy 154.450577 135.08501)
+ (xy 154.347594 134.995775)
+ (xy 154.317424 134.976386)
+ (xy 154.193471 134.919779)
+ (xy 154.159061 134.909676)
+ (xy 154.058957 134.895283)
+ (xy 154.041025 134.894)
+ (xy 154 134.894)
+ (xy 153.931879 134.914002)
+ (xy 153.885386 134.967658)
+ (xy 153.874 135.02)
+ (xy 153.626001 135.02)
+ (xy 153.605999 134.951879)
+ (xy 153.552343 134.905386)
+ (xy 153.500001 134.894)
+ (xy 153.470766 134.894)
+ (xy 153.443983 134.89688)
+ (xy 153.268087 134.935144)
+ (xy 153.22675 134.952266)
+ (xy 153.112115 135.025937)
+ (xy 153.08501 135.049423)
+ (xy 152.995775 135.152406)
+ (xy 152.976386 135.182576)
+ (xy 152.919779 135.306529)
+ (xy 152.909676 135.340939)
+ (xy 152.895283 135.441043)
+ (xy 152.894 135.458975)
+ (xy 151.9065 135.458975)
+ (xy 151.9065 135.057595)
+ (xy 151.908255 135.049443)
+ (xy 151.910204 135.008114)
+ (xy 151.9065 134.976819)
+ (xy 151.9065 134.974961)
+ (xy 151.90479 134.954271)
+ (xy 151.901471 134.934331)
+ (xy 151.895518 134.884034)
+ (xy 151.889853 134.864534)
+ (xy 151.889274 134.861057)
+ (xy 151.886427 134.852743)
+ (xy 151.883976 134.844305)
+ (xy 151.882451 134.841128)
+ (xy 151.875873 134.821917)
+ (xy 151.85181 134.777322)
+ (xy 151.829888 134.731668)
+ (xy 151.812757 134.705136)
+ (xy 151.807791 134.699228)
+ (xy 151.800512 134.691292)
+ (xy 151.791183 134.679265)
+ (xy 151.755603 134.646375)
+ (xy 151.310727 134.2015)
+ (xy 151.547405 134.2015)
+ (xy 151.555557 134.203255)
+ (xy 151.596886 134.205204)
+ (xy 151.62818 134.2015)
+ (xy 151.630039 134.2015)
+ (xy 151.650728 134.19979)
+ (xy 151.670664 134.196472)
+ (xy 151.720966 134.190518)
+ (xy 151.740464 134.184853)
+ (xy 151.743938 134.184275)
+ (xy 151.752255 134.181428)
+ (xy 151.7607 134.178974)
+ (xy 151.763876 134.177449)
+ (xy 151.783083 134.170873)
+ (xy 151.827686 134.146806)
+ (xy 151.873331 134.124888)
+ (xy 151.899855 134.107764)
+ (xy 151.905764 134.102798)
+ (xy 151.913704 134.095515)
+ (xy 151.925736 134.086183)
+ (xy 151.958649 134.050578)
+ (xy 152.535424 133.473803)
+ (xy 152.633247 133.479958)
+ (xy 152.664769 133.477975)
+ (xy 152.826171 133.447186)
+ (xy 152.85621 133.437426)
+ (xy 153.004883 133.367465)
+ (xy 153.031549 133.350542)
+ (xy 153.158154 133.245806)
+ (xy 153.179775 133.222782)
+ (xy 153.276355 133.089851)
+ (xy 153.291571 133.062173)
+ (xy 153.352058 132.909399)
+ (xy 153.359912 132.878808)
+ (xy 153.380506 132.715792)
+ (xy 153.380506 132.684208)
+ (xy 153.359912 132.521192)
+ (xy 153.352058 132.490601)
+ (xy 153.291571 132.337827)
+ (xy 153.276355 132.310149)
+ (xy 153.179775 132.177218)
+ (xy 153.158154 132.154194)
+ (xy 153.031549 132.049458)
+ (xy 153.004883 132.032535)
+ (xy 152.85621 131.962574)
+ (xy 152.826171 131.952814)
+ (xy 152.664769 131.922025)
+ (xy 152.633247 131.920042)
+ (xy 152.46926 131.93036)
+ (xy 152.438236 131.936278)
+ (xy 152.281966 131.987053)
+ (xy 152.253388 132.000501)
+ (xy 152.114655 132.088544)
+ (xy 152.090319 132.108676)
+ (xy 152.086 132.113275)
+ (xy 152.086 131.925)
+ (xy 152.065998 131.856879)
+ (xy 152.012342 131.810386)
+ (xy 151.96 131.799)
+ (xy 151.655 131.799)
+ (xy 151.586879 131.819002)
+ (xy 151.540386 131.872658)
+ (xy 151.529 131.925)
+ (xy 151.528999 132.794162)
+ (xy 151.549001 132.862283)
+ (xy 151.602657 132.908776)
+ (xy 151.672469 132.918304)
+ (xy 151.392273 133.1985)
+ (xy 145.807728 133.1985)
+ (xy 145.191809 132.582582)
+ (xy 145.187282 132.575571)
+ (xy 145.159436 132.544969)
+ (xy 145.134675 132.525449)
+ (xy 145.133372 132.524146)
+ (xy 145.117533 132.510725)
+ (xy 145.101113 132.498992)
+ (xy 145.061314 132.467616)
+ (xy 145.04352 132.457834)
+ (xy 145.04066 132.45579)
+ (xy 145.032772 132.451926)
+ (xy 145.025049 132.44768)
+ (xy 145.02172 132.446511)
+ (xy 145.003498 132.437584)
+ (xy 144.954995 132.423079)
+ (xy 144.907168 132.406283)
+ (xy 144.876291 132.399636)
+ (xy 144.868601 132.39897)
+ (xy 144.857834 132.398505)
+ (xy 144.842743 132.396598)
+ (xy 144.794331 132.3985)
+ (xy 144.152595 132.3985)
+ (xy 144.144443 132.396745)
+ (xy 144.103115 132.394796)
+ (xy 144.071818 132.3985)
+ (xy 144.069961 132.3985)
+ (xy 144.049271 132.40021)
+ (xy 144.029341 132.403528)
+ (xy 143.979035 132.409481)
+ (xy 143.959537 132.415146)
+ (xy 143.956064 132.415724)
+ (xy 143.947746 132.418572)
+ (xy 143.939299 132.421026)
+ (xy 143.936123 132.422551)
+ (xy 143.916916 132.429127)
+ (xy 143.872338 132.45318)
+ (xy 143.826669 132.47511)
+ (xy 143.800127 132.49225)
+ (xy 143.794219 132.497217)
+ (xy 143.786292 132.504488)
+ (xy 143.774265 132.513817)
+ (xy 143.741375 132.549397)
+ (xy 142.892273 133.3985)
+ (xy 140.607728 133.3985)
+ (xy 140.476498 133.267271)
+ (xy 140.476498 132.843662)
+ (xy 140.538236 132.863722)
+ (xy 140.56926 132.86964)
+ (xy 140.733247 132.879958)
+ (xy 140.764769 132.877975)
+ (xy 140.926171 132.847186)
+ (xy 140.95621 132.837426)
+ (xy 141.104883 132.767465)
+ (xy 141.131549 132.750542)
+ (xy 141.258154 132.645806)
+ (xy 141.279775 132.622782)
+ (xy 141.376355 132.489851)
+ (xy 141.391571 132.462173)
+ (xy 141.452058 132.309399)
+ (xy 141.459912 132.278808)
+ (xy 141.480506 132.115792)
+ (xy 141.480506 132.084207)
+ (xy 141.47429 132.035006)
+ (xy 141.474546 132.034681)
+ (xy 141.475853 132.033374)
+ (xy 141.489272 132.017538)
+ (xy 141.501022 132.001096)
+ (xy 141.532384 131.961313)
+ (xy 141.542169 131.943516)
+ (xy 141.544213 131.940655)
+ (xy 141.548069 131.932783)
+ (xy 141.552316 131.925059)
+ (xy 141.553487 131.921723)
+ (xy 141.562415 131.9035)
+ (xy 141.576924 131.854984)
+ (xy 141.593716 131.807168)
+ (xy 141.600363 131.776292)
+ (xy 141.601029 131.768602)
+ (xy 141.601494 131.757836)
+ (xy 141.603401 131.742745)
+ (xy 141.601499 131.694332)
+ (xy 141.601499 130.299226)
+ (xy 141.603254 130.291074)
+ (xy 141.605203 130.249745)
+ (xy 141.601499 130.21845)
+ (xy 141.601499 130.216592)
+ (xy 141.599789 130.195902)
+ (xy 141.59647 130.175962)
+ (xy 141.590517 130.125665)
+ (xy 141.584852 130.106165)
+ (xy 141.584273 130.102688)
+ (xy 141.581427 130.094377)
+ (xy 141.578975 130.085936)
+ (xy 141.577448 130.082757)
+ (xy 141.570871 130.063547)
+ (xy 141.546819 130.018971)
+ (xy 141.524889 129.973301)
+ (xy 141.507749 129.946758)
+ (xy 141.502782 129.94085)
+ (xy 141.495514 129.932927)
+ (xy 141.487546 129.922654)
+ (xy 141.604806 129.867475)
+ (xy 141.631472 129.850552)
+ (xy 141.758077 129.745816)
+ (xy 141.779698 129.722792)
+ (xy 141.780521 129.72166)
+ (xy 141.843798 129.761817)
+ (xy 141.872376 129.775265)
+ (xy 142.028646 129.82604)
+ (xy 142.056174 129.83169)
+ (xy 142.056185 129.831691)
+ (xy 142.059689 129.83185)
+ (xy 142.059682 129.831959)
+ (xy 142.223669 129.842277)
+ (xy 142.255191 129.840294)
+ (xy 142.416593 129.809505)
+ (xy 142.446632 129.799745)
+ (xy 142.595305 129.729784)
+ (xy 142.621971 129.712861)
+ (xy 142.748576 129.608125)
+ (xy 142.770197 129.585101)
+ (xy 142.866777 129.45217)
+ (xy 142.881993 129.424492)
+ (xy 142.891866 129.399554)
+ (xy 142.898501 129.406189)
+ (xy 142.8985 130.835334)
+ (xy 142.814655 130.888544)
+ (xy 142.790319 130.908676)
+ (xy 142.677839 131.028454)
+ (xy 142.659274 131.054006)
+ (xy 142.580117 131.197993)
+ (xy 142.568491 131.227359)
+ (xy 142.527628 131.386509)
+ (xy 142.523669 131.417844)
+ (xy 142.523669 131.582156)
+ (xy 142.527628 131.613491)
+ (xy 142.568491 131.772641)
+ (xy 142.580117 131.802007)
+ (xy 142.659274 131.945994)
+ (xy 142.677839 131.971546)
+ (xy 142.790319 132.091324)
+ (xy 142.814655 132.111456)
+ (xy 142.953388 132.199499)
+ (xy 142.981966 132.212947)
+ (xy 143.138236 132.263722)
+ (xy 143.16926 132.26964)
+ (xy 143.333247 132.279958)
+ (xy 143.364769 132.277975)
+ (xy 143.526171 132.247186)
+ (xy 143.55621 132.237426)
+ (xy 143.704883 132.167465)
+ (xy 143.731549 132.150542)
+ (xy 143.858154 132.045806)
+ (xy 143.879775 132.022782)
+ (xy 143.950818 131.924999)
+ (xy 146.914 131.924999)
+ (xy 146.914 132.431568)
+ (xy 146.915551 132.451279)
+ (xy 146.930618 132.546408)
+ (xy 146.9428 132.5839)
+ (xy 147.001036 132.698195)
+ (xy 147.024208 132.730087)
+ (xy 147.114913 132.820792)
+ (xy 147.146805 132.843964)
+ (xy 147.2611 132.9022)
+ (xy 147.298594 132.914382)
+ (xy 147.325291 132.91861)
+ (xy 147.395702 132.90951)
+ (xy 147.450015 132.863787)
+ (xy 147.471 132.794161)
+ (xy 147.471001 131.924999)
+ (xy 147.450999 131.856878)
+ (xy 147.397343 131.810385)
+ (xy 147.345001 131.798999)
+ (xy 147.04 131.798999)
+ (xy 146.971879 131.819001)
+ (xy 146.925386 131.872657)
+ (xy 146.914 131.924999)
+ (xy 143.950818 131.924999)
+ (xy 143.976355 131.889851)
+ (xy 143.991571 131.862173)
+ (xy 144.052058 131.709399)
+ (xy 144.059912 131.678808)
+ (xy 144.080506 131.515792)
+ (xy 144.080506 131.484208)
+ (xy 144.059912 131.321192)
+ (xy 144.052058 131.290601)
+ (xy 143.991571 131.137827)
+ (xy 143.976355 131.110149)
+ (xy 143.9015 131.00712)
+ (xy 143.9015 130.918432)
+ (xy 146.914 130.918432)
+ (xy 146.914 131.425)
+ (xy 146.934002 131.493121)
+ (xy 146.987658 131.539614)
+ (xy 147.04 131.551)
+ (xy 147.345 131.551)
+ (xy 147.413121 131.530998)
+ (xy 147.459614 131.477342)
+ (xy 147.471 131.425)
+ (xy 147.471001 130.555838)
+ (xy 147.450999 130.487717)
+ (xy 147.397343 130.441224)
+ (xy 147.325288 130.43139)
+ (xy 147.29859 130.435619)
+ (xy 147.2611 130.4478)
+ (xy 147.146805 130.506036)
+ (xy 147.114913 130.529208)
+ (xy 147.024208 130.619913)
+ (xy 147.001036 130.651805)
+ (xy 146.9428 130.7661)
+ (xy 146.930618 130.803592)
+ (xy 146.915551 130.898721)
+ (xy 146.914 130.918432)
+ (xy 143.9015 130.918432)
+ (xy 143.9015 129.749999)
+ (xy 146.644 129.749999)
+ (xy 146.644 129.779234)
+ (xy 146.64688 129.806017)
+ (xy 146.685144 129.981913)
+ (xy 146.702266 130.02325)
+ (xy 146.775937 130.137885)
+ (xy 146.799423 130.16499)
+ (xy 146.902406 130.254225)
+ (xy 146.932576 130.273614)
+ (xy 147.056529 130.330221)
+ (xy 147.090939 130.340324)
+ (xy 147.191043 130.354717)
+ (xy 147.208975 130.356)
+ (xy 147.2125 130.356)
+ (xy 147.280621 130.335998)
+ (xy 147.327114 130.282342)
+ (xy 147.3385 130.23)
+ (xy 147.338501 129.749999)
+ (xy 147.318499 129.681878)
+ (xy 147.264844 129.635385)
+ (xy 147.212501 129.623999)
+ (xy 146.77 129.623999)
+ (xy 146.701879 129.644001)
+ (xy 146.655386 129.697657)
+ (xy 146.644 129.749999)
+ (xy 143.9015 129.749999)
+ (xy 143.9015 129.251056)
+ (xy 143.903255 129.242904)
+ (xy 143.904855 129.208975)
+ (xy 146.644 129.208975)
+ (xy 146.644 129.25)
+ (xy 146.664002 129.318121)
+ (xy 146.717658 129.364614)
+ (xy 146.77 129.376)
+ (xy 147.2125 129.376)
+ (xy 147.280621 129.355998)
+ (xy 147.327114 129.302342)
+ (xy 147.3385 129.25)
+ (xy 147.338501 128.771798)
+ (xy 147.318499 128.703677)
+ (xy 147.264844 128.657184)
+ (xy 147.185718 128.648678)
+ (xy 147.018087 128.685144)
+ (xy 146.97675 128.702266)
+ (xy 146.862115 128.775937)
+ (xy 146.83501 128.799423)
+ (xy 146.745775 128.902406)
+ (xy 146.726386 128.932576)
+ (xy 146.669779 129.056529)
+ (xy 146.659676 129.090939)
+ (xy 146.645283 129.191043)
+ (xy 146.644 129.208975)
+ (xy 143.904855 129.208975)
+ (xy 143.905204 129.201575)
+ (xy 143.9015 129.17028)
+ (xy 143.9015 129.168422)
+ (xy 143.89979 129.147732)
+ (xy 143.896471 129.127792)
+ (xy 143.890518 129.077495)
+ (xy 143.884853 129.057995)
+ (xy 143.884274 129.054518)
+ (xy 143.881428 129.046207)
+ (xy 143.878976 129.037766)
+ (xy 143.877449 129.034587)
+ (xy 143.870872 129.015377)
+ (xy 143.84682 128.970801)
+ (xy 143.82489 128.925131)
+ (xy 143.80775 128.898588)
+ (xy 143.802783 128.89268)
+ (xy 143.795515 128.884757)
+ (xy 143.786183 128.872725)
+ (xy 143.750591 128.839824)
+ (xy 143.150158 128.239392)
+ (xy 143.15621 128.237426)
+ (xy 143.304883 128.167465)
+ (xy 143.331549 128.150542)
+ (xy 143.458154 128.045806)
+ (xy 143.479775 128.022782)
+ (xy 143.576355 127.889851)
+ (xy 143.591571 127.862173)
+ (xy 143.652058 127.709399)
+ (xy 143.659912 127.678808)
+ (xy 143.661801 127.663858)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 131.846827 134.031704)
+ (xy 131.878882 134.043625)
+ (xy 132.026031 134.076517)
+ (xy 132.060111 134.079379)
+ (xy 132.122703 134.076099)
+ (xy 132.141199 134.145127)
+ (xy 132.154452 134.176655)
+ (xy 132.231205 134.306438)
+ (xy 132.252449 134.333241)
+ (xy 132.361276 134.437602)
+ (xy 132.388945 134.457704)
+ (xy 132.521828 134.528955)
+ (xy 132.553883 134.540876)
+ (xy 132.701032 134.573768)
+ (xy 132.735112 134.57663)
+ (xy 132.885685 134.568739)
+ (xy 132.91928 134.562331)
+ (xy 133.057598 134.515782)
+ (xy 133.066198 134.547876)
+ (xy 133.079451 134.579404)
+ (xy 133.156204 134.709187)
+ (xy 133.177448 134.73599)
+ (xy 133.286275 134.840351)
+ (xy 133.313944 134.860453)
+ (xy 133.446827 134.931704)
+ (xy 133.478882 134.943625)
+ (xy 133.626031 134.976517)
+ (xy 133.660111 134.979379)
+ (xy 133.810684 134.971488)
+ (xy 133.844279 134.96508)
+ (xy 133.987184 134.916987)
+ (xy 134.017818 134.90178)
+ (xy 134.142525 134.817029)
+ (xy 134.16794 134.794145)
+ (xy 134.265262 134.67898)
+ (xy 134.283588 134.650103)
+ (xy 134.346355 134.513008)
+ (xy 134.35624 134.480268)
+ (xy 134.379827 134.331345)
+ (xy 134.381371 134.312955)
+ (xy 134.381493 134.301321)
+ (xy 134.380334 134.2829)
+ (xy 134.365398 134.173861)
+ (xy 134.410684 134.171488)
+ (xy 134.444279 134.16508)
+ (xy 134.587184 134.116987)
+ (xy 134.617818 134.10178)
+ (xy 134.700174 134.045811)
+ (xy 136.721793 136.06743)
+ (xy 136.726318 136.074438)
+ (xy 136.754164 136.105041)
+ (xy 136.77892 136.124557)
+ (xy 136.780227 136.125864)
+ (xy 136.796063 136.139283)
+ (xy 136.812505 136.151033)
+ (xy 136.852288 136.182395)
+ (xy 136.870085 136.19218)
+ (xy 136.872946 136.194224)
+ (xy 136.880818 136.19808)
+ (xy 136.888542 136.202327)
+ (xy 136.891878 136.203498)
+ (xy 136.910101 136.212426)
+ (xy 136.958617 136.226935)
+ (xy 137.006433 136.243727)
+ (xy 137.037309 136.250374)
+ (xy 137.044999 136.25104)
+ (xy 137.055765 136.251505)
+ (xy 137.070856 136.253412)
+ (xy 137.119269 136.25151)
+ (xy 143.763065 136.25151)
+ (xy 143.768491 136.272642)
+ (xy 143.780117 136.302007)
+ (xy 143.859274 136.445994)
+ (xy 143.877839 136.471546)
+ (xy 143.990319 136.591324)
+ (xy 144.014655 136.611456)
+ (xy 144.153388 136.699499)
+ (xy 144.181966 136.712947)
+ (xy 144.338236 136.763722)
+ (xy 144.36926 136.76964)
+ (xy 144.533247 136.779958)
+ (xy 144.564769 136.777975)
+ (xy 144.623669 136.766739)
+ (xy 144.623669 136.782156)
+ (xy 144.627628 136.813491)
+ (xy 144.668491 136.972641)
+ (xy 144.680117 137.002007)
+ (xy 144.759274 137.145994)
+ (xy 144.777839 137.171546)
+ (xy 144.890319 137.291324)
+ (xy 144.914655 137.311456)
+ (xy 145.053388 137.399499)
+ (xy 145.081966 137.412947)
+ (xy 145.238236 137.463722)
+ (xy 145.26926 137.46964)
+ (xy 145.433247 137.479958)
+ (xy 145.464769 137.477975)
+ (xy 145.626171 137.447186)
+ (xy 145.65621 137.437426)
+ (xy 145.804883 137.367465)
+ (xy 145.831549 137.350542)
+ (xy 145.958154 137.245806)
+ (xy 145.979775 137.222782)
+ (xy 146.076355 137.089851)
+ (xy 146.091571 137.062173)
+ (xy 146.152058 136.909399)
+ (xy 146.159912 136.878808)
+ (xy 146.180506 136.715792)
+ (xy 146.180506 136.684208)
+ (xy 146.159912 136.521192)
+ (xy 146.152058 136.490601)
+ (xy 146.091571 136.337827)
+ (xy 146.076355 136.310149)
+ (xy 145.979775 136.177218)
+ (xy 145.958154 136.154194)
+ (xy 145.9015 136.107326)
+ (xy 145.9015 135.777596)
+ (xy 145.903255 135.769444)
+ (xy 145.905204 135.728115)
+ (xy 145.9015 135.69682)
+ (xy 145.9015 135.694962)
+ (xy 145.89979 135.674272)
+ (xy 145.896471 135.654332)
+ (xy 145.890518 135.604035)
+ (xy 145.884853 135.584535)
+ (xy 145.884274 135.581058)
+ (xy 145.881427 135.572744)
+ (xy 145.878976 135.564306)
+ (xy 145.877451 135.561129)
+ (xy 145.870873 135.541918)
+ (xy 145.84681 135.497323)
+ (xy 145.824888 135.451669)
+ (xy 145.807757 135.425137)
+ (xy 145.802791 135.419229)
+ (xy 145.795515 135.411297)
+ (xy 145.786183 135.399265)
+ (xy 145.750595 135.366368)
+ (xy 145.341807 134.957581)
+ (xy 145.33728 134.95057)
+ (xy 145.309434 134.919968)
+ (xy 145.284673 134.900448)
+ (xy 145.28337 134.899145)
+ (xy 145.267531 134.885724)
+ (xy 145.251111 134.873991)
+ (xy 145.211312 134.842615)
+ (xy 145.193518 134.832833)
+ (xy 145.190658 134.830789)
+ (xy 145.18277 134.826925)
+ (xy 145.182468 134.826759)
+ (xy 145.307727 134.7015)
+ (xy 147.449552 134.7015)
+ (xy 147.416514 134.761596)
+ (xy 147.404888 134.790962)
+ (xy 147.388501 134.854785)
+ (xy 147.124325 135.118961)
+ (xy 147.081694 135.158162)
+ (xy 147.059893 135.184516)
+ (xy 147.036977 135.221476)
+ (xy 147.010679 135.256122)
+ (xy 146.993889 135.285921)
+ (xy 146.98836 135.299886)
+ (xy 146.980439 135.312661)
+ (xy 146.966528 135.343905)
+ (xy 146.95439 135.385682)
+ (xy 146.938383 135.426113)
+ (xy 146.930225 135.459324)
+ (xy 146.928654 135.47427)
+ (xy 146.924462 135.4887)
+ (xy 146.919797 135.514625)
+ (xy 146.918838 135.527685)
+ (xy 146.9185 135.536912)
+ (xy 146.9185 135.570885)
+ (xy 146.914465 135.609278)
+ (xy 146.915539 135.64346)
+ (xy 146.9185 135.660967)
+ (xy 146.9185 136.030102)
+ (xy 146.915551 136.048723)
+ (xy 146.914 136.068432)
+ (xy 146.914 137.581568)
+ (xy 146.915551 137.601279)
+ (xy 146.930618 137.696408)
+ (xy 146.9428 137.7339)
+ (xy 147.001036 137.848195)
+ (xy 147.024208 137.880087)
+ (xy 147.090096 137.945975)
+ (xy 147.089796 137.952341)
+ (xy 147.093501 137.983644)
+ (xy 147.093501 137.985494)
+ (xy 147.095211 138.00618)
+ (xy 147.098524 138.026084)
+ (xy 147.104482 138.076422)
+ (xy 147.110149 138.095926)
+ (xy 147.110725 138.099386)
+ (xy 147.113567 138.107687)
+ (xy 147.116029 138.116161)
+ (xy 147.117556 138.119341)
+ (xy 147.124128 138.138538)
+ (xy 147.148186 138.183124)
+ (xy 147.170112 138.228786)
+ (xy 147.187236 138.25531)
+ (xy 147.192202 138.261219)
+ (xy 147.199492 138.269168)
+ (xy 147.208818 138.28119)
+ (xy 147.244397 138.314079)
+ (xy 147.7985 138.868182)
+ (xy 147.798501 139.623501)
+ (xy 140.246475 139.623501)
+ (xy 140.252058 139.609399)
+ (xy 140.259912 139.578808)
+ (xy 140.280506 139.415792)
+ (xy 140.280506 139.384208)
+ (xy 140.259912 139.221192)
+ (xy 140.252058 139.190601)
+ (xy 140.191571 139.037827)
+ (xy 140.176355 139.010149)
+ (xy 140.079775 138.877218)
+ (xy 140.058154 138.854194)
+ (xy 139.931549 138.749458)
+ (xy 139.904883 138.732535)
+ (xy 139.75621 138.662574)
+ (xy 139.726171 138.652814)
+ (xy 139.564769 138.622025)
+ (xy 139.533247 138.620042)
+ (xy 139.36926 138.63036)
+ (xy 139.338236 138.636278)
+ (xy 139.181966 138.687053)
+ (xy 139.153388 138.700501)
+ (xy 139.014655 138.788544)
+ (xy 138.990319 138.808676)
+ (xy 138.905968 138.8985)
+ (xy 136.683394 138.8985)
+ (xy 131.78165 133.996756)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 130.260431 130.003651)
+ (xy 130.224889 130.013174)
+ (xy 130.204094 130.023999)
+ (xy 130.110096 130.023999)
+ (xy 130.041975 130.044001)
+ (xy 129.995482 130.097657)
+ (xy 129.988389 130.18261)
+ (xy 130.004275 130.241897)
+ (xy 130.001084 130.247423)
+ (xy 129.986117 130.288543)
+ (xy 129.970914 130.374764)
+ (xy 129.969 130.396644)
+ (xy 129.969 130.59366)
+ (xy 129.973293 130.626271)
+ (xy 130.013174 130.775111)
+ (xy 130.03836 130.823491)
+ (xy 130.113145 130.912616)
+ (xy 130.146666 130.940744)
+ (xy 130.247423 130.998916)
+ (xy 130.288543 131.013883)
+ (xy 130.374764 131.029086)
+ (xy 130.396644 131.031)
+ (xy 131.76866 131.031)
+ (xy 131.801271 131.026707)
+ (xy 131.895346 131.0015)
+ (xy 132.042031 131.0015)
+ (xy 132.001084 131.072423)
+ (xy 131.986117 131.113543)
+ (xy 131.970914 131.199764)
+ (xy 131.969 131.221644)
+ (xy 131.969 132.59366)
+ (xy 131.973293 132.626271)
+ (xy 131.998501 132.720349)
+ (xy 131.998501 132.730493)
+ (xy 131.89241 132.751885)
+ (xy 131.860112 132.763132)
+ (xy 131.725766 132.831585)
+ (xy 131.697683 132.851104)
+ (xy 131.586694 132.953163)
+ (xy 131.564894 132.979514)
+ (xy 131.485439 133.107661)
+ (xy 131.471528 133.138905)
+ (xy 131.429462 133.283698)
+ (xy 131.424466 133.317532)
+ (xy 131.422887 133.468304)
+ (xy 131.427173 133.502234)
+ (xy 131.466198 133.647876)
+ (xy 131.479451 133.679404)
+ (xy 131.501384 133.716491)
+ (xy 129.927362 132.142469)
+ (xy 129.927362 131.529604)
+ (xy 129.929117 131.521452)
+ (xy 129.931066 131.480123)
+ (xy 129.927362 131.448828)
+ (xy 129.927362 131.44697)
+ (xy 129.925652 131.42628)
+ (xy 129.922333 131.40634)
+ (xy 129.91638 131.356043)
+ (xy 129.910715 131.336543)
+ (xy 129.910136 131.333066)
+ (xy 129.907289 131.324752)
+ (xy 129.904838 131.316314)
+ (xy 129.903313 131.313137)
+ (xy 129.896735 131.293926)
+ (xy 129.872672 131.249331)
+ (xy 129.85075 131.203677)
+ (xy 129.833618 131.177144)
+ (xy 129.828651 131.171235)
+ (xy 129.821375 131.163302)
+ (xy 129.812045 131.151274)
+ (xy 129.776465 131.118384)
+ (xy 129.440662 130.782582)
+ (xy 129.436135 130.775571)
+ (xy 129.408289 130.744969)
+ (xy 129.383528 130.725449)
+ (xy 129.382225 130.724146)
+ (xy 129.366386 130.710725)
+ (xy 129.349966 130.698992)
+ (xy 129.310167 130.667616)
+ (xy 129.292373 130.657834)
+ (xy 129.289513 130.65579)
+ (xy 129.281625 130.651926)
+ (xy 129.273902 130.64768)
+ (xy 129.270573 130.646511)
+ (xy 129.252351 130.637584)
+ (xy 129.203848 130.623079)
+ (xy 129.194028 130.61963)
+ (xy 129.304883 130.567465)
+ (xy 129.331549 130.550542)
+ (xy 129.458154 130.445806)
+ (xy 129.479775 130.422782)
+ (xy 129.576355 130.289851)
+ (xy 129.591571 130.262173)
+ (xy 129.652058 130.109399)
+ (xy 129.659912 130.078808)
+ (xy 129.669678 130.0015)
+ (xy 130.254522 130.0015)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 138.329274 125.741897)
+ (xy 138.326084 125.747423)
+ (xy 138.311117 125.788543)
+ (xy 138.295914 125.874764)
+ (xy 138.294 125.896644)
+ (xy 138.294 126.09366)
+ (xy 138.298293 126.126271)
+ (xy 138.329274 126.241897)
+ (xy 138.326084 126.247423)
+ (xy 138.311117 126.288543)
+ (xy 138.295914 126.374764)
+ (xy 138.294 126.396644)
+ (xy 138.294 126.59366)
+ (xy 138.298293 126.626271)
+ (xy 138.329274 126.741897)
+ (xy 138.326084 126.747423)
+ (xy 138.311117 126.788545)
+ (xy 138.304139 126.828122)
+ (xy 138.312009 126.898681)
+ (xy 138.356777 126.953784)
+ (xy 138.428225 126.976)
+ (xy 138.532732 126.976)
+ (xy 138.572423 126.998916)
+ (xy 138.585431 127.003651)
+ (xy 138.549889 127.013174)
+ (xy 138.529094 127.023999)
+ (xy 138.435096 127.023999)
+ (xy 138.366975 127.044001)
+ (xy 138.320482 127.097657)
+ (xy 138.313389 127.18261)
+ (xy 138.329275 127.241897)
+ (xy 138.326084 127.247423)
+ (xy 138.311117 127.288543)
+ (xy 138.295914 127.374764)
+ (xy 138.294 127.396644)
+ (xy 138.294 127.59366)
+ (xy 138.298293 127.626271)
+ (xy 138.329274 127.741897)
+ (xy 138.326084 127.747423)
+ (xy 138.311117 127.788543)
+ (xy 138.295914 127.874764)
+ (xy 138.294 127.896644)
+ (xy 138.294 128.09366)
+ (xy 138.298293 128.126271)
+ (xy 138.329274 128.241897)
+ (xy 138.326084 128.247423)
+ (xy 138.311117 128.288543)
+ (xy 138.295914 128.374764)
+ (xy 138.294 128.396644)
+ (xy 138.294 128.59366)
+ (xy 138.298293 128.626271)
+ (xy 138.329274 128.741897)
+ (xy 138.326084 128.747423)
+ (xy 138.311117 128.788543)
+ (xy 138.295914 128.874764)
+ (xy 138.294 128.896644)
+ (xy 138.294 129.09366)
+ (xy 138.298293 129.126271)
+ (xy 138.329274 129.241897)
+ (xy 138.326084 129.247423)
+ (xy 138.311117 129.288543)
+ (xy 138.295914 129.374764)
+ (xy 138.294 129.396644)
+ (xy 138.294 129.59366)
+ (xy 138.298293 129.626271)
+ (xy 138.329274 129.741897)
+ (xy 138.326084 129.747423)
+ (xy 138.311117 129.788543)
+ (xy 138.295914 129.874764)
+ (xy 138.294 129.896644)
+ (xy 138.294 129.9985)
+ (xy 137.880729 129.9985)
+ (xy 135.6015 127.719272)
+ (xy 135.6015 127.59288)
+ (xy 135.676355 127.489851)
+ (xy 135.691571 127.462173)
+ (xy 135.752058 127.309399)
+ (xy 135.759912 127.278808)
+ (xy 135.780506 127.115792)
+ (xy 135.780506 127.084208)
+ (xy 135.759912 126.921192)
+ (xy 135.752058 126.890601)
+ (xy 135.691571 126.737827)
+ (xy 135.676355 126.710149)
+ (xy 135.579775 126.577218)
+ (xy 135.558154 126.554194)
+ (xy 135.431549 126.449458)
+ (xy 135.404883 126.432535)
+ (xy 135.25621 126.362574)
+ (xy 135.226171 126.352814)
+ (xy 135.064769 126.322025)
+ (xy 135.033247 126.320042)
+ (xy 134.8765 126.329904)
+ (xy 134.8765 125.8765)
+ (xy 137.78919 125.8765)
+ (xy 137.847001 125.878923)
+ (xy 137.881049 125.875705)
+ (xy 137.92339 125.865774)
+ (xy 137.966485 125.859871)
+ (xy 137.999423 125.850675)
+ (xy 138.013219 125.844705)
+ (xy 138.027847 125.841274)
+ (xy 138.059774 125.829018)
+ (xy 138.097884 125.808067)
+ (xy 138.137804 125.790792)
+ (xy 138.167058 125.773076)
+ (xy 138.178741 125.763616)
+ (xy 138.191904 125.756379)
+ (xy 138.213536 125.741345)
+ (xy 138.223449 125.732788)
+ (xy 138.230211 125.726503)
+ (xy 138.254228 125.702486)
+ (xy 138.284236 125.678186)
+ (xy 138.305995 125.655016)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 188.798501 93.522721)
+ (xy 165.882585 116.438638)
+ (xy 165.875571 116.443167)
+ (xy 165.844969 116.471014)
+ (xy 165.825454 116.495769)
+ (xy 165.824146 116.497077)
+ (xy 165.810727 116.512913)
+ (xy 165.79898 116.529351)
+ (xy 165.767615 116.569138)
+ (xy 165.75783 116.586935)
+ (xy 165.755786 116.589796)
+ (xy 165.75193 116.597668)
+ (xy 165.747683 116.605392)
+ (xy 165.746512 116.608728)
+ (xy 165.737584 116.626951)
+ (xy 165.723075 116.675467)
+ (xy 165.706283 116.723283)
+ (xy 165.699636 116.754159)
+ (xy 165.69897 116.761849)
+ (xy 165.698505 116.772615)
+ (xy 165.696598 116.787706)
+ (xy 165.6985 116.836119)
+ (xy 165.698501 124.39227)
+ (xy 165.392273 124.6985)
+ (xy 146.907728 124.6985)
+ (xy 146.078462 123.869235)
+ (xy 146.059871 123.733515)
+ (xy 146.050674 123.700574)
+ (xy 145.990792 123.562195)
+ (xy 145.973075 123.532942)
+ (xy 145.878186 123.415764)
+ (xy 145.853255 123.392352)
+ (xy 145.73035 123.305008)
+ (xy 145.700042 123.289163)
+ (xy 145.558176 123.238088)
+ (xy 145.524723 123.230977)
+ (xy 145.374348 123.219934)
+ (xy 145.340215 123.222082)
+ (xy 145.19241 123.251885)
+ (xy 145.160112 123.263132)
+ (xy 145.025766 123.331585)
+ (xy 144.997683 123.351104)
+ (xy 144.886694 123.453163)
+ (xy 144.864894 123.479514)
+ (xy 144.785439 123.607661)
+ (xy 144.771528 123.638905)
+ (xy 144.729462 123.783698)
+ (xy 144.724466 123.817532)
+ (xy 144.722887 123.968304)
+ (xy 144.727173 124.002234)
+ (xy 144.766198 124.147876)
+ (xy 144.779451 124.179404)
+ (xy 144.856204 124.309187)
+ (xy 144.877448 124.33599)
+ (xy 144.986275 124.440351)
+ (xy 145.013944 124.460453)
+ (xy 145.146827 124.531704)
+ (xy 145.178882 124.543625)
+ (xy 145.326031 124.576517)
+ (xy 145.360111 124.579379)
+ (xy 145.369652 124.578879)
+ (xy 145.442724 124.651951)
+ (xy 145.426151 124.66347)
+ (xy 145.315162 124.765529)
+ (xy 145.293362 124.79188)
+ (xy 145.213907 124.920027)
+ (xy 145.199996 124.951271)
+ (xy 145.15793 125.096064)
+ (xy 145.152934 125.129898)
+ (xy 145.151355 125.28067)
+ (xy 145.155641 125.3146)
+ (xy 145.161649 125.337023)
+ (xy 145.107192 125.364771)
+ (xy 145.079109 125.38429)
+ (xy 145.031371 125.428187)
+ (xy 144.984709 125.395026)
+ (xy 144.954401 125.379181)
+ (xy 144.812535 125.328106)
+ (xy 144.779082 125.320995)
+ (xy 144.628707 125.309952)
+ (xy 144.594574 125.3121)
+ (xy 144.446769 125.341903)
+ (xy 144.414471 125.35315)
+ (xy 144.280125 125.421603)
+ (xy 144.252042 125.441122)
+ (xy 144.141053 125.543181)
+ (xy 144.119253 125.569532)
+ (xy 144.091442 125.614385)
+ (xy 143.6635 125.186443)
+ (xy 143.6635 124.75)
+ (xy 143.9115 124.75)
+ (xy 143.9115 125.228202)
+ (xy 143.931502 125.296323)
+ (xy 143.985158 125.342816)
+ (xy 144.064283 125.351322)
+ (xy 144.231913 125.314856)
+ (xy 144.27325 125.297734)
+ (xy 144.387885 125.224063)
+ (xy 144.41499 125.200577)
+ (xy 144.504225 125.097594)
+ (xy 144.523614 125.067424)
+ (xy 144.580221 124.943471)
+ (xy 144.590324 124.909061)
+ (xy 144.604717 124.808957)
+ (xy 144.606 124.791025)
+ (xy 144.606 124.75)
+ (xy 144.585998 124.681879)
+ (xy 144.532342 124.635386)
+ (xy 144.48 124.624)
+ (xy 144.0375 124.624)
+ (xy 143.969379 124.644002)
+ (xy 143.922886 124.697658)
+ (xy 143.9115 124.75)
+ (xy 143.6635 124.75)
+ (xy 143.6635 123.771798)
+ (xy 143.662973 123.77)
+ (xy 143.9115 123.77)
+ (xy 143.9115 124.25)
+ (xy 143.931502 124.318121)
+ (xy 143.985158 124.364614)
+ (xy 144.0375 124.376)
+ (xy 144.48 124.376)
+ (xy 144.548121 124.355998)
+ (xy 144.594614 124.302342)
+ (xy 144.606 124.25)
+ (xy 144.606 124.220766)
+ (xy 144.60312 124.193983)
+ (xy 144.564856 124.018087)
+ (xy 144.547734 123.97675)
+ (xy 144.474063 123.862115)
+ (xy 144.450577 123.83501)
+ (xy 144.347594 123.745775)
+ (xy 144.317424 123.726386)
+ (xy 144.193471 123.669779)
+ (xy 144.159061 123.659676)
+ (xy 144.058957 123.645283)
+ (xy 144.041025 123.644)
+ (xy 144.0375 123.644)
+ (xy 143.969379 123.664002)
+ (xy 143.922886 123.717658)
+ (xy 143.9115 123.77)
+ (xy 143.662973 123.77)
+ (xy 143.643498 123.703677)
+ (xy 143.589842 123.657184)
+ (xy 143.510717 123.648678)
+ (xy 143.343087 123.685144)
+ (xy 143.30175 123.702266)
+ (xy 143.187115 123.775937)
+ (xy 143.16001 123.799423)
+ (xy 143.070775 123.902406)
+ (xy 143.051386 123.932576)
+ (xy 142.997109 124.051426)
+ (xy 142.989857 124.018087)
+ (xy 142.989 124.016018)
+ (xy 142.989 122.97899)
+ (xy 143.002891 122.948573)
+ (xy 143.010144 122.981913)
+ (xy 143.027266 123.02325)
+ (xy 143.100937 123.137885)
+ (xy 143.124423 123.16499)
+ (xy 143.227406 123.254225)
+ (xy 143.257576 123.273614)
+ (xy 143.381529 123.330221)
+ (xy 143.415939 123.340324)
+ (xy 143.516043 123.354717)
+ (xy 143.533975 123.356)
+ (xy 143.5375 123.356)
+ (xy 143.605621 123.335998)
+ (xy 143.652114 123.282342)
+ (xy 143.6635 123.23)
+ (xy 143.6635 122.75)
+ (xy 143.9115 122.75)
+ (xy 143.9115 123.228202)
+ (xy 143.931502 123.296323)
+ (xy 143.985158 123.342816)
+ (xy 144.064283 123.351322)
+ (xy 144.231913 123.314856)
+ (xy 144.27325 123.297734)
+ (xy 144.387885 123.224063)
+ (xy 144.41499 123.200577)
+ (xy 144.504225 123.097594)
+ (xy 144.523614 123.067424)
+ (xy 144.580221 122.943471)
+ (xy 144.590324 122.909061)
+ (xy 144.604717 122.808957)
+ (xy 144.606 122.791025)
+ (xy 144.606 122.75)
+ (xy 144.585998 122.681879)
+ (xy 144.532342 122.635386)
+ (xy 144.48 122.624)
+ (xy 144.0375 122.624)
+ (xy 143.969379 122.644002)
+ (xy 143.922886 122.697658)
+ (xy 143.9115 122.75)
+ (xy 143.6635 122.75)
+ (xy 143.6635 121.771798)
+ (xy 143.662973 121.77)
+ (xy 143.9115 121.77)
+ (xy 143.9115 122.25)
+ (xy 143.931502 122.318121)
+ (xy 143.985158 122.364614)
+ (xy 144.0375 122.376)
+ (xy 144.48 122.376)
+ (xy 144.548121 122.355998)
+ (xy 144.594614 122.302342)
+ (xy 144.606 122.25)
+ (xy 144.606 122.220766)
+ (xy 144.60312 122.193983)
+ (xy 144.564856 122.018087)
+ (xy 144.547734 121.97675)
+ (xy 144.474063 121.862115)
+ (xy 144.450577 121.83501)
+ (xy 144.347594 121.745775)
+ (xy 144.317424 121.726386)
+ (xy 144.193471 121.669779)
+ (xy 144.159061 121.659676)
+ (xy 144.058957 121.645283)
+ (xy 144.041025 121.644)
+ (xy 144.0375 121.644)
+ (xy 143.969379 121.664002)
+ (xy 143.922886 121.717658)
+ (xy 143.9115 121.77)
+ (xy 143.662973 121.77)
+ (xy 143.643498 121.703677)
+ (xy 143.589842 121.657184)
+ (xy 143.510717 121.648678)
+ (xy 143.343087 121.685144)
+ (xy 143.30175 121.702266)
+ (xy 143.187115 121.775937)
+ (xy 143.16001 121.799423)
+ (xy 143.0794 121.892452)
+ (xy 143.072889 121.836606)
+ (xy 143.066176 121.808206)
+ (xy 143.006473 121.643727)
+ (xy 142.993406 121.617633)
+ (xy 142.989 121.610913)
+ (xy 142.989 121.577262)
+ (xy 143.061409 121.579917)
+ (xy 143.082036 121.578981)
+ (xy 143.318625 121.548673)
+ (xy 143.338822 121.54438)
+ (xy 143.567285 121.475838)
+ (xy 143.586511 121.468303)
+ (xy 143.800711 121.363367)
+ (xy 143.818446 121.352795)
+ (xy 144.012632 121.214284)
+ (xy 144.028404 121.200956)
+ (xy 144.197359 121.03259)
+ (xy 144.210742 121.016865)
+ (xy 144.34993 120.823164)
+ (xy 144.360564 120.805465)
+ (xy 144.466247 120.591632)
+ (xy 144.473849 120.572433)
+ (xy 144.543188 120.344211)
+ (xy 144.547551 120.324029)
+ (xy 144.578684 120.087547)
+ (xy 144.579724 120.07418)
+ (xy 144.581462 120.003079)
+ (xy 144.581076 119.989676)
+ (xy 144.561532 119.751955)
+ (xy 144.55816 119.731583)
+ (xy 144.500052 119.500246)
+ (xy 144.493398 119.4807)
+ (xy 144.398287 119.26196)
+ (xy 144.388529 119.243762)
+ (xy 144.25897 119.043494)
+ (xy 144.246372 119.027134)
+ (xy 144.085843 118.850715)
+ (xy 144.070741 118.836633)
+ (xy 143.883553 118.688801)
+ (xy 143.866355 118.677374)
+ (xy 143.7765 118.627771)
+ (xy 143.7765 116.521636)
+ (xy 143.821637 116.4765)
+ (xy 146.223501 116.4765)
+ (xy 146.2235 117.47691)
+ (xy 146.21531 117.483686)
+ (xy 146.171092 117.530773)
+ (xy 146.147936 117.553929)
+ (xy 146.137473 117.565796)
+ (xy 146.134008 117.570263)
+ (xy 146.10283 117.603464)
+ (xy 146.084266 117.629014)
+ (xy 146.074118 117.647472)
+ (xy 146.061213 117.66411)
+ (xy 146.045137 117.691293)
+ (xy 146.027049 117.733093)
+ (xy 146.005108 117.773003)
+ (xy 145.993481 117.80237)
+ (xy 145.988245 117.822763)
+ (xy 145.979881 117.842091)
+ (xy 145.971069 117.872422)
+ (xy 145.963943 117.917415)
+ (xy 145.952619 117.961518)
+ (xy 145.948909 117.984942)
+ (xy 145.94874 117.987628)
+ (xy 145.948491 117.99554)
+ (xy 145.948491 118.014973)
+ (xy 145.945365 118.03471)
+ (xy 145.944373 118.066279)
+ (xy 145.948491 118.109842)
+ (xy 145.94849 120.108312)
+ (xy 145.946462 120.129761)
+ (xy 145.94849 120.194295)
+ (xy 145.94849 120.227068)
+ (xy 145.949484 120.242861)
+ (xy 145.950192 120.248469)
+ (xy 145.951623 120.293991)
+ (xy 145.956564 120.325186)
+ (xy 145.962437 120.345402)
+ (xy 145.965077 120.366297)
+ (xy 145.972932 120.396888)
+ (xy 145.989698 120.439235)
+ (xy 146.002405 120.482972)
+ (xy 146.014949 120.51196)
+ (xy 146.025666 120.530081)
+ (xy 146.033418 120.549661)
+ (xy 146.048635 120.57734)
+ (xy 146.075407 120.614188)
+ (xy 146.09859 120.653389)
+ (xy 146.11253 120.672576)
+ (xy 146.11431 120.674595)
+ (xy 146.119729 120.680364)
+ (xy 146.133469 120.694104)
+ (xy 146.145215 120.710271)
+ (xy 146.166836 120.733295)
+ (xy 146.200553 120.761188)
+ (xy 146.719932 121.280567)
+ (xy 146.733676 121.297181)
+ (xy 146.780764 121.3414)
+ (xy 146.803918 121.364554)
+ (xy 146.815787 121.375019)
+ (xy 146.820254 121.378484)
+ (xy 146.853454 121.409661)
+ (xy 146.879007 121.428226)
+ (xy 146.897462 121.438371)
+ (xy 146.914097 121.451275)
+ (xy 146.941283 121.467352)
+ (xy 146.983072 121.485436)
+ (xy 147.022992 121.507382)
+ (xy 147.05236 121.51901)
+ (xy 147.07276 121.524248)
+ (xy 147.092079 121.532608)
+ (xy 147.12241 121.541421)
+ (xy 147.1674 121.548547)
+ (xy 147.211509 121.559872)
+ (xy 147.234932 121.563582)
+ (xy 147.237618 121.563751)
+ (xy 147.24553 121.564)
+ (xy 147.264969 121.564)
+ (xy 147.284699 121.567125)
+ (xy 147.316269 121.568117)
+ (xy 147.327666 121.56704)
+ (xy 147.356529 121.580221)
+ (xy 147.390939 121.590324)
+ (xy 147.491043 121.604717)
+ (xy 147.508975 121.606)
+ (xy 148.079234 121.606)
+ (xy 148.106017 121.60312)
+ (xy 148.281913 121.564856)
+ (xy 148.32325 121.547734)
+ (xy 148.437885 121.474063)
+ (xy 148.46499 121.450577)
+ (xy 148.554225 121.347594)
+ (xy 148.573614 121.317424)
+ (xy 148.630221 121.193471)
+ (xy 148.640324 121.159061)
+ (xy 148.654717 121.058957)
+ (xy 148.656 121.041025)
+ (xy 148.656 120.545766)
+ (xy 148.65312 120.518983)
+ (xy 148.614856 120.343087)
+ (xy 148.597734 120.30175)
+ (xy 148.524063 120.187115)
+ (xy 148.500577 120.16001)
+ (xy 148.397594 120.070775)
+ (xy 148.367424 120.051386)
+ (xy 148.248573 119.997109)
+ (xy 148.281913 119.989856)
+ (xy 148.28398 119.989)
+ (xy 149.52101 119.989)
+ (xy 149.551427 120.002891)
+ (xy 149.518087 120.010144)
+ (xy 149.47675 120.027266)
+ (xy 149.362115 120.100937)
+ (xy 149.33501 120.124423)
+ (xy 149.245775 120.227406)
+ (xy 149.226386 120.257576)
+ (xy 149.169779 120.381529)
+ (xy 149.159676 120.415939)
+ (xy 149.145283 120.516043)
+ (xy 149.144 120.533975)
+ (xy 149.144 121.029234)
+ (xy 149.14688 121.056017)
+ (xy 149.185144 121.231913)
+ (xy 149.202266 121.27325)
+ (xy 149.275937 121.387885)
+ (xy 149.299423 121.41499)
+ (xy 149.402406 121.504225)
+ (xy 149.432576 121.523614)
+ (xy 149.556529 121.580221)
+ (xy 149.590939 121.590324)
+ (xy 149.691043 121.604717)
+ (xy 149.708975 121.606)
+ (xy 149.720364 121.606)
+ (xy 150.432448 122.318085)
+ (xy 150.446186 122.334691)
+ (xy 150.493274 122.37891)
+ (xy 150.516428 122.402064)
+ (xy 150.528295 122.412527)
+ (xy 150.532761 122.415992)
+ (xy 150.540757 122.4235)
+ (xy 148.221051 122.4235)
+ (xy 148.131549 122.349458)
+ (xy 148.104883 122.332535)
+ (xy 147.95621 122.262574)
+ (xy 147.926171 122.252814)
+ (xy 147.764769 122.222025)
+ (xy 147.733247 122.220042)
+ (xy 147.56926 122.23036)
+ (xy 147.538236 122.236278)
+ (xy 147.381966 122.287053)
+ (xy 147.353388 122.300501)
+ (xy 147.214655 122.388544)
+ (xy 147.190319 122.408676)
+ (xy 147.077839 122.528454)
+ (xy 147.059274 122.554006)
+ (xy 146.980117 122.697993)
+ (xy 146.968491 122.727359)
+ (xy 146.927628 122.886509)
+ (xy 146.923669 122.917844)
+ (xy 146.923669 123.082156)
+ (xy 146.927628 123.113491)
+ (xy 146.968491 123.272641)
+ (xy 146.980117 123.302007)
+ (xy 147.059274 123.445994)
+ (xy 147.077839 123.471546)
+ (xy 147.190319 123.591324)
+ (xy 147.214655 123.611456)
+ (xy 147.353388 123.699499)
+ (xy 147.381966 123.712947)
+ (xy 147.538236 123.763722)
+ (xy 147.56926 123.76964)
+ (xy 147.733247 123.779958)
+ (xy 147.764769 123.777975)
+ (xy 147.926171 123.747186)
+ (xy 147.95621 123.737426)
+ (xy 148.104883 123.667465)
+ (xy 148.131549 123.650542)
+ (xy 148.221051 123.5765)
+ (xy 159.486487 123.5765)
+ (xy 159.525937 123.637885)
+ (xy 159.549423 123.66499)
+ (xy 159.652406 123.754225)
+ (xy 159.682576 123.773614)
+ (xy 159.806529 123.830221)
+ (xy 159.840939 123.840324)
+ (xy 159.941043 123.854717)
+ (xy 159.958975 123.856)
+ (xy 160.454234 123.856)
+ (xy 160.481017 123.85312)
+ (xy 160.656913 123.814856)
+ (xy 160.69825 123.797734)
+ (xy 160.812885 123.724063)
+ (xy 160.83999 123.700577)
+ (xy 160.929225 123.597594)
+ (xy 160.948614 123.567424)
+ (xy 161.002891 123.448573)
+ (xy 161.010144 123.481913)
+ (xy 161.027266 123.52325)
+ (xy 161.100937 123.637885)
+ (xy 161.124423 123.66499)
+ (xy 161.227406 123.754225)
+ (xy 161.257576 123.773614)
+ (xy 161.381529 123.830221)
+ (xy 161.415939 123.840324)
+ (xy 161.516043 123.854717)
+ (xy 161.533975 123.856)
+ (xy 162.029234 123.856)
+ (xy 162.056017 123.85312)
+ (xy 162.231913 123.814856)
+ (xy 162.27325 123.797734)
+ (xy 162.387885 123.724063)
+ (xy 162.41499 123.700577)
+ (xy 162.504225 123.597594)
+ (xy 162.523614 123.567424)
+ (xy 162.580221 123.443471)
+ (xy 162.590324 123.409061)
+ (xy 162.604717 123.308957)
+ (xy 162.606 123.291025)
+ (xy 162.606 122.720766)
+ (xy 162.60312 122.693983)
+ (xy 162.564856 122.518087)
+ (xy 162.547734 122.47675)
+ (xy 162.474063 122.362115)
+ (xy 162.467892 122.354993)
+ (xy 162.463855 122.347649)
+ (xy 162.437083 122.310801)
+ (xy 162.4139 122.2716)
+ (xy 162.39996 122.252413)
+ (xy 162.39818 122.250394)
+ (xy 162.392761 122.244625)
+ (xy 162.379021 122.230885)
+ (xy 162.367275 122.214718)
+ (xy 162.345654 122.191694)
+ (xy 162.311937 122.163801)
+ (xy 161.826 121.677864)
+ (xy 161.826 121.25)
+ (xy 162.073999 121.25)
+ (xy 162.073999 121.705)
+ (xy 162.094001 121.773121)
+ (xy 162.147657 121.819614)
+ (xy 162.199999 121.831)
+ (xy 162.35 121.831)
+ (xy 162.374582 121.828579)
+ (xy 162.472166 121.809168)
+ (xy 162.517586 121.790354)
+ (xy 162.600314 121.735077)
+ (xy 162.635077 121.700314)
+ (xy 162.690354 121.617586)
+ (xy 162.709168 121.572166)
+ (xy 162.728579 121.474582)
+ (xy 162.731 121.45)
+ (xy 162.731 121.25)
+ (xy 162.710998 121.181879)
+ (xy 162.657342 121.135386)
+ (xy 162.605 121.124)
+ (xy 162.199999 121.124)
+ (xy 162.131878 121.144002)
+ (xy 162.085385 121.197658)
+ (xy 162.073999 121.25)
+ (xy 161.826 121.25)
+ (xy 161.826 121.249999)
+ (xy 161.805998 121.181878)
+ (xy 161.752342 121.135385)
+ (xy 161.7 121.123999)
+ (xy 161.295 121.123999)
+ (xy 161.277324 121.129189)
+ (xy 160.831 120.682865)
+ (xy 160.831 120.55)
+ (xy 161.169 120.55)
+ (xy 161.169 120.75)
+ (xy 161.189002 120.818121)
+ (xy 161.242658 120.864614)
+ (xy 161.295 120.876)
+ (xy 161.700001 120.876)
+ (xy 161.768122 120.855998)
+ (xy 161.814615 120.802342)
+ (xy 161.826001 120.75)
+ (xy 161.826001 120.295)
+ (xy 162.074 120.295)
+ (xy 162.074 120.750001)
+ (xy 162.094002 120.818122)
+ (xy 162.147658 120.864615)
+ (xy 162.2 120.876001)
+ (xy 162.605 120.876001)
+ (xy 162.673121 120.855999)
+ (xy 162.719614 120.802343)
+ (xy 162.731 120.750001)
+ (xy 162.731 120.55)
+ (xy 162.728579 120.525418)
+ (xy 162.709168 120.427834)
+ (xy 162.690354 120.382414)
+ (xy 162.635077 120.299686)
+ (xy 162.600314 120.264923)
+ (xy 162.517586 120.209646)
+ (xy 162.472166 120.190832)
+ (xy 162.374582 120.171421)
+ (xy 162.35 120.169)
+ (xy 162.2 120.169)
+ (xy 162.131879 120.189002)
+ (xy 162.085386 120.242658)
+ (xy 162.074 120.295)
+ (xy 161.826001 120.295)
+ (xy 161.805999 120.226879)
+ (xy 161.752343 120.180386)
+ (xy 161.700001 120.169)
+ (xy 161.55 120.169)
+ (xy 161.525418 120.171421)
+ (xy 161.427834 120.190832)
+ (xy 161.382414 120.209646)
+ (xy 161.299686 120.264923)
+ (xy 161.264923 120.299686)
+ (xy 161.209646 120.382414)
+ (xy 161.190832 120.427834)
+ (xy 161.171421 120.525418)
+ (xy 161.169 120.55)
+ (xy 160.831 120.55)
+ (xy 160.828579 120.525418)
+ (xy 160.809168 120.427834)
+ (xy 160.790354 120.382414)
+ (xy 160.735077 120.299686)
+ (xy 160.700314 120.264923)
+ (xy 160.617586 120.209646)
+ (xy 160.572166 120.190832)
+ (xy 160.474582 120.171421)
+ (xy 160.45 120.169)
+ (xy 159.729136 120.169)
+ (xy 160.121637 119.7765)
+ (xy 160.41168 119.7765)
+ (xy 160.432414 119.790354)
+ (xy 160.477834 119.809168)
+ (xy 160.575418 119.828579)
+ (xy 160.6 119.831)
+ (xy 161.4 119.831)
+ (xy 161.424582 119.828579)
+ (xy 161.522166 119.809168)
+ (xy 161.567586 119.790354)
+ (xy 161.650314 119.735077)
+ (xy 161.685077 119.700314)
+ (xy 161.740354 119.617586)
+ (xy 161.759168 119.572166)
+ (xy 161.778579 119.474582)
+ (xy 161.781 119.45)
+ (xy 161.781 118.55)
+ (xy 161.778579 118.525419)
+ (xy 161.7765 118.514967)
+ (xy 161.7765 116.647503)
+ (xy 161.780506 116.615792)
+ (xy 161.780506 116.584208)
+ (xy 161.759912 116.421192)
+ (xy 161.752058 116.390601)
+ (xy 161.691571 116.237827)
+ (xy 161.676355 116.210149)
+ (xy 161.579775 116.077218)
+ (xy 161.558154 116.054194)
+ (xy 161.431549 115.949458)
+ (xy 161.404883 115.932535)
+ (xy 161.25621 115.862574)
+ (xy 161.226171 115.852814)
+ (xy 161.064769 115.822025)
+ (xy 161.041159 115.819793)
+ (xy 161.041158 115.819793)
+ (xy 161.033246 115.820042)
+ (xy 160.86926 115.83036)
+ (xy 160.838236 115.836278)
+ (xy 160.681966 115.887053)
+ (xy 160.653388 115.900501)
+ (xy 160.514655 115.988544)
+ (xy 160.490319 116.008676)
+ (xy 160.377839 116.128454)
+ (xy 160.359274 116.154006)
+ (xy 160.280117 116.297993)
+ (xy 160.268491 116.327359)
+ (xy 160.227628 116.486509)
+ (xy 160.224663 116.50998)
+ (xy 160.223918 116.509933)
+ (xy 160.223749 116.512619)
+ (xy 160.2235 116.520531)
+ (xy 160.223501 118.2235)
+ (xy 159.879174 118.2235)
+ (xy 159.85773 118.221473)
+ (xy 159.793215 118.2235)
+ (xy 159.760421 118.2235)
+ (xy 159.744634 118.224493)
+ (xy 159.739016 118.225202)
+ (xy 159.693498 118.226633)
+ (xy 159.662301 118.231574)
+ (xy 159.642083 118.237448)
+ (xy 159.621193 118.240087)
+ (xy 159.5906 118.247942)
+ (xy 159.548246 118.264711)
+ (xy 159.504516 118.277416)
+ (xy 159.475531 118.289959)
+ (xy 159.457412 118.300674)
+ (xy 159.437826 118.308429)
+ (xy 159.41015 118.323644)
+ (xy 159.373295 118.35042)
+ (xy 159.334099 118.373601)
+ (xy 159.314913 118.38754)
+ (xy 159.312894 118.38932)
+ (xy 159.307125 118.394739)
+ (xy 159.293385 118.408479)
+ (xy 159.277218 118.420225)
+ (xy 159.254193 118.441847)
+ (xy 159.2263 118.475565)
+ (xy 158.680068 119.021797)
+ (xy 158.6765 118.977938)
+ (xy 158.6765 115.963057)
+ (xy 166.450103 108.189454)
+ (xy 166.459821 108.178538)
+ (xy 166.5416 108.075174)
+ (xy 166.556935 108.050345)
+ (xy 166.631023 107.891824)
+ (xy 166.640235 107.864133)
+ (xy 166.641872 107.856263)
+ (xy 172.318079 102.180057)
+ (xy 172.334691 102.166314)
+ (xy 172.378909 102.119227)
+ (xy 172.402064 102.096072)
+ (xy 172.412527 102.084205)
+ (xy 172.415992 102.079739)
+ (xy 172.447171 102.046536)
+ (xy 172.465736 102.020984)
+ (xy 172.475881 102.00253)
+ (xy 172.488786 101.985893)
+ (xy 172.504863 101.958708)
+ (xy 172.522952 101.916907)
+ (xy 172.544893 101.876996)
+ (xy 172.556519 101.847631)
+ (xy 172.561755 101.827238)
+ (xy 172.570119 101.80791)
+ (xy 172.578931 101.77758)
+ (xy 172.586057 101.73259)
+ (xy 172.597382 101.688481)
+ (xy 172.601092 101.665058)
+ (xy 172.601261 101.662372)
+ (xy 172.60151 101.65446)
+ (xy 172.60151 101.635021)
+ (xy 172.604635 101.615291)
+ (xy 172.605627 101.583722)
+ (xy 172.60151 101.540169)
+ (xy 172.60151 96.980116)
+ (xy 172.603538 96.958667)
+ (xy 172.60151 96.894133)
+ (xy 172.60151 96.861359)
+ (xy 172.600516 96.845566)
+ (xy 172.599808 96.839958)
+ (xy 172.598377 96.794436)
+ (xy 172.593436 96.763239)
+ (xy 172.587562 96.743021)
+ (xy 172.584923 96.722131)
+ (xy 172.577068 96.691538)
+ (xy 172.560296 96.649179)
+ (xy 172.547594 96.605456)
+ (xy 172.535051 96.576469)
+ (xy 172.524334 96.558348)
+ (xy 172.516581 96.538765)
+ (xy 172.501366 96.511088)
+ (xy 172.474586 96.474228)
+ (xy 172.451409 96.435038)
+ (xy 172.43747 96.415851)
+ (xy 172.43569 96.413832)
+ (xy 172.430271 96.408063)
+ (xy 172.416531 96.394323)
+ (xy 172.404785 96.378156)
+ (xy 172.383164 96.355132)
+ (xy 172.349448 96.32724)
+ (xy 171.31664 95.294433)
+ (xy 171.302896 95.277819)
+ (xy 171.255793 95.233586)
+ (xy 171.232653 95.210446)
+ (xy 171.220783 95.199981)
+ (xy 171.216327 95.196524)
+ (xy 171.183118 95.165339)
+ (xy 171.157565 95.146774)
+ (xy 171.13911 95.136628)
+ (xy 171.122475 95.123725)
+ (xy 171.095287 95.107646)
+ (xy 171.053492 95.08956)
+ (xy 171.01358 95.067618)
+ (xy 170.984215 95.055991)
+ (xy 170.963816 95.050753)
+ (xy 170.944489 95.04239)
+ (xy 170.914161 95.033579)
+ (xy 170.86918 95.026455)
+ (xy 170.825062 95.015127)
+ (xy 170.80164 95.011418)
+ (xy 170.798954 95.011249)
+ (xy 170.791042 95.011)
+ (xy 170.771602 95.011)
+ (xy 170.751872 95.007875)
+ (xy 170.720303 95.006883)
+ (xy 170.67675 95.011)
+ (xy 170.089 95.011)
+ (xy 170.089 93.750557)
+ (xy 188.304634 75.534923)
+ (xy 188.433277 75.484508)
+ (xy 188.457395 75.471899)
+ (xy 188.61095 75.369103)
+ (xy 188.631799 75.351609)
+ (xy 188.759698 75.218237)
+ (xy 188.776303 75.196674)
+ (xy 188.7985 75.160309)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 104.27072 76.335176)
+ (xy 104.339715 76.351914)
+ (xy 104.406807 76.328694)
+ (xy 104.442839 76.289057)
+ (xy 104.767839 75.726141)
+ (xy 104.778033 75.703642)
+ (xy 104.799188 75.641321)
+ (xy 105.680669 76.522802)
+ (xy 105.695162 76.542035)
+ (xy 105.713793 76.561875)
+ (xy 105.755424 76.597557)
+ (xy 105.761478 76.603611)
+ (xy 105.761488 76.60362)
+ (xy 109.819437 80.661569)
+ (xy 109.852638 80.700857)
+ (xy 109.872346 80.719625)
+ (xy 109.936285 80.768511)
+ (xy 109.998975 80.818914)
+ (xy 110.018758 80.831566)
+ (xy 110.019142 80.831859)
+ (xy 110.020557 80.832716)
+ (xy 110.021905 80.833578)
+ (xy 110.022323 80.833786)
+ (xy 110.042424 80.845959)
+ (xy 110.115357 80.879968)
+ (xy 110.187419 80.91574)
+ (xy 110.20947 80.923853)
+ (xy 110.209897 80.924052)
+ (xy 110.211428 80.924573)
+ (xy 110.212963 80.925138)
+ (xy 110.213425 80.925253)
+ (xy 110.235662 80.932824)
+ (xy 110.314226 80.950385)
+ (xy 110.392259 80.969841)
+ (xy 110.415534 80.973029)
+ (xy 110.415997 80.973133)
+ (xy 110.43645 80.975972)
+ (xy 110.442388 80.976304)
+ (xy 110.449422 80.9765)
+ (xy 110.525362 80.9765)
+ (xy 110.603937 80.978695)
+ (xy 110.631064 80.976512)
+ (xy 110.631127 80.9765)
+ (xy 119.572537 80.9765)
+ (xy 131.048511 92.452475)
+ (xy 131.048511 95.252305)
+ (xy 130.982408 95.239696)
+ (xy 130.914184 95.224445)
+ (xy 130.890946 95.222248)
+ (xy 130.889778 95.222025)
+ (xy 130.886227 95.221802)
+ (xy 130.882741 95.221472)
+ (xy 130.881572 95.221509)
+ (xy 130.858257 95.220042)
+ (xy 130.788423 95.224436)
+ (xy 130.718509 95.226633)
+ (xy 130.695445 95.230286)
+ (xy 130.694265 95.23036)
+ (xy 130.690791 95.231023)
+ (xy 130.687317 95.231573)
+ (xy 130.686187 95.231901)
+ (xy 130.663247 95.236278)
+ (xy 130.596709 95.257897)
+ (xy 130.529527 95.277416)
+ (xy 130.508106 95.286686)
+ (xy 130.506977 95.287053)
+ (xy 130.503754 95.288569)
+ (xy 130.500541 95.28996)
+ (xy 130.499537 95.290554)
+ (xy 130.478397 95.300501)
+ (xy 130.419298 95.338007)
+ (xy 130.359112 95.3736)
+ (xy 130.339924 95.38754)
+ (xy 130.337905 95.38932)
+ (xy 130.332136 95.394739)
+ (xy 130.331943 95.394932)
+ (xy 130.315329 95.408676)
+ (xy 130.288057 95.437718)
+ (xy 130.27782 95.446186)
+ (xy 130.233602 95.493273)
+ (xy 130.210446 95.516429)
+ (xy 130.199983 95.528296)
+ (xy 130.196518 95.532763)
+ (xy 130.16534 95.565964)
+ (xy 130.146776 95.591514)
+ (xy 130.136628 95.609972)
+ (xy 130.123723 95.62661)
+ (xy 130.107647 95.653793)
+ (xy 130.089559 95.695593)
+ (xy 130.067618 95.735503)
+ (xy 130.055991 95.76487)
+ (xy 130.050755 95.785263)
+ (xy 130.042391 95.804591)
+ (xy 130.033579 95.834922)
+ (xy 130.026453 95.879915)
+ (xy 130.015129 95.924018)
+ (xy 130.011419 95.947442)
+ (xy 130.01125 95.950128)
+ (xy 130.011001 95.95804)
+ (xy 130.011001 95.977473)
+ (xy 130.007875 95.99721)
+ (xy 130.006883 96.028779)
+ (xy 130.011001 96.072342)
+ (xy 130.011 99.521009)
+ (xy 129.997109 99.551427)
+ (xy 129.989856 99.518087)
+ (xy 129.972734 99.47675)
+ (xy 129.899063 99.362115)
+ (xy 129.875577 99.33501)
+ (xy 129.772594 99.245775)
+ (xy 129.742424 99.226386)
+ (xy 129.618471 99.169779)
+ (xy 129.584061 99.159676)
+ (xy 129.483957 99.145283)
+ (xy 129.466025 99.144)
+ (xy 128.970766 99.144)
+ (xy 128.943983 99.14688)
+ (xy 128.768087 99.185144)
+ (xy 128.72675 99.202266)
+ (xy 128.612115 99.275937)
+ (xy 128.58501 99.299423)
+ (xy 128.495775 99.402406)
+ (xy 128.476386 99.432576)
+ (xy 128.419779 99.556529)
+ (xy 128.409676 99.590939)
+ (xy 128.395283 99.691043)
+ (xy 128.394 99.708975)
+ (xy 128.394 100.279234)
+ (xy 128.39688 100.306017)
+ (xy 128.435144 100.481913)
+ (xy 128.436 100.48398)
+ (xy 128.436001 102.021008)
+ (xy 128.419779 102.056529)
+ (xy 128.409676 102.090939)
+ (xy 128.395283 102.191043)
+ (xy 128.394 102.208975)
+ (xy 128.394 102.779234)
+ (xy 128.39688 102.806017)
+ (xy 128.435144 102.981913)
+ (xy 128.452266 103.02325)
+ (xy 128.525937 103.137885)
+ (xy 128.549423 103.16499)
+ (xy 128.652406 103.254225)
+ (xy 128.682576 103.273614)
+ (xy 128.806529 103.330221)
+ (xy 128.840939 103.340324)
+ (xy 128.941043 103.354717)
+ (xy 128.958975 103.356)
+ (xy 129.454234 103.356)
+ (xy 129.481017 103.35312)
+ (xy 129.656913 103.314856)
+ (xy 129.69825 103.297734)
+ (xy 129.812885 103.224063)
+ (xy 129.83999 103.200577)
+ (xy 129.911001 103.118626)
+ (xy 129.911001 103.521753)
+ (xy 129.907893 103.542183)
+ (xy 129.906875 103.571349)
+ (xy 129.911001 103.622074)
+ (xy 129.911001 103.630387)
+ (xy 129.911849 103.644977)
+ (xy 129.915206 103.67377)
+ (xy 129.921061 103.745753)
+ (xy 129.92678 103.77437)
+ (xy 129.92702 103.775111)
+ (xy 129.927111 103.775891)
+ (xy 129.933825 103.804294)
+ (xy 129.958457 103.872153)
+ (xy 129.980705 103.940831)
+ (xy 129.992852 103.967364)
+ (xy 129.993263 103.968042)
+ (xy 129.993529 103.968774)
+ (xy 130.006596 103.994868)
+ (xy 130.046166 104.055221)
+ (xy 130.083628 104.116957)
+ (xy 130.096904 104.134997)
+ (xy 130.105275 104.144476)
+ (xy 130.121255 104.163588)
+ (xy 130.173942 104.213499)
+ (xy 134.525608 108.565166)
+ (xy 132.330782 110.759992)
+ (xy 132.271885 110.645637)
+ (xy 132.258955 110.625496)
+ (xy 132.129296 110.460432)
+ (xy 132.11279 110.4431)
+ (xy 131.954257 110.305532)
+ (xy 131.934772 110.291633)
+ (xy 131.753085 110.186525)
+ (xy 131.731323 110.176561)
+ (xy 131.533039 110.107705)
+ (xy 131.509786 110.102037)
+ (xy 131.302059 110.071918)
+ (xy 131.278153 110.070749)
+ (xy 131.068478 110.080454)
+ (xy 131.044783 110.083826)
+ (xy 130.840726 110.133004)
+ (xy 130.818096 110.140796)
+ (xy 130.62702 110.227673)
+ (xy 130.606271 110.239604)
+ (xy 130.43507 110.361045)
+ (xy 130.416952 110.376684)
+ (xy 130.271804 110.528308)
+ (xy 130.25697 110.547091)
+ (xy 130.143112 110.723426)
+ (xy 130.132098 110.744675)
+ (xy 130.053638 110.939359)
+ (xy 130.04684 110.962308)
+ (xy 130.00661 111.168316)
+ (xy 130.004274 111.192136)
+ (xy 130.003724 111.402034)
+ (xy 130.005935 111.425866)
+ (xy 130.045086 111.632082)
+ (xy 130.051764 111.655066)
+ (xy 130.129204 111.850158)
+ (xy 130.140107 111.871464)
+ (xy 130.25304 112.048393)
+ (xy 130.267775 112.067254)
+ (xy 130.412127 112.219636)
+ (xy 130.430163 112.23537)
+ (xy 130.600726 112.357706)
+ (xy 130.621412 112.369745)
+ (xy 130.812031 112.457621)
+ (xy 130.83462 112.465532)
+ (xy 131.038416 112.515778)
+ (xy 131.062094 112.519274)
+ (xy 131.271715 112.530076)
+ (xy 131.295626 112.529032)
+ (xy 131.503508 112.500001)
+ (xy 131.52679 112.494454)
+ (xy 131.715531 112.430018)
+ (xy 132.038577 112.430018)
+ (xy 132.054887 112.481041)
+ (xy 132.482387 113.221494)
+ (xy 132.533769 113.270487)
+ (xy 132.603483 113.283923)
+ (xy 132.654506 113.267613)
+ (xy 133.394959 112.840112)
+ (xy 133.443952 112.78873)
+ (xy 133.457388 112.719016)
+ (xy 133.441078 112.667993)
+ (xy 133.141078 112.148378)
+ (xy 133.12669 112.1283)
+ (xy 133.061087 112.053495)
+ (xy 133.022085 112.023567)
+ (xy 132.93285 111.979561)
+ (xy 132.885361 111.966837)
+ (xy 132.786078 111.96033)
+ (xy 132.737338 111.966746)
+ (xy 132.643122 111.998727)
+ (xy 132.620622 112.008922)
+ (xy 132.101006 112.308922)
+ (xy 132.052013 112.360304)
+ (xy 132.038577 112.430018)
+ (xy 131.715531 112.430018)
+ (xy 131.725432 112.426638)
+ (xy 131.747246 112.416789)
+ (xy 131.929481 112.312633)
+ (xy 131.949039 112.298837)
+ (xy 132.10829 112.162101)
+ (xy 132.124886 112.144855)
+ (xy 132.255408 111.980472)
+ (xy 132.268444 111.960399)
+ (xy 132.351184 111.801795)
+ (xy 132.44711 111.801795)
+ (xy 132.455262 111.80355)
+ (xy 132.496591 111.805499)
+ (xy 132.527885 111.801795)
+ (xy 132.529744 111.801795)
+ (xy 132.550433 111.800085)
+ (xy 132.570369 111.796767)
+ (xy 132.620671 111.790813)
+ (xy 132.640169 111.785148)
+ (xy 132.643643 111.78457)
+ (xy 132.65196 111.781723)
+ (xy 132.660405 111.779269)
+ (xy 132.663581 111.777744)
+ (xy 132.682788 111.771168)
+ (xy 132.727391 111.747101)
+ (xy 132.773036 111.725183)
+ (xy 132.79956 111.708059)
+ (xy 132.805469 111.703093)
+ (xy 132.813409 111.69581)
+ (xy 132.825441 111.686478)
+ (xy 132.858354 111.650873)
+ (xy 135.234835 109.274392)
+ (xy 142.133733 116.17329)
+ (xy 142.14598 116.189932)
+ (xy 142.165883 116.211275)
+ (xy 142.204669 116.244226)
+ (xy 142.210547 116.250104)
+ (xy 142.221462 116.259821)
+ (xy 142.223501 116.261434)
+ (xy 142.2235 118.626784)
+ (xy 142.166218 118.656603)
+ (xy 142.148746 118.667606)
+ (xy 141.958002 118.81082)
+ (xy 141.942561 118.824529)
+ (xy 141.77777 118.996973)
+ (xy 141.764776 119.01302)
+ (xy 141.630362 119.210064)
+ (xy 141.620163 119.228017)
+ (xy 141.519736 119.444367)
+ (xy 141.512605 119.463746)
+ (xy 141.448863 119.693594)
+ (xy 141.444994 119.713876)
+ (xy 141.419647 119.951049)
+ (xy 141.419143 119.971692)
+ (xy 141.432874 120.209819)
+ (xy 141.435748 120.230266)
+ (xy 141.488186 120.462954)
+ (xy 141.494293 120.482445)
+ (xy 141.492618 120.485493)
+ (xy 141.480991 120.51486)
+ (xy 141.475755 120.535253)
+ (xy 141.467391 120.554581)
+ (xy 141.458579 120.584912)
+ (xy 141.451453 120.629905)
+ (xy 141.440129 120.674008)
+ (xy 141.436419 120.697432)
+ (xy 141.43625 120.700118)
+ (xy 141.436001 120.70803)
+ (xy 141.436001 120.727463)
+ (xy 141.432875 120.7472)
+ (xy 141.431883 120.778769)
+ (xy 141.436001 120.822332)
+ (xy 141.436 121.61851)
+ (xy 141.392166 121.704354)
+ (xy 141.381994 121.731707)
+ (xy 141.340404 121.901672)
+ (xy 141.337035 121.923819)
+ (xy 141.336242 121.936602)
+ (xy 141.336 121.944403)
+ (xy 141.336 122.542887)
+ (xy 141.336848 122.557478)
+ (xy 141.352111 122.688394)
+ (xy 141.358824 122.716795)
+ (xy 141.405358 122.844993)
+ (xy 141.435143 122.981913)
+ (xy 141.436 122.983982)
+ (xy 141.436001 124.021008)
+ (xy 141.419779 124.056529)
+ (xy 141.409676 124.090939)
+ (xy 141.404994 124.1235)
+ (xy 138.531 124.1235)
+ (xy 138.531 122.90634)
+ (xy 138.526707 122.873729)
+ (xy 138.486826 122.724889)
+ (xy 138.46164 122.676509)
+ (xy 138.386855 122.587384)
+ (xy 138.353334 122.559256)
+ (xy 138.252577 122.501084)
+ (xy 138.211457 122.486117)
+ (xy 138.125236 122.470914)
+ (xy 138.103356 122.469)
+ (xy 137.90634 122.469)
+ (xy 137.873729 122.473293)
+ (xy 137.758103 122.504274)
+ (xy 137.752577 122.501084)
+ (xy 137.711457 122.486117)
+ (xy 137.625236 122.470914)
+ (xy 137.603356 122.469)
+ (xy 137.5015 122.469)
+ (xy 137.5015 121.957727)
+ (xy 138.828227 120.631)
+ (xy 139.9 120.631)
+ (xy 139.924582 120.628579)
+ (xy 140.022166 120.609168)
+ (xy 140.067586 120.590354)
+ (xy 140.150314 120.535077)
+ (xy 140.185077 120.500314)
+ (xy 140.240354 120.417586)
+ (xy 140.259168 120.372166)
+ (xy 140.278579 120.274582)
+ (xy 140.281 120.25)
+ (xy 140.281 119.25)
+ (xy 140.278579 119.225418)
+ (xy 140.259168 119.127834)
+ (xy 140.240354 119.082414)
+ (xy 140.185077 118.999686)
+ (xy 140.150314 118.964923)
+ (xy 140.067586 118.909646)
+ (xy 140.022166 118.890832)
+ (xy 139.924582 118.871421)
+ (xy 139.9 118.869)
+ (xy 138.276499 118.869)
+ (xy 138.276499 118.682728)
+ (xy 138.828227 118.131)
+ (xy 139.9 118.131)
+ (xy 139.924582 118.128579)
+ (xy 140.022166 118.109168)
+ (xy 140.067586 118.090354)
+ (xy 140.150314 118.035077)
+ (xy 140.185077 118.000314)
+ (xy 140.240354 117.917586)
+ (xy 140.259168 117.872166)
+ (xy 140.278579 117.774582)
+ (xy 140.281 117.75)
+ (xy 140.281 116.75)
+ (xy 140.278579 116.725418)
+ (xy 140.259168 116.627834)
+ (xy 140.240354 116.582414)
+ (xy 140.185077 116.499686)
+ (xy 140.150314 116.464923)
+ (xy 140.067586 116.409646)
+ (xy 140.022166 116.390832)
+ (xy 139.924582 116.371421)
+ (xy 139.9 116.369)
+ (xy 138.1 116.369)
+ (xy 138.075418 116.371421)
+ (xy 137.977834 116.390832)
+ (xy 137.932414 116.409646)
+ (xy 137.849686 116.464923)
+ (xy 137.814923 116.499686)
+ (xy 137.759646 116.582414)
+ (xy 137.740832 116.627834)
+ (xy 137.721421 116.725418)
+ (xy 137.719 116.75)
+ (xy 137.719 117.75)
+ (xy 137.721421 117.774582)
+ (xy 137.728849 117.811923)
+ (xy 137.457581 118.083192)
+ (xy 137.45057 118.087719)
+ (xy 137.419968 118.115566)
+ (xy 137.400453 118.140321)
+ (xy 137.399145 118.141629)
+ (xy 137.385726 118.157465)
+ (xy 137.373979 118.173903)
+ (xy 137.342614 118.21369)
+ (xy 137.332829 118.231487)
+ (xy 137.330785 118.234348)
+ (xy 137.326929 118.24222)
+ (xy 137.322682 118.249944)
+ (xy 137.321511 118.25328)
+ (xy 137.312583 118.271503)
+ (xy 137.298074 118.320019)
+ (xy 137.281282 118.367835)
+ (xy 137.274635 118.398711)
+ (xy 137.273969 118.406401)
+ (xy 137.273504 118.417167)
+ (xy 137.271597 118.432258)
+ (xy 137.273499 118.480671)
+ (xy 137.2735 120.017273)
+ (xy 137.081 120.209773)
+ (xy 137.081 118.9)
+ (xy 137.078579 118.875418)
+ (xy 137.059168 118.777834)
+ (xy 137.040354 118.732414)
+ (xy 136.985077 118.649686)
+ (xy 136.950314 118.614923)
+ (xy 136.867586 118.559646)
+ (xy 136.822166 118.540832)
+ (xy 136.724582 118.521421)
+ (xy 136.7 118.519)
+ (xy 135.5 118.519)
+ (xy 135.475418 118.521421)
+ (xy 135.377834 118.540832)
+ (xy 135.332414 118.559646)
+ (xy 135.25 118.614713)
+ (xy 135.167586 118.559646)
+ (xy 135.122166 118.540832)
+ (xy 135.024582 118.521421)
+ (xy 135 118.519)
+ (xy 134.65 118.519)
+ (xy 134.581879 118.539002)
+ (xy 134.535386 118.592658)
+ (xy 134.524 118.645)
+ (xy 134.523999 119.350001)
+ (xy 134.524 119.350004)
+ (xy 134.523999 120.555)
+ (xy 134.544001 120.623121)
+ (xy 134.597657 120.669614)
+ (xy 134.649999 120.681)
+ (xy 135 120.681)
+ (xy 135.024582 120.678579)
+ (xy 135.122166 120.659168)
+ (xy 135.167586 120.640354)
+ (xy 135.25 120.585287)
+ (xy 135.332414 120.640354)
+ (xy 135.377834 120.659168)
+ (xy 135.475418 120.678579)
+ (xy 135.4985 120.680852)
+ (xy 135.498501 122.072316)
+ (xy 134.107184 120.681)
+ (xy 134.15 120.681)
+ (xy 134.218121 120.660998)
+ (xy 134.264614 120.607342)
+ (xy 134.276 120.555)
+ (xy 134.276001 119.849999)
+ (xy 134.276 119.849996)
+ (xy 134.276001 118.645)
+ (xy 134.255999 118.576879)
+ (xy 134.202343 118.530386)
+ (xy 134.150001 118.519)
+ (xy 133.990227 118.519)
+ (xy 134.028227 118.481)
+ (xy 135 118.481)
+ (xy 135.024582 118.478579)
+ (xy 135.122166 118.459168)
+ (xy 135.167586 118.440354)
+ (xy 135.25 118.385287)
+ (xy 135.332414 118.440354)
+ (xy 135.377834 118.459168)
+ (xy 135.475418 118.478579)
+ (xy 135.5 118.481)
+ (xy 135.85 118.481)
+ (xy 135.918121 118.460998)
+ (xy 135.964614 118.407342)
+ (xy 135.976 118.355)
+ (xy 136.223999 118.355)
+ (xy 136.244001 118.423121)
+ (xy 136.297657 118.469614)
+ (xy 136.349999 118.481)
+ (xy 136.7 118.481)
+ (xy 136.724582 118.478579)
+ (xy 136.822166 118.459168)
+ (xy 136.867586 118.440354)
+ (xy 136.950314 118.385077)
+ (xy 136.985077 118.350314)
+ (xy 137.040354 118.267586)
+ (xy 137.059168 118.222166)
+ (xy 137.078579 118.124582)
+ (xy 137.081 118.1)
+ (xy 137.081 117.65)
+ (xy 137.060998 117.581879)
+ (xy 137.007342 117.535386)
+ (xy 136.955 117.524)
+ (xy 136.35 117.523999)
+ (xy 136.281879 117.544001)
+ (xy 136.235386 117.597657)
+ (xy 136.224 117.649999)
+ (xy 136.223999 118.355)
+ (xy 135.976 118.355)
+ (xy 135.976001 117.649999)
+ (xy 135.976 117.649996)
+ (xy 135.976 117.15)
+ (xy 136.223999 117.15)
+ (xy 136.244001 117.218121)
+ (xy 136.297657 117.264614)
+ (xy 136.349999 117.276)
+ (xy 136.955 117.276001)
+ (xy 137.023121 117.255999)
+ (xy 137.069614 117.202343)
+ (xy 137.081 117.150001)
+ (xy 137.081 116.7)
+ (xy 137.078579 116.675418)
+ (xy 137.059168 116.577834)
+ (xy 137.040354 116.532414)
+ (xy 136.985077 116.449686)
+ (xy 136.950314 116.414923)
+ (xy 136.867586 116.359646)
+ (xy 136.822166 116.340832)
+ (xy 136.724582 116.321421)
+ (xy 136.7 116.319)
+ (xy 136.35 116.319)
+ (xy 136.281879 116.339002)
+ (xy 136.235386 116.392658)
+ (xy 136.224 116.445)
+ (xy 136.223999 117.15)
+ (xy 135.976 117.15)
+ (xy 135.976001 116.445)
+ (xy 135.955999 116.376879)
+ (xy 135.902343 116.330386)
+ (xy 135.850001 116.319)
+ (xy 135.5 116.319)
+ (xy 135.475418 116.321421)
+ (xy 135.377834 116.340832)
+ (xy 135.332414 116.359646)
+ (xy 135.25 116.414713)
+ (xy 135.167586 116.359646)
+ (xy 135.122166 116.340832)
+ (xy 135.024582 116.321421)
+ (xy 135 116.319)
+ (xy 133.8 116.319)
+ (xy 133.775418 116.321421)
+ (xy 133.677834 116.340832)
+ (xy 133.632414 116.359646)
+ (xy 133.549686 116.414923)
+ (xy 133.514923 116.449686)
+ (xy 133.459646 116.532414)
+ (xy 133.440832 116.577834)
+ (xy 133.421421 116.675418)
+ (xy 133.419 116.7)
+ (xy 133.419 117.671774)
+ (xy 133.157575 117.933199)
+ (xy 133.150561 117.937728)
+ (xy 133.119959 117.965575)
+ (xy 133.100444 117.99033)
+ (xy 133.099136 117.991638)
+ (xy 133.085717 118.007474)
+ (xy 133.07397 118.023912)
+ (xy 133.042605 118.063699)
+ (xy 133.03282 118.081496)
+ (xy 133.030776 118.084357)
+ (xy 133.02692 118.092229)
+ (xy 133.022673 118.099953)
+ (xy 133.021502 118.103289)
+ (xy 133.012574 118.121512)
+ (xy 132.998065 118.170028)
+ (xy 132.981273 118.217844)
+ (xy 132.974626 118.24872)
+ (xy 132.97396 118.25641)
+ (xy 132.973495 118.267176)
+ (xy 132.971588 118.282267)
+ (xy 132.97349 118.33068)
+ (xy 132.973491 120.705433)
+ (xy 132.971735 120.713589)
+ (xy 132.969786 120.754918)
+ (xy 132.973491 120.786221)
+ (xy 132.973491 120.788071)
+ (xy 132.975201 120.808757)
+ (xy 132.978514 120.828661)
+ (xy 132.984472 120.878999)
+ (xy 132.990139 120.898503)
+ (xy 132.990715 120.901963)
+ (xy 132.993557 120.910264)
+ (xy 132.996019 120.918738)
+ (xy 132.997546 120.921918)
+ (xy 133.004118 120.941115)
+ (xy 133.028176 120.985701)
+ (xy 133.050102 121.031363)
+ (xy 133.067226 121.057887)
+ (xy 133.072192 121.063796)
+ (xy 133.079482 121.071745)
+ (xy 133.088808 121.083767)
+ (xy 133.124387 121.116656)
+ (xy 134.544625 122.536894)
+ (xy 134.524 122.603225)
+ (xy 134.524 122.707732)
+ (xy 134.501084 122.747423)
+ (xy 134.496349 122.760431)
+ (xy 134.486826 122.724889)
+ (xy 134.476001 122.704094)
+ (xy 134.476001 122.610096)
+ (xy 134.455999 122.541975)
+ (xy 134.402343 122.495482)
+ (xy 134.31739 122.488389)
+ (xy 134.258103 122.504275)
+ (xy 134.252577 122.501084)
+ (xy 134.211457 122.486117)
+ (xy 134.125236 122.470914)
+ (xy 134.103356 122.469)
+ (xy 133.972739 122.469)
+ (xy 133.970872 122.463548)
+ (xy 133.94682 122.418972)
+ (xy 133.92489 122.373302)
+ (xy 133.90775 122.346759)
+ (xy 133.902783 122.340851)
+ (xy 133.895512 122.332924)
+ (xy 133.886183 122.320897)
+ (xy 133.850603 122.288007)
+ (xy 133.245177 121.682582)
+ (xy 133.24065 121.675571)
+ (xy 133.212804 121.644969)
+ (xy 133.188043 121.625449)
+ (xy 133.18674 121.624146)
+ (xy 133.170901 121.610725)
+ (xy 133.154481 121.598992)
+ (xy 133.114682 121.567616)
+ (xy 133.096888 121.557834)
+ (xy 133.094028 121.55579)
+ (xy 133.08614 121.551926)
+ (xy 133.078417 121.54768)
+ (xy 133.075088 121.546511)
+ (xy 133.056866 121.537584)
+ (xy 133.008363 121.523079)
+ (xy 132.960536 121.506283)
+ (xy 132.929659 121.499636)
+ (xy 132.921969 121.49897)
+ (xy 132.911202 121.498505)
+ (xy 132.896111 121.496598)
+ (xy 132.847699 121.4985)
+ (xy 130.052594 121.4985)
+ (xy 130.044442 121.496745)
+ (xy 130.003113 121.494796)
+ (xy 129.971819 121.4985)
+ (xy 129.96996 121.4985)
+ (xy 129.949271 121.50021)
+ (xy 129.929335 121.503528)
+ (xy 129.879033 121.509482)
+ (xy 129.859535 121.515147)
+ (xy 129.856061 121.515725)
+ (xy 129.847744 121.518572)
+ (xy 129.839299 121.521026)
+ (xy 129.836123 121.522551)
+ (xy 129.816916 121.529127)
+ (xy 129.772313 121.553194)
+ (xy 129.726668 121.575112)
+ (xy 129.700135 121.592244)
+ (xy 129.694226 121.597211)
+ (xy 129.686302 121.604479)
+ (xy 129.674263 121.613817)
+ (xy 129.641338 121.649435)
+ (xy 129.611238 121.679535)
+ (xy 129.611226 121.679546)
+ (xy 129.607597 121.683175)
+ (xy 129.600592 121.687698)
+ (xy 129.569991 121.715543)
+ (xy 129.550481 121.740291)
+ (xy 128.663499 122.627274)
+ (xy 128.436071 122.399846)
+ (xy 128.504883 122.367465)
+ (xy 128.531549 122.350542)
+ (xy 128.658154 122.245806)
+ (xy 128.679775 122.222782)
+ (xy 128.776355 122.089851)
+ (xy 128.791571 122.062173)
+ (xy 128.852058 121.909399)
+ (xy 128.859912 121.878808)
+ (xy 128.880506 121.715792)
+ (xy 128.880506 121.684208)
+ (xy 128.859912 121.521192)
+ (xy 128.852058 121.490601)
+ (xy 128.791571 121.337827)
+ (xy 128.776355 121.310149)
+ (xy 128.679775 121.177218)
+ (xy 128.658154 121.154194)
+ (xy 128.6015 121.107326)
+ (xy 128.6015 119.679593)
+ (xy 128.603255 119.671441)
+ (xy 128.605204 119.630112)
+ (xy 128.6015 119.598817)
+ (xy 128.6015 119.596959)
+ (xy 128.59979 119.576269)
+ (xy 128.596471 119.556329)
+ (xy 128.590518 119.506032)
+ (xy 128.584853 119.486532)
+ (xy 128.584274 119.483055)
+ (xy 128.581427 119.474741)
+ (xy 128.578976 119.466303)
+ (xy 128.577451 119.463126)
+ (xy 128.570873 119.443915)
+ (xy 128.54681 119.39932)
+ (xy 128.524888 119.353666)
+ (xy 128.507756 119.327133)
+ (xy 128.502789 119.321224)
+ (xy 128.495513 119.313291)
+ (xy 128.486183 119.301263)
+ (xy 128.450603 119.268373)
+ (xy 128.05151 118.869281)
+ (xy 128.05151 114.280984)
+ (xy 131.542612 114.280984)
+ (xy 131.558922 114.332007)
+ (xy 131.858922 114.851622)
+ (xy 131.87331 114.8717)
+ (xy 131.938913 114.946505)
+ (xy 131.977915 114.976433)
+ (xy 132.06715 115.020439)
+ (xy 132.114639 115.033163)
+ (xy 132.213922 115.03967)
+ (xy 132.262662 115.033254)
+ (xy 132.356878 115.001273)
+ (xy 132.379378 114.991078)
+ (xy 132.898994 114.691078)
+ (xy 132.947987 114.639696)
+ (xy 132.961423 114.569982)
+ (xy 132.945113 114.518959)
+ (xy 132.517613 113.778506)
+ (xy 132.466231 113.729513)
+ (xy 132.396517 113.716077)
+ (xy 132.345494 113.732387)
+ (xy 131.605041 114.159888)
+ (xy 131.556048 114.21127)
+ (xy 131.542612 114.280984)
+ (xy 128.05151 114.280984)
+ (xy 128.05151 113.213922)
+ (xy 130.96033 113.213922)
+ (xy 130.966746 113.262662)
+ (xy 130.998727 113.356878)
+ (xy 131.008922 113.379378)
+ (xy 131.308922 113.898994)
+ (xy 131.360304 113.947987)
+ (xy 131.430018 113.961423)
+ (xy 131.481041 113.945113)
+ (xy 132.072762 113.603483)
+ (xy 132.716077 113.603483)
+ (xy 132.732387 113.654506)
+ (xy 133.159888 114.394959)
+ (xy 133.21127 114.443952)
+ (xy 133.280984 114.457388)
+ (xy 133.332007 114.441078)
+ (xy 133.851622 114.141078)
+ (xy 133.8717 114.12669)
+ (xy 133.946505 114.061087)
+ (xy 133.976433 114.022085)
+ (xy 134.020439 113.93285)
+ (xy 134.033163 113.885361)
+ (xy 134.03967 113.786078)
+ (xy 134.033254 113.737338)
+ (xy 134.001273 113.643122)
+ (xy 133.991078 113.620622)
+ (xy 133.691078 113.101006)
+ (xy 133.639696 113.052013)
+ (xy 133.569982 113.038577)
+ (xy 133.518959 113.054887)
+ (xy 132.778506 113.482387)
+ (xy 132.729513 113.533769)
+ (xy 132.716077 113.603483)
+ (xy 132.072762 113.603483)
+ (xy 132.221494 113.517613)
+ (xy 132.270487 113.466231)
+ (xy 132.283923 113.396517)
+ (xy 132.267613 113.345494)
+ (xy 131.840112 112.605041)
+ (xy 131.78873 112.556048)
+ (xy 131.719016 112.542612)
+ (xy 131.667993 112.558922)
+ (xy 131.148378 112.858922)
+ (xy 131.1283 112.87331)
+ (xy 131.053495 112.938913)
+ (xy 131.023567 112.977915)
+ (xy 130.979561 113.06715)
+ (xy 130.966837 113.114639)
+ (xy 130.96033 113.213922)
+ (xy 128.05151 113.213922)
+ (xy 128.05151 111.718308)
+ (xy 129.521408 110.24841)
+ (xy 129.542031 110.257917)
+ (xy 129.56462 110.265828)
+ (xy 129.768416 110.316074)
+ (xy 129.792094 110.31957)
+ (xy 130.001715 110.330372)
+ (xy 130.025626 110.329328)
+ (xy 130.233508 110.300297)
+ (xy 130.25679 110.29475)
+ (xy 130.455432 110.226934)
+ (xy 130.477246 110.217085)
+ (xy 130.659481 110.112929)
+ (xy 130.679039 110.099133)
+ (xy 130.83829 109.962397)
+ (xy 130.854886 109.945151)
+ (xy 130.985408 109.780768)
+ (xy 130.998444 109.760695)
+ (xy 131.095527 109.574597)
+ (xy 131.104531 109.552421)
+ (xy 131.164672 109.351322)
+ (xy 131.169321 109.327844)
+ (xy 131.190351 109.119001)
+ (xy 131.190985 109.106704)
+ (xy 131.191 109.100918)
+ (xy 131.19043 109.088624)
+ (xy 131.170494 108.879674)
+ (xy 131.165969 108.856172)
+ (xy 131.106882 108.654761)
+ (xy 131.097993 108.632538)
+ (xy 131.001885 108.445933)
+ (xy 130.988955 108.425792)
+ (xy 130.859296 108.260728)
+ (xy 130.84279 108.243396)
+ (xy 130.684257 108.105828)
+ (xy 130.664772 108.091929)
+ (xy 130.483085 107.986821)
+ (xy 130.461323 107.976857)
+ (xy 130.263039 107.908001)
+ (xy 130.239786 107.902333)
+ (xy 130.032059 107.872214)
+ (xy 130.008153 107.871045)
+ (xy 129.798478 107.88075)
+ (xy 129.774783 107.884122)
+ (xy 129.570726 107.9333)
+ (xy 129.548096 107.941092)
+ (xy 129.35702 108.027969)
+ (xy 129.336271 108.0399)
+ (xy 129.16507 108.161341)
+ (xy 129.146952 108.17698)
+ (xy 129.001804 108.328604)
+ (xy 128.98697 108.347387)
+ (xy 128.873112 108.523722)
+ (xy 128.862098 108.544971)
+ (xy 128.783638 108.739655)
+ (xy 128.77684 108.762604)
+ (xy 128.73661 108.968612)
+ (xy 128.734274 108.992432)
+ (xy 128.733724 109.20233)
+ (xy 128.735935 109.226162)
+ (xy 128.775086 109.432378)
+ (xy 128.781764 109.455362)
+ (xy 128.814225 109.537139)
+ (xy 127.6015 110.749865)
+ (xy 127.6015 108.698613)
+ (xy 128.251408 108.048705)
+ (xy 128.272031 108.058212)
+ (xy 128.29462 108.066123)
+ (xy 128.498416 108.116369)
+ (xy 128.522094 108.119865)
+ (xy 128.731715 108.130667)
+ (xy 128.755626 108.129623)
+ (xy 128.963508 108.100592)
+ (xy 128.98679 108.095045)
+ (xy 129.185432 108.027229)
+ (xy 129.207246 108.01738)
+ (xy 129.389481 107.913224)
+ (xy 129.409039 107.899428)
+ (xy 129.56829 107.762692)
+ (xy 129.584886 107.745446)
+ (xy 129.715408 107.581063)
+ (xy 129.728444 107.56099)
+ (xy 129.825527 107.374892)
+ (xy 129.834531 107.352716)
+ (xy 129.894672 107.151617)
+ (xy 129.899321 107.128139)
+ (xy 129.920351 106.919296)
+ (xy 129.920985 106.906999)
+ (xy 129.921 106.901213)
+ (xy 129.92043 106.888919)
+ (xy 129.900494 106.679969)
+ (xy 129.895969 106.656467)
+ (xy 129.836882 106.455056)
+ (xy 129.827993 106.432833)
+ (xy 129.731885 106.246228)
+ (xy 129.718955 106.226087)
+ (xy 129.589296 106.061023)
+ (xy 129.57279 106.043691)
+ (xy 129.414257 105.906123)
+ (xy 129.394772 105.892224)
+ (xy 129.213085 105.787116)
+ (xy 129.191323 105.777152)
+ (xy 128.993039 105.708296)
+ (xy 128.969786 105.702628)
+ (xy 128.762059 105.672509)
+ (xy 128.738153 105.67134)
+ (xy 128.528478 105.681045)
+ (xy 128.504783 105.684417)
+ (xy 128.300726 105.733595)
+ (xy 128.278096 105.741387)
+ (xy 128.08702 105.828264)
+ (xy 128.066271 105.840195)
+ (xy 127.89507 105.961636)
+ (xy 127.876952 105.977275)
+ (xy 127.731804 106.128899)
+ (xy 127.71697 106.147682)
+ (xy 127.603112 106.324017)
+ (xy 127.592098 106.345266)
+ (xy 127.513638 106.53995)
+ (xy 127.50684 106.562899)
+ (xy 127.46661 106.768907)
+ (xy 127.464274 106.792727)
+ (xy 127.463724 107.002625)
+ (xy 127.465935 107.026457)
+ (xy 127.505086 107.232673)
+ (xy 127.511764 107.255657)
+ (xy 127.544224 107.337434)
+ (xy 127.15149 107.730169)
+ (xy 127.15149 90.102585)
+ (xy 127.153245 90.094433)
+ (xy 127.155194 90.053105)
+ (xy 127.15149 90.021808)
+ (xy 127.15149 90.019951)
+ (xy 127.14978 89.999261)
+ (xy 127.146462 89.979331)
+ (xy 127.140509 89.929025)
+ (xy 127.134844 89.909527)
+ (xy 127.134266 89.906054)
+ (xy 127.131418 89.897736)
+ (xy 127.128964 89.889289)
+ (xy 127.127439 89.886113)
+ (xy 127.120863 89.866906)
+ (xy 127.09681 89.822328)
+ (xy 127.07488 89.776659)
+ (xy 127.05774 89.750117)
+ (xy 127.052773 89.744209)
+ (xy 127.045505 89.736286)
+ (xy 127.036173 89.724254)
+ (xy 127.000568 89.691341)
+ (xy 119.678462 82.369236)
+ (xy 119.659871 82.233515)
+ (xy 119.650674 82.200574)
+ (xy 119.590792 82.062195)
+ (xy 119.573075 82.032942)
+ (xy 119.478186 81.915764)
+ (xy 119.453255 81.892352)
+ (xy 119.33035 81.805008)
+ (xy 119.300042 81.789163)
+ (xy 119.158176 81.738088)
+ (xy 119.124723 81.730977)
+ (xy 118.974348 81.719934)
+ (xy 118.940215 81.722082)
+ (xy 118.79241 81.751885)
+ (xy 118.760112 81.763132)
+ (xy 118.625766 81.831585)
+ (xy 118.597683 81.851104)
+ (xy 118.486694 81.953163)
+ (xy 118.464894 81.979514)
+ (xy 118.385439 82.107661)
+ (xy 118.371528 82.138905)
+ (xy 118.329462 82.283698)
+ (xy 118.324466 82.317532)
+ (xy 118.322887 82.468304)
+ (xy 118.327173 82.502234)
+ (xy 118.332872 82.523501)
+ (xy 118.157075 82.523501)
+ (xy 118.150674 82.500574)
+ (xy 118.090792 82.362195)
+ (xy 118.073075 82.332942)
+ (xy 117.978186 82.215764)
+ (xy 117.953255 82.192352)
+ (xy 117.83035 82.105008)
+ (xy 117.800042 82.089163)
+ (xy 117.658176 82.038088)
+ (xy 117.624723 82.030977)
+ (xy 117.474348 82.019934)
+ (xy 117.440215 82.022082)
+ (xy 117.29241 82.051885)
+ (xy 117.260112 82.063132)
+ (xy 117.125766 82.131585)
+ (xy 117.097683 82.151104)
+ (xy 116.986694 82.253163)
+ (xy 116.964894 82.279514)
+ (xy 116.885439 82.407661)
+ (xy 116.871528 82.438905)
+ (xy 116.829462 82.583698)
+ (xy 116.824466 82.617532)
+ (xy 116.822887 82.768304)
+ (xy 116.827173 82.802234)
+ (xy 116.866198 82.947876)
+ (xy 116.879451 82.979404)
+ (xy 116.956204 83.109187)
+ (xy 116.977448 83.13599)
+ (xy 117.086275 83.240351)
+ (xy 117.113944 83.260453)
+ (xy 117.246827 83.331704)
+ (xy 117.278882 83.343625)
+ (xy 117.426031 83.376517)
+ (xy 117.460111 83.379379)
+ (xy 117.46475 83.379136)
+ (xy 117.465565 83.380032)
+ (xy 117.490321 83.399548)
+ (xy 117.491628 83.400855)
+ (xy 117.507464 83.414274)
+ (xy 117.523906 83.426024)
+ (xy 117.563689 83.457386)
+ (xy 117.581486 83.467171)
+ (xy 117.584347 83.469215)
+ (xy 117.592219 83.473071)
+ (xy 117.599943 83.477318)
+ (xy 117.603279 83.478489)
+ (xy 117.621502 83.487417)
+ (xy 117.670018 83.501926)
+ (xy 117.717834 83.518718)
+ (xy 117.74871 83.525365)
+ (xy 117.7564 83.526031)
+ (xy 117.767166 83.526496)
+ (xy 117.782257 83.528403)
+ (xy 117.83067 83.526501)
+ (xy 118.717274 83.526501)
+ (xy 125.698481 90.507708)
+ (xy 125.69848 118.367289)
+ (xy 125.157577 118.908194)
+ (xy 125.150572 118.912717)
+ (xy 125.119969 118.940563)
+ (xy 125.100453 118.965319)
+ (xy 125.099145 118.966627)
+ (xy 125.085726 118.982463)
+ (xy 125.073982 118.998897)
+ (xy 125.042615 119.038686)
+ (xy 125.032836 119.056475)
+ (xy 125.030788 119.059341)
+ (xy 125.026913 119.06725)
+ (xy 125.022681 119.074949)
+ (xy 125.021517 119.078265)
+ (xy 125.012583 119.0965)
+ (xy 124.998068 119.145036)
+ (xy 124.981283 119.192832)
+ (xy 124.974636 119.223708)
+ (xy 124.97397 119.231398)
+ (xy 124.973505 119.242151)
+ (xy 124.971597 119.257257)
+ (xy 124.9735 119.305693)
+ (xy 124.973499 120.095406)
+ (xy 124.971744 120.103558)
+ (xy 124.969795 120.144887)
+ (xy 124.973499 120.176182)
+ (xy 124.973499 120.178039)
+ (xy 124.975209 120.198724)
+ (xy 124.978528 120.218665)
+ (xy 124.984482 120.268968)
+ (xy 124.990146 120.288465)
+ (xy 124.990725 120.291943)
+ (xy 124.993572 120.300259)
+ (xy 124.996023 120.308695)
+ (xy 124.997548 120.311872)
+ (xy 125.004126 120.331083)
+ (xy 125.028189 120.375678)
+ (xy 125.050111 120.421332)
+ (xy 125.067235 120.447856)
+ (xy 125.072201 120.453765)
+ (xy 125.079486 120.461708)
+ (xy 125.088815 120.473735)
+ (xy 125.124412 120.506641)
+ (xy 127.131294 122.513523)
+ (xy 126.981966 122.562043)
+ (xy 126.953388 122.575491)
+ (xy 126.814655 122.663534)
+ (xy 126.790319 122.683666)
+ (xy 126.705959 122.773499)
+ (xy 126.682726 122.773499)
+ (xy 98.051511 94.142285)
+ (xy 98.051511 81.266195)
+ (xy 98.053266 81.258043)
+ (xy 98.055215 81.216714)
+ (xy 98.051511 81.185419)
+ (xy 98.051511 81.183561)
+ (xy 98.049801 81.162871)
+ (xy 98.046482 81.142931)
+ (xy 98.040529 81.092634)
+ (xy 98.034864 81.073134)
+ (xy 98.034285 81.069657)
+ (xy 98.031438 81.061343)
+ (xy 98.028987 81.052905)
+ (xy 98.027462 81.049728)
+ (xy 98.020884 81.030517)
+ (xy 97.996821 80.985922)
+ (xy 97.974899 80.940268)
+ (xy 97.957767 80.913735)
+ (xy 97.9528 80.907826)
+ (xy 97.945524 80.899893)
+ (xy 97.936194 80.887865)
+ (xy 97.900614 80.854975)
+ (xy 97.4015 80.355862)
+ (xy 97.4015 77.559009)
+ (xy 97.83303 77.12748)
+ (xy 98.212104 77.346337)
+ (xy 97.95871 77.785203)
+ (xy 97.956555 77.785872)
+ (xy 97.939427 77.795172)
+ (xy 97.908573 77.807016)
+ (xy 97.867767 77.819103)
+ (xy 97.842619 77.832334)
+ (xy 97.840605 77.833107)
+ (xy 97.818527 77.844175)
+ (xy 97.810567 77.849198)
+ (xy 97.802222 77.853588)
+ (xy 97.781595 77.867177)
+ (xy 97.779915 77.868538)
+ (xy 97.75589 77.883696)
+ (xy 97.72502 77.912991)
+ (xy 97.701848 77.931755)
+ (xy 97.666773 77.955862)
+ (xy 97.646946 77.976215)
+ (xy 97.645265 77.977576)
+ (xy 97.627688 77.994925)
+ (xy 97.621667 78.002165)
+ (xy 97.615093 78.008913)
+ (xy 97.599673 78.028211)
+ (xy 97.598491 78.030031)
+ (xy 97.580331 78.051866)
+ (xy 97.560025 78.089263)
+ (xy 97.543782 78.114275)
+ (xy 97.517875 78.148038)
+ (xy 97.505308 78.173522)
+ (xy 97.504132 78.175332)
+ (xy 97.492775 78.197264)
+ (xy 97.48928 78.206024)
+ (xy 97.485118 78.214464)
+ (xy 97.476416 78.237584)
+ (xy 97.475857 78.239671)
+ (xy 97.465331 78.266054)
+ (xy 97.457577 78.307891)
+ (xy 97.449855 78.336709)
+ (xy 97.435651 78.376822)
+ (xy 97.431575 78.404932)
+ (xy 97.431016 78.407019)
+ (xy 97.426991 78.431395)
+ (xy 97.426375 78.440791)
+ (xy 97.425023 78.450116)
+ (xy 97.423892 78.474792)
+ (xy 97.424005 78.476948)
+ (xy 97.422147 78.505297)
+ (xy 97.427702 78.547497)
+ (xy 97.429263 78.577272)
+ (xy 97.428149 78.619815)
+ (xy 97.43296 78.647813)
+ (xy 97.433073 78.64997)
+ (xy 97.436778 78.674397)
+ (xy 97.439095 78.683519)
+ (xy 97.44069 78.692803)
+ (xy 97.44724 78.716625)
+ (xy 97.448016 78.718646)
+ (xy 97.455008 78.746177)
+ (xy 97.473329 78.784588)
+ (xy 97.484019 78.812434)
+ (xy 97.496103 78.853231)
+ (xy 97.509331 78.878375)
+ (xy 97.510108 78.880398)
+ (xy 97.521175 78.902473)
+ (xy 97.526198 78.910433)
+ (xy 97.530588 78.918778)
+ (xy 97.54418 78.939408)
+ (xy 97.545538 78.941085)
+ (xy 97.560696 78.965109)
+ (xy 97.589987 78.995977)
+ (xy 97.608756 79.019154)
+ (xy 97.632863 79.054229)
+ (xy 97.65321 79.07405)
+ (xy 97.654569 79.075728)
+ (xy 97.671924 79.093312)
+ (xy 97.679171 79.099339)
+ (xy 97.685914 79.105908)
+ (xy 97.705212 79.121328)
+ (xy 97.707027 79.122507)
+ (xy 97.728866 79.14067)
+ (xy 97.76627 79.160978)
+ (xy 97.791262 79.177209)
+ (xy 97.825037 79.203124)
+ (xy 97.850512 79.215687)
+ (xy 97.852332 79.216869)
+ (xy 97.874267 79.228226)
+ (xy 97.883007 79.231713)
+ (xy 97.891459 79.235881)
+ (xy 97.914574 79.244581)
+ (xy 97.91667 79.245143)
+ (xy 97.943055 79.255669)
+ (xy 97.984897 79.263424)
+ (xy 98.013704 79.271143)
+ (xy 98.053821 79.285349)
+ (xy 98.081931 79.289425)
+ (xy 98.084021 79.289985)
+ (xy 98.108395 79.294009)
+ (xy 98.117789 79.294625)
+ (xy 98.127115 79.295977)
+ (xy 98.151793 79.297108)
+ (xy 98.153949 79.296995)
+ (xy 98.182297 79.298853)
+ (xy 98.224497 79.293298)
+ (xy 98.254272 79.291737)
+ (xy 98.296815 79.292851)
+ (xy 98.324813 79.28804)
+ (xy 98.32697 79.287927)
+ (xy 98.351397 79.284222)
+ (xy 98.360519 79.281905)
+ (xy 98.362107 79.281632)
+ (xy 98.501134 79.362071)
+ (xy 98.501871 79.364443)
+ (xy 98.511173 79.381574)
+ (xy 98.523017 79.412428)
+ (xy 98.535103 79.453231)
+ (xy 98.548331 79.478375)
+ (xy 98.549108 79.480398)
+ (xy 98.560175 79.502473)
+ (xy 98.565198 79.510433)
+ (xy 98.569588 79.518778)
+ (xy 98.58318 79.539408)
+ (xy 98.584538 79.541085)
+ (xy 98.599696 79.565109)
+ (xy 98.628987 79.595977)
+ (xy 98.647756 79.619154)
+ (xy 98.671863 79.654229)
+ (xy 98.69221 79.67405)
+ (xy 98.693569 79.675728)
+ (xy 98.710924 79.693312)
+ (xy 98.718171 79.699339)
+ (xy 98.724914 79.705908)
+ (xy 98.744212 79.721328)
+ (xy 98.746027 79.722507)
+ (xy 98.767866 79.74067)
+ (xy 98.80527 79.760978)
+ (xy 98.830262 79.777209)
+ (xy 98.864037 79.803124)
+ (xy 98.889512 79.815687)
+ (xy 98.891332 79.816869)
+ (xy 98.913267 79.828226)
+ (xy 98.922007 79.831713)
+ (xy 98.930459 79.835881)
+ (xy 98.953574 79.844581)
+ (xy 98.95567 79.845143)
+ (xy 98.982055 79.855669)
+ (xy 99.023897 79.863424)
+ (xy 99.052704 79.871143)
+ (xy 99.092821 79.885349)
+ (xy 99.120931 79.889425)
+ (xy 99.123021 79.889985)
+ (xy 99.147395 79.894009)
+ (xy 99.156789 79.894625)
+ (xy 99.166115 79.895977)
+ (xy 99.190793 79.897108)
+ (xy 99.192949 79.896995)
+ (xy 99.221297 79.898853)
+ (xy 99.263497 79.893298)
+ (xy 99.293272 79.891737)
+ (xy 99.335815 79.892851)
+ (xy 99.363813 79.88804)
+ (xy 99.36597 79.887927)
+ (xy 99.390397 79.884222)
+ (xy 99.399519 79.881905)
+ (xy 99.408803 79.88031)
+ (xy 99.432625 79.87376)
+ (xy 99.434646 79.872984)
+ (xy 99.462177 79.865992)
+ (xy 99.500588 79.847671)
+ (xy 99.528434 79.836981)
+ (xy 99.569231 79.824897)
+ (xy 99.594375 79.811669)
+ (xy 99.596398 79.810892)
+ (xy 99.618473 79.799825)
+ (xy 99.626433 79.794802)
+ (xy 99.634778 79.790412)
+ (xy 99.655408 79.77682)
+ (xy 99.657085 79.775462)
+ (xy 99.681109 79.760304)
+ (xy 99.711977 79.731013)
+ (xy 99.735154 79.712244)
+ (xy 99.770229 79.688137)
+ (xy 99.79005 79.66779)
+ (xy 99.791728 79.666431)
+ (xy 99.809312 79.649076)
+ (xy 99.815339 79.641829)
+ (xy 99.821908 79.635086)
+ (xy 99.837328 79.615788)
+ (xy 99.838507 79.613973)
+ (xy 99.85667 79.592134)
+ (xy 99.876978 79.55473)
+ (xy 99.893209 79.529738)
+ (xy 99.919124 79.495963)
+ (xy 99.931687 79.470488)
+ (xy 99.932869 79.468668)
+ (xy 99.944226 79.446733)
+ (xy 99.947713 79.437993)
+ (xy 99.951881 79.429541)
+ (xy 99.960581 79.406426)
+ (xy 99.961143 79.40433)
+ (xy 99.971669 79.377945)
+ (xy 99.979424 79.336103)
+ (xy 99.987143 79.307296)
+ (xy 100.001349 79.267179)
+ (xy 100.005425 79.239069)
+ (xy 100.005985 79.236979)
+ (xy 100.010009 79.212605)
+ (xy 100.010625 79.203212)
+ (xy 100.011977 79.193886)
+ (xy 100.013108 79.169209)
+ (xy 100.012995 79.167051)
+ (xy 100.014853 79.138703)
+ (xy 100.009298 79.096503)
+ (xy 100.007737 79.066727)
+ (xy 100.008851 79.024182)
+ (xy 100.004041 78.996194)
+ (xy 100.003928 78.994031)
+ (xy 100.000222 78.969603)
+ (xy 99.997901 78.960464)
+ (xy 99.997816 78.959969)
+ (xy 100.05473 78.861381)
+ (xy 100.951996 78.861381)
+ (xy 100.975216 78.928473)
+ (xy 101.014853 78.964505)
+ (xy 102.097384 79.589505)
+ (xy 102.119883 79.599699)
+ (xy 102.214099 79.631681)
+ (xy 102.26284 79.638098)
+ (xy 102.362123 79.631591)
+ (xy 102.409612 79.618867)
+ (xy 102.498847 79.574861)
+ (xy 102.53785 79.544932)
+ (xy 102.603452 79.470127)
+ (xy 102.617839 79.45005)
+ (xy 102.942839 78.887133)
+ (xy 102.959577 78.818138)
+ (xy 102.936357 78.751046)
+ (xy 102.89672 78.715014)
+ (xy 101.593353 77.962514)
+ (xy 101.524358 77.945776)
+ (xy 101.457266 77.968996)
+ (xy 101.421234 78.008633)
+ (xy 100.968734 78.792386)
+ (xy 100.951996 78.861381)
+ (xy 100.05473 78.861381)
+ (xy 100.250094 78.522972)
+ (xy 100.58184 78.714505)
+ (xy 100.650835 78.731243)
+ (xy 100.717927 78.708023)
+ (xy 100.753959 78.668386)
+ (xy 101.213695 77.872101)
+ (xy 101.393459 77.56074)
+ (xy 103.02072 78.500239)
+ (xy 103.089715 78.516977)
+ (xy 103.156807 78.493757)
+ (xy 103.192839 78.45412)
+ (xy 103.517839 77.891204)
+ (xy 103.528033 77.868705)
+ (xy 103.560015 77.774489)
+ (xy 103.566432 77.725748)
+ (xy 103.559925 77.626465)
+ (xy 103.547201 77.578976)
+ (xy 103.503195 77.489741)
+ (xy 103.487851 77.469745)
+ (xy 103.51284 77.473035)
+ (xy 103.612123 77.466528)
+ (xy 103.659612 77.453804)
+ (xy 103.748847 77.409798)
+ (xy 103.78785 77.379869)
+ (xy 103.853452 77.305064)
+ (xy 103.867839 77.284987)
+ (xy 104.192839 76.72207)
+ (xy 104.209577 76.653075)
+ (xy 104.186357 76.585983)
+ (xy 104.14672 76.549951)
+ (xy 102.519459 75.610451)
+ (xy 102.643459 75.395677)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 156.979663 119.43172)
+ (xy 156.985144 119.456914)
+ (xy 157.002266 119.49825)
+ (xy 157.075937 119.612885)
+ (xy 157.099423 119.63999)
+ (xy 157.202406 119.729225)
+ (xy 157.232576 119.748614)
+ (xy 157.351427 119.802891)
+ (xy 157.318087 119.810144)
+ (xy 157.27675 119.827266)
+ (xy 157.162115 119.900937)
+ (xy 157.13501 119.924423)
+ (xy 157.045775 120.027406)
+ (xy 157.026386 120.057576)
+ (xy 156.969779 120.181529)
+ (xy 156.959676 120.215939)
+ (xy 156.945283 120.316043)
+ (xy 156.944 120.333975)
+ (xy 156.944 120.3375)
+ (xy 156.964002 120.405621)
+ (xy 157.017658 120.452114)
+ (xy 157.07 120.4635)
+ (xy 157.924 120.463501)
+ (xy 157.923999 120.7115)
+ (xy 157.071798 120.711499)
+ (xy 157.003677 120.731501)
+ (xy 156.957184 120.785157)
+ (xy 156.948678 120.864282)
+ (xy 156.985144 121.031913)
+ (xy 156.992019 121.04851)
+ (xy 151.359145 121.04851)
+ (xy 150.855952 120.545317)
+ (xy 150.85312 120.518983)
+ (xy 150.814856 120.343087)
+ (xy 150.797734 120.30175)
+ (xy 150.724063 120.187115)
+ (xy 150.700577 120.16001)
+ (xy 150.597594 120.070775)
+ (xy 150.567424 120.051386)
+ (xy 150.448573 119.997109)
+ (xy 150.481913 119.989856)
+ (xy 150.52325 119.972734)
+ (xy 150.637885 119.899063)
+ (xy 150.66499 119.875577)
+ (xy 150.754225 119.772594)
+ (xy 150.773614 119.742424)
+ (xy 150.830221 119.618471)
+ (xy 150.840324 119.584061)
+ (xy 150.854717 119.483957)
+ (xy 150.856 119.466025)
+ (xy 150.856 118.970766)
+ (xy 150.85312 118.943983)
+ (xy 150.814856 118.768087)
+ (xy 150.797734 118.72675)
+ (xy 150.724063 118.612115)
+ (xy 150.700577 118.58501)
+ (xy 150.597594 118.495775)
+ (xy 150.567424 118.476386)
+ (xy 150.443471 118.419779)
+ (xy 150.409061 118.409676)
+ (xy 150.308957 118.395283)
+ (xy 150.291025 118.394)
+ (xy 149.720766 118.394)
+ (xy 149.693983 118.39688)
+ (xy 149.518087 118.435144)
+ (xy 149.51602 118.436)
+ (xy 148.27899 118.436)
+ (xy 148.243471 118.419779)
+ (xy 148.209061 118.409676)
+ (xy 148.108957 118.395283)
+ (xy 148.091025 118.394)
+ (xy 147.520766 118.394)
+ (xy 147.504306 118.39577)
+ (xy 147.509679 118.391325)
+ (xy 147.532479 118.367046)
+ (xy 147.558154 118.345806)
+ (xy 147.579776 118.322781)
+ (xy 147.599359 118.295827)
+ (xy 147.62216 118.271547)
+ (xy 147.640726 118.245993)
+ (xy 147.650872 118.227538)
+ (xy 147.663775 118.210903)
+ (xy 147.675652 118.190819)
+ (xy 147.676353 118.189854)
+ (xy 147.678078 118.186716)
+ (xy 147.679854 118.183713)
+ (xy 147.680316 118.182646)
+ (xy 147.691571 118.162173)
+ (xy 147.703833 118.131201)
+ (xy 147.719883 118.102007)
+ (xy 147.731509 118.072641)
+ (xy 147.736745 118.052248)
+ (xy 147.745109 118.03292)
+ (xy 147.751624 118.010495)
+ (xy 147.752056 118.009404)
+ (xy 147.752932 118.005994)
+ (xy 147.753922 118.002585)
+ (xy 147.754107 118.001416)
+ (xy 147.759912 117.978809)
+ (xy 147.764087 117.945765)
+ (xy 147.772373 117.913491)
+ (xy 147.776082 117.890068)
+ (xy 147.776251 117.887382)
+ (xy 147.7765 117.87947)
+ (xy 147.7765 117.860031)
+ (xy 147.779625 117.840301)
+ (xy 147.780357 117.816975)
+ (xy 147.780506 117.815797)
+ (xy 147.780506 117.812233)
+ (xy 147.780616 117.808728)
+ (xy 147.780506 117.807565)
+ (xy 147.780506 117.784208)
+ (xy 147.7765 117.752497)
+ (xy 147.7765 116.4765)
+ (xy 154.024443 116.4765)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 150.010144 77.481913)
+ (xy 150.011001 77.483982)
+ (xy 150.011 78.103363)
+ (xy 149.720315 78.394048)
+ (xy 149.693983 78.39688)
+ (xy 149.518087 78.435144)
+ (xy 149.47675 78.452266)
+ (xy 149.362115 78.525937)
+ (xy 149.33501 78.549423)
+ (xy 149.245775 78.652406)
+ (xy 149.226386 78.682576)
+ (xy 149.169779 78.806529)
+ (xy 149.159676 78.840939)
+ (xy 149.145283 78.941043)
+ (xy 149.144 78.958975)
+ (xy 149.144 79.454234)
+ (xy 149.14688 79.481017)
+ (xy 149.185144 79.656913)
+ (xy 149.202266 79.69825)
+ (xy 149.275937 79.812885)
+ (xy 149.299423 79.83999)
+ (xy 149.402406 79.929225)
+ (xy 149.432576 79.948614)
+ (xy 149.551427 80.002891)
+ (xy 149.518087 80.010144)
+ (xy 149.47675 80.027266)
+ (xy 149.362115 80.100937)
+ (xy 149.33501 80.124423)
+ (xy 149.245775 80.227406)
+ (xy 149.226386 80.257576)
+ (xy 149.169779 80.381529)
+ (xy 149.159676 80.415939)
+ (xy 149.145283 80.516043)
+ (xy 149.144 80.533975)
+ (xy 149.144 80.545363)
+ (xy 148.666927 81.022437)
+ (xy 148.664769 81.022025)
+ (xy 148.633247 81.020042)
+ (xy 148.578288 81.0235)
+ (xy 148.560421 81.0235)
+ (xy 148.544631 81.024493)
+ (xy 148.526897 81.026733)
+ (xy 148.469259 81.03036)
+ (xy 148.438235 81.036278)
+ (xy 148.429896 81.038988)
+ (xy 148.421193 81.040087)
+ (xy 148.390601 81.047942)
+ (xy 148.336894 81.069206)
+ (xy 148.281966 81.087053)
+ (xy 148.253385 81.100502)
+ (xy 148.245982 81.1052)
+ (xy 148.237829 81.108428)
+ (xy 148.210148 81.123645)
+ (xy 148.163412 81.157601)
+ (xy 148.114655 81.188543)
+ (xy 148.090321 81.208674)
+ (xy 148.084317 81.215067)
+ (xy 148.077217 81.220226)
+ (xy 148.054194 81.241846)
+ (xy 148.017376 81.286352)
+ (xy 147.977839 81.328454)
+ (xy 147.959274 81.354007)
+ (xy 147.955048 81.361694)
+ (xy 147.949459 81.36845)
+ (xy 147.932535 81.395117)
+ (xy 147.907942 81.447379)
+ (xy 147.880117 81.497993)
+ (xy 147.86849 81.527361)
+ (xy 147.86631 81.535852)
+ (xy 147.862575 81.543789)
+ (xy 147.852814 81.573829)
+ (xy 147.841988 81.63058)
+ (xy 147.827628 81.686509)
+ (xy 147.823669 81.717844)
+ (xy 147.823669 81.726613)
+ (xy 147.822025 81.735231)
+ (xy 147.820042 81.766753)
+ (xy 147.823669 81.824398)
+ (xy 147.823669 81.882156)
+ (xy 147.827627 81.913489)
+ (xy 147.829809 81.921988)
+ (xy 147.83036 81.930742)
+ (xy 147.836278 81.961764)
+ (xy 147.854123 82.016685)
+ (xy 147.86849 82.072641)
+ (xy 147.880117 82.102006)
+ (xy 147.884342 82.109691)
+ (xy 147.887053 82.118035)
+ (xy 147.900501 82.146612)
+ (xy 147.93144 82.195364)
+ (xy 147.959274 82.245994)
+ (xy 147.977839 82.271546)
+ (xy 147.983845 82.277942)
+ (xy 147.988544 82.285346)
+ (xy 148.008676 82.309681)
+ (xy 148.050781 82.349219)
+ (xy 148.090319 82.391324)
+ (xy 148.114654 82.411456)
+ (xy 148.122058 82.416155)
+ (xy 148.128454 82.422161)
+ (xy 148.154006 82.440726)
+ (xy 148.204636 82.46856)
+ (xy 148.253388 82.499499)
+ (xy 148.281965 82.512947)
+ (xy 148.290309 82.515658)
+ (xy 148.297994 82.519883)
+ (xy 148.327359 82.53151)
+ (xy 148.383315 82.545877)
+ (xy 148.438236 82.563722)
+ (xy 148.469258 82.56964)
+ (xy 148.478012 82.570191)
+ (xy 148.486511 82.572373)
+ (xy 148.509932 82.576082)
+ (xy 148.512618 82.576251)
+ (xy 148.52053 82.5765)
+ (xy 148.578288 82.5765)
+ (xy 148.633247 82.579958)
+ (xy 148.664769 82.577975)
+ (xy 148.672501 82.5765)
+ (xy 148.908322 82.5765)
+ (xy 148.929771 82.578528)
+ (xy 148.994305 82.5765)
+ (xy 149.027079 82.5765)
+ (xy 149.04287 82.575507)
+ (xy 149.048488 82.574797)
+ (xy 149.094001 82.573367)
+ (xy 149.125198 82.568426)
+ (xy 149.145416 82.562552)
+ (xy 149.166307 82.559913)
+ (xy 149.196899 82.552058)
+ (xy 149.239249 82.535291)
+ (xy 149.282982 82.522585)
+ (xy 149.31197 82.51004)
+ (xy 149.330086 82.499326)
+ (xy 149.349671 82.491572)
+ (xy 149.377351 82.476355)
+ (xy 149.414203 82.44958)
+ (xy 149.453399 82.4264)
+ (xy 149.472586 82.41246)
+ (xy 149.474605 82.41068)
+ (xy 149.480374 82.405261)
+ (xy 149.494111 82.391524)
+ (xy 149.510282 82.379775)
+ (xy 149.533305 82.358155)
+ (xy 149.561197 82.32444)
+ (xy 150.000001 81.885636)
+ (xy 152.907433 84.793069)
+ (xy 152.921176 84.809681)
+ (xy 152.968263 84.853899)
+ (xy 152.991418 84.877054)
+ (xy 153.003287 84.887519)
+ (xy 153.007754 84.890984)
+ (xy 153.040954 84.922161)
+ (xy 153.066507 84.940726)
+ (xy 153.084962 84.950871)
+ (xy 153.101597 84.963775)
+ (xy 153.128783 84.979852)
+ (xy 153.170572 84.997936)
+ (xy 153.210492 85.019882)
+ (xy 153.23986 85.03151)
+ (xy 153.26026 85.036748)
+ (xy 153.279579 85.045108)
+ (xy 153.30991 85.053921)
+ (xy 153.3549 85.061047)
+ (xy 153.399009 85.072372)
+ (xy 153.422432 85.076082)
+ (xy 153.425118 85.076251)
+ (xy 153.43303 85.0765)
+ (xy 153.452469 85.0765)
+ (xy 153.472199 85.079625)
+ (xy 153.503768 85.080617)
+ (xy 153.547321 85.0765)
+ (xy 155.678364 85.0765)
+ (xy 156.194938 85.593075)
+ (xy 156.208676 85.609681)
+ (xy 156.255764 85.6539)
+ (xy 156.278918 85.677054)
+ (xy 156.290785 85.687517)
+ (xy 156.295251 85.690982)
+ (xy 156.328454 85.722161)
+ (xy 156.354006 85.740726)
+ (xy 156.37246 85.750871)
+ (xy 156.389097 85.763776)
+ (xy 156.416282 85.779853)
+ (xy 156.458083 85.797942)
+ (xy 156.497994 85.819883)
+ (xy 156.527359 85.831509)
+ (xy 156.547752 85.836745)
+ (xy 156.56708 85.845109)
+ (xy 156.59741 85.853921)
+ (xy 156.6424 85.861047)
+ (xy 156.686509 85.872372)
+ (xy 156.709932 85.876082)
+ (xy 156.712618 85.876251)
+ (xy 156.72053 85.8765)
+ (xy 156.739969 85.8765)
+ (xy 156.759699 85.879625)
+ (xy 156.791268 85.880617)
+ (xy 156.834821 85.8765)
+ (xy 157.626909 85.8765)
+ (xy 164.823511 93.073103)
+ (xy 164.82351 96.308332)
+ (xy 164.821482 96.329781)
+ (xy 164.82351 96.394315)
+ (xy 164.82351 96.427088)
+ (xy 164.824504 96.442881)
+ (xy 164.825212 96.448489)
+ (xy 164.826643 96.494011)
+ (xy 164.831584 96.525206)
+ (xy 164.837457 96.545422)
+ (xy 164.840097 96.566317)
+ (xy 164.847952 96.596908)
+ (xy 164.864718 96.639255)
+ (xy 164.877425 96.682992)
+ (xy 164.889969 96.71198)
+ (xy 164.900686 96.730101)
+ (xy 164.908438 96.749681)
+ (xy 164.923655 96.77736)
+ (xy 164.950427 96.814208)
+ (xy 164.97361 96.853409)
+ (xy 164.98755 96.872596)
+ (xy 164.98933 96.874615)
+ (xy 164.994749 96.880384)
+ (xy 165.008489 96.894124)
+ (xy 165.020235 96.910291)
+ (xy 165.041855 96.933315)
+ (xy 165.075579 96.961214)
+ (xy 168.394048 100.279684)
+ (xy 168.39688 100.306017)
+ (xy 168.435144 100.481913)
+ (xy 168.436 100.48398)
+ (xy 168.436001 101.465864)
+ (xy 165.906933 103.994932)
+ (xy 165.890319 104.008676)
+ (xy 165.846085 104.05578)
+ (xy 165.822946 104.078919)
+ (xy 165.812481 104.090789)
+ (xy 165.809024 104.095245)
+ (xy 165.777839 104.128454)
+ (xy 165.759274 104.154007)
+ (xy 165.749128 104.172462)
+ (xy 165.736225 104.189097)
+ (xy 165.720146 104.216285)
+ (xy 165.70206 104.25808)
+ (xy 165.680118 104.297992)
+ (xy 165.668491 104.327357)
+ (xy 165.663253 104.347756)
+ (xy 165.65489 104.367083)
+ (xy 165.646079 104.397411)
+ (xy 165.638955 104.442392)
+ (xy 165.627627 104.48651)
+ (xy 165.623669 104.517844)
+ (xy 165.623669 104.538903)
+ (xy 165.620375 104.5597)
+ (xy 165.619383 104.591269)
+ (xy 165.623669 104.63661)
+ (xy 165.623669 104.682156)
+ (xy 165.627627 104.71349)
+ (xy 165.632864 104.733888)
+ (xy 165.634846 104.754852)
+ (xy 165.641736 104.785675)
+ (xy 165.657164 104.828527)
+ (xy 165.66849 104.87264)
+ (xy 165.680117 104.902006)
+ (xy 165.69026 104.920456)
+ (xy 165.697394 104.940272)
+ (xy 165.711732 104.968412)
+ (xy 165.737332 105.006082)
+ (xy 165.759274 105.045994)
+ (xy 165.777841 105.071548)
+ (xy 165.792254 105.086896)
+ (xy 165.804089 105.104311)
+ (xy 165.824978 105.128005)
+ (xy 165.859141 105.158123)
+ (xy 165.890319 105.191324)
+ (xy 165.914653 105.211455)
+ (xy 165.932437 105.222741)
+ (xy 165.948232 105.236666)
+ (xy 165.974351 105.254416)
+ (xy 166.014918 105.275087)
+ (xy 166.053388 105.2995)
+ (xy 166.081968 105.312948)
+ (xy 166.101995 105.319455)
+ (xy 166.120753 105.329013)
+ (xy 166.150471 105.339712)
+ (xy 166.194908 105.349645)
+ (xy 166.238237 105.363723)
+ (xy 166.269261 105.36964)
+ (xy 166.29028 105.370962)
+ (xy 166.310826 105.375555)
+ (xy 166.342271 105.378528)
+ (xy 166.387786 105.377098)
+ (xy 166.433246 105.379958)
+ (xy 166.464767 105.377975)
+ (xy 166.485456 105.374029)
+ (xy 166.506502 105.373367)
+ (xy 166.537696 105.368426)
+ (xy 166.581423 105.355722)
+ (xy 166.626171 105.347186)
+ (xy 166.656211 105.337425)
+ (xy 166.675269 105.328457)
+ (xy 166.69548 105.322585)
+ (xy 166.724468 105.310041)
+ (xy 166.763661 105.286862)
+ (xy 166.804882 105.267465)
+ (xy 166.831549 105.250542)
+ (xy 166.847778 105.237117)
+ (xy 166.8659 105.226399)
+ (xy 166.885086 105.21246)
+ (xy 166.887105 105.21068)
+ (xy 166.892874 105.205261)
+ (xy 166.924432 105.173703)
+ (xy 166.958154 105.145806)
+ (xy 166.979775 105.122782)
+ (xy 166.991524 105.106611)
+ (xy 169.705569 102.392567)
+ (xy 169.722181 102.378824)
+ (xy 169.766399 102.331737)
+ (xy 169.789554 102.308582)
+ (xy 169.800017 102.296715)
+ (xy 169.803482 102.292249)
+ (xy 169.834661 102.259046)
+ (xy 169.853226 102.233494)
+ (xy 169.863371 102.21504)
+ (xy 169.876276 102.198403)
+ (xy 169.892353 102.171218)
+ (xy 169.910443 102.129415)
+ (xy 169.932383 102.089506)
+ (xy 169.944009 102.060142)
+ (xy 169.949245 102.039749)
+ (xy 169.95761 102.020419)
+ (xy 169.966422 101.990091)
+ (xy 169.973549 101.945096)
+ (xy 169.984873 101.90099)
+ (xy 169.988582 101.877568)
+ (xy 169.988751 101.874882)
+ (xy 169.989 101.86697)
+ (xy 169.989 101.847538)
+ (xy 169.992126 101.827801)
+ (xy 169.993118 101.796232)
+ (xy 169.989 101.75267)
+ (xy 169.989 100.47899)
+ (xy 170.002891 100.448573)
+ (xy 170.010144 100.481913)
+ (xy 170.027266 100.52325)
+ (xy 170.100937 100.637885)
+ (xy 170.124423 100.66499)
+ (xy 170.227406 100.754225)
+ (xy 170.257576 100.773614)
+ (xy 170.381529 100.830221)
+ (xy 170.415939 100.840324)
+ (xy 170.516043 100.854717)
+ (xy 170.533975 100.856)
+ (xy 171.029234 100.856)
+ (xy 171.048511 100.853928)
+ (xy 171.048511 101.253353)
+ (xy 165.538364 106.763501)
+ (xy 165.446668 106.793206)
+ (xy 165.420133 106.805354)
+ (xy 165.270541 106.896129)
+ (xy 165.252502 106.909405)
+ (xy 165.242902 106.917883)
+ (xy 165.237212 106.923231)
+ (xy 157.226711 114.933733)
+ (xy 157.210069 114.94598)
+ (xy 157.188726 114.965883)
+ (xy 157.155775 115.004669)
+ (xy 157.149896 115.010548)
+ (xy 157.140178 115.021464)
+ (xy 157.122185 115.044206)
+ (xy 157.075434 115.099236)
+ (xy 157.059242 115.123517)
+ (xy 157.058889 115.124208)
+ (xy 157.058401 115.124825)
+ (xy 157.043064 115.149657)
+ (xy 157.012491 115.215072)
+ (xy 156.979667 115.279353)
+ (xy 156.969494 115.306708)
+ (xy 156.969309 115.307465)
+ (xy 156.968977 115.308175)
+ (xy 156.959764 115.33587)
+ (xy 156.945063 115.406552)
+ (xy 156.927905 115.47667)
+ (xy 156.924536 115.498818)
+ (xy 156.923755 115.511407)
+ (xy 156.921538 115.536249)
+ (xy 156.923501 115.608809)
+ (xy 156.923501 116.896443)
+ (xy 155.05377 115.026714)
+ (xy 155.04152 115.010068)
+ (xy 155.021617 114.988725)
+ (xy 154.982825 114.955769)
+ (xy 154.976952 114.949896)
+ (xy 154.966035 114.940177)
+ (xy 154.94329 114.922182)
+ (xy 154.888264 114.875434)
+ (xy 154.863987 114.859243)
+ (xy 154.863288 114.858886)
+ (xy 154.862671 114.858398)
+ (xy 154.837843 114.843064)
+ (xy 154.772452 114.812502)
+ (xy 154.708147 114.779666)
+ (xy 154.68079 114.769493)
+ (xy 154.680032 114.769308)
+ (xy 154.679327 114.768978)
+ (xy 154.651631 114.759764)
+ (xy 154.580963 114.745065)
+ (xy 154.510829 114.727904)
+ (xy 154.488682 114.724535)
+ (xy 154.476057 114.723752)
+ (xy 154.451252 114.721538)
+ (xy 154.378728 114.7235)
+ (xy 143.163058 114.7235)
+ (xy 136.429671 107.990114)
+ (xy 148.268888 107.990114)
+ (xy 148.268888 108.009887)
+ (xy 148.276918 108.111908)
+ (xy 148.288654 108.261041)
+ (xy 148.291747 108.28057)
+ (xy 148.35056 108.525541)
+ (xy 148.35667 108.544345)
+ (xy 148.45308 108.7771)
+ (xy 148.462056 108.794717)
+ (xy 148.59369 109.009524)
+ (xy 148.605312 109.025519)
+ (xy 148.768929 109.21709)
+ (xy 148.78291 109.231071)
+ (xy 148.974481 109.394688)
+ (xy 148.990476 109.40631)
+ (xy 149.205283 109.537944)
+ (xy 149.2229 109.54692)
+ (xy 149.455655 109.64333)
+ (xy 149.474459 109.64944)
+ (xy 149.71943 109.708253)
+ (xy 149.738958 109.711346)
+ (xy 149.990114 109.731112)
+ (xy 150.009886 109.731112)
+ (xy 150.261042 109.711346)
+ (xy 150.28057 109.708253)
+ (xy 150.525541 109.64944)
+ (xy 150.544345 109.64333)
+ (xy 150.7771 109.54692)
+ (xy 150.794717 109.537944)
+ (xy 151.009524 109.40631)
+ (xy 151.025519 109.394688)
+ (xy 151.21709 109.231071)
+ (xy 151.231071 109.21709)
+ (xy 151.394688 109.025519)
+ (xy 151.40631 109.009524)
+ (xy 151.537944 108.794717)
+ (xy 151.54692 108.7771)
+ (xy 151.64333 108.544345)
+ (xy 151.64944 108.525541)
+ (xy 151.708253 108.28057)
+ (xy 151.711346 108.261042)
+ (xy 151.731112 108.009886)
+ (xy 151.731112 107.990114)
+ (xy 151.711346 107.738958)
+ (xy 151.708253 107.71943)
+ (xy 151.64944 107.474459)
+ (xy 151.64333 107.455655)
+ (xy 151.54692 107.2229)
+ (xy 151.537944 107.205283)
+ (xy 151.40631 106.990476)
+ (xy 151.394688 106.974481)
+ (xy 151.231071 106.78291)
+ (xy 151.21709 106.768929)
+ (xy 151.025519 106.605312)
+ (xy 151.009524 106.59369)
+ (xy 150.794717 106.462056)
+ (xy 150.7771 106.45308)
+ (xy 150.544345 106.35667)
+ (xy 150.525541 106.35056)
+ (xy 150.28057 106.291747)
+ (xy 150.261042 106.288654)
+ (xy 150.009886 106.268888)
+ (xy 149.990114 106.268888)
+ (xy 149.738958 106.288654)
+ (xy 149.71943 106.291747)
+ (xy 149.474459 106.35056)
+ (xy 149.455655 106.35667)
+ (xy 149.2229 106.45308)
+ (xy 149.205283 106.462056)
+ (xy 148.990476 106.59369)
+ (xy 148.974481 106.605312)
+ (xy 148.78291 106.768929)
+ (xy 148.768929 106.78291)
+ (xy 148.605312 106.974481)
+ (xy 148.59369 106.990476)
+ (xy 148.462056 107.205283)
+ (xy 148.45308 107.2229)
+ (xy 148.35667 107.455655)
+ (xy 148.35056 107.474459)
+ (xy 148.291747 107.71943)
+ (xy 148.288654 107.738958)
+ (xy 148.268888 107.990114)
+ (xy 136.429671 107.990114)
+ (xy 136.176776 107.73722)
+ (xy 136.176769 107.737212)
+ (xy 131.664 103.224444)
+ (xy 131.664 102.863057)
+ (xy 132.498303 102.028754)
+ (xy 132.514941 102.01651)
+ (xy 132.536284 101.996607)
+ (xy 132.569231 101.957826)
+ (xy 132.575114 101.951943)
+ (xy 132.584834 101.941025)
+ (xy 132.602851 101.918252)
+ (xy 132.649575 101.863255)
+ (xy 132.665765 101.838981)
+ (xy 132.666122 101.838283)
+ (xy 132.666615 101.837659)
+ (xy 132.681946 101.812833)
+ (xy 132.712513 101.74743)
+ (xy 132.745343 101.683138)
+ (xy 132.755516 101.655784)
+ (xy 132.755701 101.655027)
+ (xy 132.756034 101.654315)
+ (xy 132.765246 101.626622)
+ (xy 132.779944 101.555953)
+ (xy 132.797106 101.48582)
+ (xy 132.800475 101.463672)
+ (xy 132.801258 101.45105)
+ (xy 132.803472 101.426242)
+ (xy 132.80151 101.353733)
+ (xy 132.80151 99.990114)
+ (xy 140.268888 99.990114)
+ (xy 140.268888 100.009887)
+ (xy 140.276918 100.111908)
+ (xy 140.288654 100.261041)
+ (xy 140.291747 100.28057)
+ (xy 140.35056 100.525541)
+ (xy 140.35667 100.544345)
+ (xy 140.45308 100.7771)
+ (xy 140.462056 100.794717)
+ (xy 140.59369 101.009524)
+ (xy 140.605312 101.025519)
+ (xy 140.768929 101.21709)
+ (xy 140.78291 101.231071)
+ (xy 140.974481 101.394688)
+ (xy 140.990476 101.40631)
+ (xy 141.205283 101.537944)
+ (xy 141.2229 101.54692)
+ (xy 141.455655 101.64333)
+ (xy 141.474459 101.64944)
+ (xy 141.71943 101.708253)
+ (xy 141.738958 101.711346)
+ (xy 141.990114 101.731112)
+ (xy 142.009886 101.731112)
+ (xy 142.261042 101.711346)
+ (xy 142.28057 101.708253)
+ (xy 142.525541 101.64944)
+ (xy 142.544345 101.64333)
+ (xy 142.7771 101.54692)
+ (xy 142.794717 101.537944)
+ (xy 143.009524 101.40631)
+ (xy 143.025519 101.394688)
+ (xy 143.21709 101.231071)
+ (xy 143.231071 101.21709)
+ (xy 143.394688 101.025519)
+ (xy 143.40631 101.009524)
+ (xy 143.537944 100.794717)
+ (xy 143.54692 100.7771)
+ (xy 143.64333 100.544345)
+ (xy 143.64944 100.525541)
+ (xy 143.708253 100.28057)
+ (xy 143.711346 100.261042)
+ (xy 143.731112 100.009886)
+ (xy 143.731112 99.992478)
+ (xy 146.018663 99.992478)
+ (xy 146.02675 100.163955)
+ (xy 146.037041 100.382167)
+ (xy 146.038285 100.394855)
+ (xy 146.095948 100.780692)
+ (xy 146.098468 100.79319)
+ (xy 146.194828 101.171224)
+ (xy 146.198598 101.183403)
+ (xy 146.332668 101.549765)
+ (xy 146.33765 101.561501)
+ (xy 146.508056 101.912438)
+ (xy 146.514198 101.92361)
+ (xy 146.719196 102.255531)
+ (xy 146.726436 102.266026)
+ (xy 146.963927 102.57553)
+ (xy 146.97219 102.585239)
+ (xy 147.239743 102.86916)
+ (xy 147.248945 102.877985)
+ (xy 147.54382 103.133413)
+ (xy 147.553866 103.141262)
+ (xy 147.873045 103.365585)
+ (xy 147.883834 103.372379)
+ (xy 148.224047 103.563298)
+ (xy 148.235467 103.568967)
+ (xy 148.593233 103.724528)
+ (xy 148.605167 103.729013)
+ (xy 148.976821 103.847623)
+ (xy 148.989148 103.85088)
+ (xy 149.370886 103.931324)
+ (xy 149.383478 103.933319)
+ (xy 149.771391 103.974775)
+ (xy 149.78412 103.975486)
+ (xy 150.174237 103.977529)
+ (xy 150.186974 103.976951)
+ (xy 150.5753 103.939559)
+ (xy 150.587912 103.937697)
+ (xy 150.970472 103.861255)
+ (xy 150.982832 103.858127)
+ (xy 151.355708 103.743415)
+ (xy 151.367689 103.739054)
+ (xy 151.727064 103.587248)
+ (xy 151.738542 103.581699)
+ (xy 152.080736 103.394353)
+ (xy 152.091595 103.387673)
+ (xy 152.413105 103.166706)
+ (xy 152.423234 103.158962)
+ (xy 152.720767 102.906635)
+ (xy 152.73006 102.897908)
+ (xy 153.000572 102.616805)
+ (xy 153.008937 102.607182)
+ (xy 153.249656 102.300181)
+ (xy 153.257005 102.289763)
+ (xy 153.465468 101.960007)
+ (xy 153.471727 101.9489)
+ (xy 153.645799 101.599767)
+ (xy 153.650903 101.588084)
+ (xy 153.788801 101.223146)
+ (xy 153.792698 101.211007)
+ (xy 153.893011 100.834003)
+ (xy 153.895662 100.821532)
+ (xy 153.957363 100.43632)
+ (xy 153.95874 100.423645)
+ (xy 153.981197 100.034169)
+ (xy 153.981405 100.027356)
+ (xy 153.981499 100.00044)
+ (xy 153.981339 99.993625)
+ (xy 153.981162 99.990114)
+ (xy 156.268888 99.990114)
+ (xy 156.268888 100.009887)
+ (xy 156.276918 100.111908)
+ (xy 156.288654 100.261041)
+ (xy 156.291747 100.28057)
+ (xy 156.35056 100.525541)
+ (xy 156.35667 100.544345)
+ (xy 156.45308 100.7771)
+ (xy 156.462056 100.794717)
+ (xy 156.59369 101.009524)
+ (xy 156.605312 101.025519)
+ (xy 156.768929 101.21709)
+ (xy 156.78291 101.231071)
+ (xy 156.974481 101.394688)
+ (xy 156.990476 101.40631)
+ (xy 157.205283 101.537944)
+ (xy 157.2229 101.54692)
+ (xy 157.455655 101.64333)
+ (xy 157.474459 101.64944)
+ (xy 157.71943 101.708253)
+ (xy 157.738958 101.711346)
+ (xy 157.990114 101.731112)
+ (xy 158.009886 101.731112)
+ (xy 158.261042 101.711346)
+ (xy 158.28057 101.708253)
+ (xy 158.525541 101.64944)
+ (xy 158.544345 101.64333)
+ (xy 158.7771 101.54692)
+ (xy 158.794717 101.537944)
+ (xy 159.009524 101.40631)
+ (xy 159.025519 101.394688)
+ (xy 159.21709 101.231071)
+ (xy 159.231071 101.21709)
+ (xy 159.394688 101.025519)
+ (xy 159.40631 101.009524)
+ (xy 159.537944 100.794717)
+ (xy 159.54692 100.7771)
+ (xy 159.64333 100.544345)
+ (xy 159.64944 100.525541)
+ (xy 159.708253 100.28057)
+ (xy 159.711346 100.261042)
+ (xy 159.731112 100.009886)
+ (xy 159.731112 99.990114)
+ (xy 159.711346 99.738958)
+ (xy 159.708253 99.71943)
+ (xy 159.64944 99.474459)
+ (xy 159.64333 99.455655)
+ (xy 159.54692 99.2229)
+ (xy 159.537944 99.205283)
+ (xy 159.40631 98.990476)
+ (xy 159.394688 98.974481)
+ (xy 159.231071 98.78291)
+ (xy 159.21709 98.768929)
+ (xy 159.025519 98.605312)
+ (xy 159.009524 98.59369)
+ (xy 158.794717 98.462056)
+ (xy 158.7771 98.45308)
+ (xy 158.544345 98.35667)
+ (xy 158.525541 98.35056)
+ (xy 158.28057 98.291747)
+ (xy 158.261042 98.288654)
+ (xy 158.009886 98.268888)
+ (xy 157.990114 98.268888)
+ (xy 157.738958 98.288654)
+ (xy 157.71943 98.291747)
+ (xy 157.474459 98.35056)
+ (xy 157.455655 98.35667)
+ (xy 157.2229 98.45308)
+ (xy 157.205283 98.462056)
+ (xy 156.990476 98.59369)
+ (xy 156.974481 98.605312)
+ (xy 156.78291 98.768929)
+ (xy 156.768929 98.78291)
+ (xy 156.605312 98.974481)
+ (xy 156.59369 98.990476)
+ (xy 156.462056 99.205283)
+ (xy 156.45308 99.2229)
+ (xy 156.35667 99.455655)
+ (xy 156.35056 99.474459)
+ (xy 156.291747 99.71943)
+ (xy 156.288654 99.738958)
+ (xy 156.268888 99.990114)
+ (xy 153.981162 99.990114)
+ (xy 153.961602 99.604002)
+ (xy 153.960313 99.591318)
+ (xy 153.901302 99.205685)
+ (xy 153.898739 99.193196)
+ (xy 153.801061 98.8155)
+ (xy 153.797248 98.803334)
+ (xy 153.6619 98.437443)
+ (xy 153.656877 98.425725)
+ (xy 153.485247 98.075385)
+ (xy 153.479067 98.064234)
+ (xy 153.272912 97.733031)
+ (xy 153.265635 97.722562)
+ (xy 153.027065 97.413888)
+ (xy 153.018768 97.404207)
+ (xy 152.750225 97.121222)
+ (xy 152.740992 97.11243)
+ (xy 152.445227 96.858032)
+ (xy 152.435153 96.850218)
+ (xy 152.115194 96.627012)
+ (xy 152.104382 96.620256)
+ (xy 151.763504 96.430525)
+ (xy 151.752065 96.424896)
+ (xy 151.393759 96.270585)
+ (xy 151.381809 96.266141)
+ (xy 151.009742 96.148829)
+ (xy 150.997404 96.145615)
+ (xy 150.615388 96.066503)
+ (xy 150.602789 96.064552)
+ (xy 150.214733 96.024451)
+ (xy 150.202001 96.023784)
+ (xy 149.81188 96.023103)
+ (xy 149.799146 96.023726)
+ (xy 149.410952 96.062473)
+ (xy 149.398346 96.06438)
+ (xy 149.016056 96.142158)
+ (xy 149.003707 96.145328)
+ (xy 148.631234 96.26134)
+ (xy 148.619268 96.265743)
+ (xy 148.260425 96.418803)
+ (xy 148.248966 96.424391)
+ (xy 147.907428 96.61293)
+ (xy 147.896593 96.619649)
+ (xy 147.575856 96.841737)
+ (xy 147.565755 96.849516)
+ (xy 147.269104 97.10288)
+ (xy 147.25984 97.11164)
+ (xy 146.990312 97.393686)
+ (xy 146.981982 97.403337)
+ (xy 146.742335 97.711176)
+ (xy 146.735022 97.72162)
+ (xy 146.527712 98.052101)
+ (xy 146.521492 98.06323)
+ (xy 146.34864 98.412969)
+ (xy 146.343576 98.42467)
+ (xy 146.206952 98.790087)
+ (xy 146.203097 98.80224)
+ (xy 146.104101 99.179592)
+ (xy 146.101494 99.192072)
+ (xy 146.041138 99.577498)
+ (xy 146.039805 99.590177)
+ (xy 146.018707 99.979728)
+ (xy 146.018663 99.992478)
+ (xy 143.731112 99.992478)
+ (xy 143.731112 99.990114)
+ (xy 143.711346 99.738958)
+ (xy 143.708253 99.71943)
+ (xy 143.64944 99.474459)
+ (xy 143.64333 99.455655)
+ (xy 143.54692 99.2229)
+ (xy 143.537944 99.205283)
+ (xy 143.40631 98.990476)
+ (xy 143.394688 98.974481)
+ (xy 143.231071 98.78291)
+ (xy 143.21709 98.768929)
+ (xy 143.025519 98.605312)
+ (xy 143.009524 98.59369)
+ (xy 142.794717 98.462056)
+ (xy 142.7771 98.45308)
+ (xy 142.544345 98.35667)
+ (xy 142.525541 98.35056)
+ (xy 142.28057 98.291747)
+ (xy 142.261042 98.288654)
+ (xy 142.009886 98.268888)
+ (xy 141.990114 98.268888)
+ (xy 141.738958 98.288654)
+ (xy 141.71943 98.291747)
+ (xy 141.474459 98.35056)
+ (xy 141.455655 98.35667)
+ (xy 141.2229 98.45308)
+ (xy 141.205283 98.462056)
+ (xy 140.990476 98.59369)
+ (xy 140.974481 98.605312)
+ (xy 140.78291 98.768929)
+ (xy 140.768929 98.78291)
+ (xy 140.605312 98.974481)
+ (xy 140.59369 98.990476)
+ (xy 140.462056 99.205283)
+ (xy 140.45308 99.2229)
+ (xy 140.35667 99.455655)
+ (xy 140.35056 99.474459)
+ (xy 140.291747 99.71943)
+ (xy 140.288654 99.738958)
+ (xy 140.268888 99.990114)
+ (xy 132.80151 99.990114)
+ (xy 132.80151 92.382022)
+ (xy 132.819706 92.349991)
+ (xy 132.830514 92.325014)
+ (xy 132.885157 92.148491)
+ (xy 132.89035 92.121776)
+ (xy 132.901406 91.990114)
+ (xy 148.268888 91.990114)
+ (xy 148.268888 92.009887)
+ (xy 148.276918 92.111908)
+ (xy 148.288654 92.261041)
+ (xy 148.291747 92.28057)
+ (xy 148.35056 92.525541)
+ (xy 148.35667 92.544345)
+ (xy 148.45308 92.7771)
+ (xy 148.462056 92.794717)
+ (xy 148.59369 93.009524)
+ (xy 148.605312 93.025519)
+ (xy 148.768929 93.21709)
+ (xy 148.78291 93.231071)
+ (xy 148.974481 93.394688)
+ (xy 148.990476 93.40631)
+ (xy 149.205283 93.537944)
+ (xy 149.2229 93.54692)
+ (xy 149.455655 93.64333)
+ (xy 149.474459 93.64944)
+ (xy 149.71943 93.708253)
+ (xy 149.738958 93.711346)
+ (xy 149.990114 93.731112)
+ (xy 150.009886 93.731112)
+ (xy 150.261042 93.711346)
+ (xy 150.28057 93.708253)
+ (xy 150.525541 93.64944)
+ (xy 150.544345 93.64333)
+ (xy 150.7771 93.54692)
+ (xy 150.794717 93.537944)
+ (xy 151.009524 93.40631)
+ (xy 151.025519 93.394688)
+ (xy 151.21709 93.231071)
+ (xy 151.231071 93.21709)
+ (xy 151.394688 93.025519)
+ (xy 151.40631 93.009524)
+ (xy 151.537944 92.794717)
+ (xy 151.54692 92.7771)
+ (xy 151.64333 92.544345)
+ (xy 151.64944 92.525541)
+ (xy 151.708253 92.28057)
+ (xy 151.711346 92.261042)
+ (xy 151.731112 92.009886)
+ (xy 151.731112 91.990114)
+ (xy 151.711346 91.738958)
+ (xy 151.708253 91.71943)
+ (xy 151.64944 91.474459)
+ (xy 151.64333 91.455655)
+ (xy 151.54692 91.2229)
+ (xy 151.537944 91.205283)
+ (xy 151.40631 90.990476)
+ (xy 151.394688 90.974481)
+ (xy 151.231071 90.78291)
+ (xy 151.21709 90.768929)
+ (xy 151.025519 90.605312)
+ (xy 151.009524 90.59369)
+ (xy 150.794717 90.462056)
+ (xy 150.7771 90.45308)
+ (xy 150.544345 90.35667)
+ (xy 150.525541 90.35056)
+ (xy 150.28057 90.291747)
+ (xy 150.261042 90.288654)
+ (xy 150.009886 90.268888)
+ (xy 149.990114 90.268888)
+ (xy 149.738958 90.288654)
+ (xy 149.71943 90.291747)
+ (xy 149.474459 90.35056)
+ (xy 149.455655 90.35667)
+ (xy 149.2229 90.45308)
+ (xy 149.205283 90.462056)
+ (xy 148.990476 90.59369)
+ (xy 148.974481 90.605312)
+ (xy 148.78291 90.768929)
+ (xy 148.768929 90.78291)
+ (xy 148.605312 90.974481)
+ (xy 148.59369 90.990476)
+ (xy 148.462056 91.205283)
+ (xy 148.45308 91.2229)
+ (xy 148.35667 91.455655)
+ (xy 148.35056 91.474459)
+ (xy 148.291747 91.71943)
+ (xy 148.288654 91.738958)
+ (xy 148.268888 91.990114)
+ (xy 132.901406 91.990114)
+ (xy 132.905813 91.937637)
+ (xy 132.905148 91.910428)
+ (xy 132.880708 91.727264)
+ (xy 132.874216 91.700834)
+ (xy 132.811015 91.527192)
+ (xy 132.80151 91.507875)
+ (xy 132.80151 90.938047)
+ (xy 139.607733 84.131824)
+ (xy 141.80526 84.131824)
+ (xy 141.812463 84.184904)
+ (xy 141.973179 84.626467)
+ (xy 141.976548 84.634789)
+ (xy 141.977644 84.637241)
+ (xy 141.991212 84.66053)
+ (xy 142.077279 84.777424)
+ (xy 142.102436 84.802984)
+ (xy 142.21795 84.890895)
+ (xy 142.249289 84.908332)
+ (xy 142.384891 84.960138)
+ (xy 142.419873 84.968039)
+ (xy 142.564577 84.979543)
+ (xy 142.600369 84.977268)
+ (xy 142.708066 84.954733)
+ (xy 142.725354 84.949805)
+ (xy 144.825355 84.185468)
+ (xy 144.882527 84.143374)
+ (xy 144.907865 84.077053)
+ (xy 144.900662 84.023973)
+ (xy 144.591134 83.17355)
+ (xy 144.54904 83.116378)
+ (xy 144.482719 83.09104)
+ (xy 144.429638 83.098243)
+ (xy 141.887769 84.023408)
+ (xy 141.830598 84.065502)
+ (xy 141.80526 84.131824)
+ (xy 139.607733 84.131824)
+ (xy 140.593831 83.145726)
+ (xy 141.463014 83.145726)
+ (xy 141.465289 83.181517)
+ (xy 141.487824 83.289215)
+ (xy 141.492752 83.306503)
+ (xy 141.641453 83.715057)
+ (xy 141.683547 83.772229)
+ (xy 141.749868 83.797567)
+ (xy 141.802949 83.790364)
+ (xy 143.87651 83.035649)
+ (xy 144.816975 83.035649)
+ (xy 144.824178 83.088729)
+ (xy 145.133706 83.939151)
+ (xy 145.1758 83.996322)
+ (xy 145.242121 84.02166)
+ (xy 145.295202 84.014457)
+ (xy 147.384122 83.254153)
+ (xy 147.408305 83.242287)
+ (xy 147.571741 83.139076)
+ (xy 147.604729 83.108848)
+ (xy 147.69264 82.993334)
+ (xy 147.710077 82.961995)
+ (xy 147.761883 82.826394)
+ (xy 147.769784 82.791411)
+ (xy 147.781288 82.646706)
+ (xy 147.779013 82.610915)
+ (xy 147.756478 82.503217)
+ (xy 147.75155 82.485929)
+ (xy 147.602849 82.077375)
+ (xy 147.560755 82.020203)
+ (xy 147.494434 81.994865)
+ (xy 147.441353 82.002068)
+ (xy 144.899484 82.927233)
+ (xy 144.842313 82.969327)
+ (xy 144.816975 83.035649)
+ (xy 143.87651 83.035649)
+ (xy 144.344818 82.865199)
+ (xy 144.401989 82.823105)
+ (xy 144.427327 82.756783)
+ (xy 144.420124 82.703703)
+ (xy 144.110596 81.853281)
+ (xy 144.068502 81.79611)
+ (xy 144.002181 81.770772)
+ (xy 143.9491 81.777975)
+ (xy 141.86018 82.538279)
+ (xy 141.835997 82.550145)
+ (xy 141.672561 82.653356)
+ (xy 141.639573 82.683584)
+ (xy 141.551662 82.799098)
+ (xy 141.534225 82.830437)
+ (xy 141.482419 82.966038)
+ (xy 141.474518 83.001021)
+ (xy 141.463014 83.145726)
+ (xy 140.593831 83.145726)
+ (xy 142.368967 81.37059)
+ (xy 145.068381 81.37059)
+ (xy 144.418947 81.606964)
+ (xy 144.361775 81.649058)
+ (xy 144.336437 81.715379)
+ (xy 144.34364 81.768459)
+ (xy 144.653168 82.618882)
+ (xy 144.695262 82.676054)
+ (xy 144.761583 82.701392)
+ (xy 144.814664 82.694189)
+ (xy 147.356533 81.769024)
+ (xy 147.413704 81.72693)
+ (xy 147.439042 81.660608)
+ (xy 147.431839 81.607528)
+ (xy 147.271123 81.165965)
+ (xy 147.267754 81.157643)
+ (xy 147.266658 81.155191)
+ (xy 147.25309 81.131902)
+ (xy 147.167023 81.015008)
+ (xy 147.141866 80.989448)
+ (xy 147.026352 80.901537)
+ (xy 146.995013 80.8841)
+ (xy 146.859411 80.832294)
+ (xy 146.824429 80.824393)
+ (xy 146.679725 80.812889)
+ (xy 146.643933 80.815164)
+ (xy 146.63503 80.817027)
+ (xy 149.63172 77.820337)
+ (xy 149.656914 77.814856)
+ (xy 149.69825 77.797734)
+ (xy 149.812885 77.724063)
+ (xy 149.83999 77.700577)
+ (xy 149.929225 77.597594)
+ (xy 149.948614 77.567424)
+ (xy 150.002891 77.448573)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 151.872988 40.400933)
+ (xy 153.328557 40.464484)
+ (xy 154.782144 40.56358)
+ (xy 156.232881 40.69816)
+ (xy 157.679871 40.868144)
+ (xy 159.122311 41.073433)
+ (xy 160.559288 41.313901)
+ (xy 161.989969 41.589406)
+ (xy 163.413507 41.899787)
+ (xy 164.828977 42.244841)
+ (xy 166.235692 42.624403)
+ (xy 167.63261 43.038188)
+ (xy 169.019061 43.486001)
+ (xy 170.394146 43.967548)
+ (xy 171.757046 44.482545)
+ (xy 173.10697 45.030692)
+ (xy 174.443093 45.611656)
+ (xy 175.764637 46.225095)
+ (xy 177.070748 46.870616)
+ (xy 178.360779 47.547897)
+ (xy 179.633799 48.256451)
+ (xy 180.889181 48.995927)
+ (xy 182.126062 49.765818)
+ (xy 183.343847 50.565753)
+ (xy 184.541641 51.395145)
+ (xy 185.718898 52.253615)
+ (xy 186.874745 53.140528)
+ (xy 188.008628 54.055456)
+ (xy 189.119824 54.997819)
+ (xy 190.207623 55.967013)
+ (xy 191.271434 56.96251)
+ (xy 192.310648 57.983742)
+ (xy 193.32456 59.030018)
+ (xy 194.312607 60.100754)
+ (xy 195.274183 61.195293)
+ (xy 196.208769 62.313046)
+ (xy 197.115755 63.453283)
+ (xy 197.9946 64.615326)
+ (xy 198.173456 64.864229)
+ (xy 197.191811 63.882585)
+ (xy 197.187282 63.875571)
+ (xy 197.159436 63.844969)
+ (xy 197.134675 63.825449)
+ (xy 197.133372 63.824146)
+ (xy 197.117533 63.810725)
+ (xy 197.101113 63.798992)
+ (xy 197.061314 63.767616)
+ (xy 197.04352 63.757834)
+ (xy 197.04066 63.75579)
+ (xy 197.032772 63.751926)
+ (xy 197.025049 63.74768)
+ (xy 197.02172 63.746511)
+ (xy 197.003498 63.737584)
+ (xy 196.954995 63.723079)
+ (xy 196.907168 63.706283)
+ (xy 196.876291 63.699636)
+ (xy 196.868601 63.69897)
+ (xy 196.857834 63.698505)
+ (xy 196.842743 63.696598)
+ (xy 196.794331 63.6985)
+ (xy 193.152599 63.6985)
+ (xy 193.144443 63.696744)
+ (xy 193.103114 63.694795)
+ (xy 193.071811 63.6985)
+ (xy 193.069961 63.6985)
+ (xy 193.049274 63.70021)
+ (xy 193.029366 63.703524)
+ (xy 192.979033 63.709481)
+ (xy 192.959535 63.715146)
+ (xy 192.956064 63.715724)
+ (xy 192.947749 63.718571)
+ (xy 192.939299 63.721026)
+ (xy 192.936123 63.722551)
+ (xy 192.916916 63.729127)
+ (xy 192.872338 63.75318)
+ (xy 192.826669 63.77511)
+ (xy 192.800127 63.79225)
+ (xy 192.794219 63.797217)
+ (xy 192.786296 63.804485)
+ (xy 192.774264 63.813817)
+ (xy 192.741351 63.849422)
+ (xy 188.982585 67.608189)
+ (xy 188.975571 67.612718)
+ (xy 188.944969 67.640565)
+ (xy 188.925454 67.66532)
+ (xy 188.924146 67.666628)
+ (xy 188.910727 67.682464)
+ (xy 188.89898 67.698902)
+ (xy 188.867615 67.738689)
+ (xy 188.85783 67.756486)
+ (xy 188.855786 67.759347)
+ (xy 188.85193 67.767219)
+ (xy 188.847683 67.774943)
+ (xy 188.846512 67.778279)
+ (xy 188.837584 67.796502)
+ (xy 188.823075 67.845018)
+ (xy 188.806283 67.892834)
+ (xy 188.799636 67.92371)
+ (xy 188.79897 67.9314)
+ (xy 188.798505 67.942166)
+ (xy 188.796598 67.957257)
+ (xy 188.7985 68.005669)
+ (xy 188.7985 74.057065)
+ (xy 188.780386 74.026918)
+ (xy 188.763932 74.00524)
+ (xy 188.636968 73.870979)
+ (xy 188.616242 73.85334)
+ (xy 188.463408 73.749474)
+ (xy 188.439377 73.736697)
+ (xy 188.267805 73.668073)
+ (xy 188.241592 73.660754)
+ (xy 188.059286 73.630574)
+ (xy 188.032113 73.629055)
+ (xy 187.84758 73.638726)
+ (xy 187.820714 73.643077)
+ (xy 187.642561 73.692148)
+ (xy 187.617256 73.702167)
+ (xy 187.453797 73.788349)
+ (xy 187.431234 73.803568)
+ (xy 187.290095 73.92284)
+ (xy 187.271327 73.942549)
+ (xy 187.159092 74.089347)
+ (xy 187.144993 74.112626)
+ (xy 187.066899 74.2801)
+ (xy 187.05996 74.300483)
+ (xy 168.639214 92.72123)
+ (xy 168.622568 92.73348)
+ (xy 168.601224 92.753383)
+ (xy 168.568263 92.792181)
+ (xy 168.562396 92.798048)
+ (xy 168.552678 92.808963)
+ (xy 168.534668 92.831726)
+ (xy 168.487933 92.886738)
+ (xy 168.471745 92.91101)
+ (xy 168.471388 92.911708)
+ (xy 168.470895 92.912332)
+ (xy 168.455564 92.937158)
+ (xy 168.424997 93.002561)
+ (xy 168.392167 93.066853)
+ (xy 168.381994 93.094207)
+ (xy 168.381809 93.094964)
+ (xy 168.381476 93.095676)
+ (xy 168.372264 93.123369)
+ (xy 168.357566 93.194038)
+ (xy 168.340404 93.264171)
+ (xy 168.337035 93.286319)
+ (xy 168.336252 93.298941)
+ (xy 168.334038 93.323748)
+ (xy 168.336 93.396274)
+ (xy 168.336001 95.706873)
+ (xy 168.336 95.706903)
+ (xy 168.336001 97.542887)
+ (xy 168.336849 97.557478)
+ (xy 168.352112 97.688394)
+ (xy 168.358825 97.716794)
+ (xy 168.405358 97.844991)
+ (xy 168.435144 97.981913)
+ (xy 168.452266 98.02325)
+ (xy 168.525937 98.137885)
+ (xy 168.549423 98.16499)
+ (xy 168.652406 98.254225)
+ (xy 168.682576 98.273614)
+ (xy 168.806529 98.330221)
+ (xy 168.840939 98.340324)
+ (xy 168.941043 98.354717)
+ (xy 168.958975 98.356)
+ (xy 169.01528 98.356)
+ (xy 169.042189 98.36415)
+ (xy 169.070912 98.369318)
+ (xy 169.245556 98.380152)
+ (xy 169.274695 98.378574)
+ (xy 169.406071 98.356)
+ (xy 169.454234 98.356)
+ (xy 169.481017 98.35312)
+ (xy 169.656913 98.314856)
+ (xy 169.69825 98.297734)
+ (xy 169.812885 98.224063)
+ (xy 169.83999 98.200577)
+ (xy 169.929225 98.097594)
+ (xy 169.948614 98.067424)
+ (xy 170.002891 97.948573)
+ (xy 170.010144 97.981913)
+ (xy 170.011 97.98398)
+ (xy 170.011001 99.521008)
+ (xy 169.997109 99.551427)
+ (xy 169.989856 99.518087)
+ (xy 169.972734 99.47675)
+ (xy 169.899063 99.362115)
+ (xy 169.875577 99.33501)
+ (xy 169.772594 99.245775)
+ (xy 169.742424 99.226386)
+ (xy 169.618471 99.169779)
+ (xy 169.584061 99.159676)
+ (xy 169.483957 99.145283)
+ (xy 169.466025 99.144)
+ (xy 169.454636 99.144)
+ (xy 166.37651 96.065875)
+ (xy 166.37651 92.830645)
+ (xy 166.378538 92.809196)
+ (xy 166.37651 92.744662)
+ (xy 166.37651 92.711887)
+ (xy 166.375516 92.696094)
+ (xy 166.374808 92.690486)
+ (xy 166.373377 92.644964)
+ (xy 166.368436 92.613769)
+ (xy 166.362563 92.593553)
+ (xy 166.359923 92.572658)
+ (xy 166.352068 92.542067)
+ (xy 166.335302 92.49972)
+ (xy 166.322595 92.455983)
+ (xy 166.310051 92.426995)
+ (xy 166.299334 92.408874)
+ (xy 166.291582 92.389294)
+ (xy 166.276365 92.361615)
+ (xy 166.249593 92.324767)
+ (xy 166.22641 92.285566)
+ (xy 166.21247 92.266379)
+ (xy 166.21069 92.26436)
+ (xy 166.205271 92.258591)
+ (xy 166.191531 92.244851)
+ (xy 166.179785 92.228684)
+ (xy 166.158164 92.20566)
+ (xy 166.124447 92.177767)
+ (xy 158.553612 84.606933)
+ (xy 158.539868 84.590319)
+ (xy 158.492764 84.546085)
+ (xy 158.469625 84.522946)
+ (xy 158.457755 84.512481)
+ (xy 158.453299 84.509024)
+ (xy 158.42009 84.477839)
+ (xy 158.394537 84.459274)
+ (xy 158.376082 84.449128)
+ (xy 158.359447 84.436225)
+ (xy 158.332259 84.420146)
+ (xy 158.290464 84.40206)
+ (xy 158.250552 84.380118)
+ (xy 158.221187 84.368491)
+ (xy 158.200788 84.363253)
+ (xy 158.181461 84.35489)
+ (xy 158.151133 84.346079)
+ (xy 158.106152 84.338955)
+ (xy 158.062034 84.327627)
+ (xy 158.038612 84.323918)
+ (xy 158.035926 84.323749)
+ (xy 158.028014 84.3235)
+ (xy 158.008574 84.3235)
+ (xy 157.988844 84.320375)
+ (xy 157.957275 84.319383)
+ (xy 157.913722 84.3235)
+ (xy 157.121637 84.3235)
+ (xy 156.605063 83.806927)
+ (xy 156.591324 83.790319)
+ (xy 156.544221 83.746086)
+ (xy 156.532049 83.733914)
+ (xy 157.81638 83.960376)
+ (xy 157.825275 83.961619)
+ (xy 157.827939 83.961895)
+ (xy 157.854889 83.96179)
+ (xy 157.999156 83.945701)
+ (xy 158.033871 83.936693)
+ (xy 158.167761 83.88061)
+ (xy 158.19853 83.862189)
+ (xy 158.311196 83.770658)
+ (xy 158.33553 83.744312)
+ (xy 158.417845 83.624746)
+ (xy 158.433771 83.592613)
+ (xy 158.468103 83.488078)
+ (xy 158.47248 83.470642)
+ (xy 158.547978 83.042471)
+ (xy 158.540109 82.971911)
+ (xy 158.495342 82.916808)
+ (xy 158.445772 82.896505)
+ (xy 155.781866 82.426787)
+ (xy 155.711306 82.434656)
+ (xy 155.656203 82.479423)
+ (xy 155.6359 82.528993)
+ (xy 155.478749 83.420245)
+ (xy 155.486618 83.490805)
+ (xy 155.513181 83.5235)
+ (xy 153.834136 83.5235)
+ (xy 153.512042 83.201406)
+ (xy 155.088552 83.479387)
+ (xy 155.159112 83.471518)
+ (xy 155.214215 83.426751)
+ (xy 155.234518 83.377181)
+ (xy 155.39167 82.485929)
+ (xy 155.383801 82.41537)
+ (xy 155.339034 82.360266)
+ (xy 155.289464 82.339963)
+ (xy 152.625558 81.870246)
+ (xy 152.554998 81.878115)
+ (xy 152.499895 81.922883)
+ (xy 152.479592 81.972453)
+ (xy 152.450137 82.139501)
+ (xy 151.790685 81.480049)
+ (xy 152.566416 81.480049)
+ (xy 152.574285 81.550609)
+ (xy 152.619052 81.605712)
+ (xy 152.668622 81.626015)
+ (xy 155.332528 82.095733)
+ (xy 155.403088 82.087864)
+ (xy 155.458191 82.043097)
+ (xy 155.460855 82.036591)
+ (xy 155.722724 82.036591)
+ (xy 155.730593 82.10715)
+ (xy 155.77536 82.162254)
+ (xy 155.82493 82.182557)
+ (xy 158.488836 82.652274)
+ (xy 158.559396 82.644405)
+ (xy 158.614499 82.599637)
+ (xy 158.634802 82.550067)
+ (xy 158.708252 82.133508)
+ (xy 158.710067 82.106632)
+ (xy 158.702402 81.913491)
+ (xy 158.692718 81.869809)
+ (xy 158.636636 81.735919)
+ (xy 158.618214 81.705149)
+ (xy 158.526682 81.592482)
+ (xy 158.500336 81.568148)
+ (xy 158.38077 81.485833)
+ (xy 158.348638 81.469907)
+ (xy 158.244103 81.435574)
+ (xy 158.226666 81.431197)
+ (xy 156.025842 81.043133)
+ (xy 155.955282 81.051002)
+ (xy 155.900179 81.095769)
+ (xy 155.879876 81.145339)
+ (xy 155.722724 82.036591)
+ (xy 155.460855 82.036591)
+ (xy 155.478494 81.993527)
+ (xy 155.635645 81.102275)
+ (xy 155.627776 81.031715)
+ (xy 155.583008 80.976612)
+ (xy 155.533439 80.956309)
+ (xy 153.298014 80.562144)
+ (xy 153.289119 80.560901)
+ (xy 153.286455 80.560625)
+ (xy 153.259505 80.56073)
+ (xy 153.115238 80.576819)
+ (xy 153.080523 80.585827)
+ (xy 152.946633 80.64191)
+ (xy 152.915864 80.660331)
+ (xy 152.803198 80.751862)
+ (xy 152.778864 80.778208)
+ (xy 152.696549 80.897774)
+ (xy 152.680623 80.929907)
+ (xy 152.646291 81.034442)
+ (xy 152.641914 81.051878)
+ (xy 152.566416 81.480049)
+ (xy 151.790685 81.480049)
+ (xy 150.855952 80.545317)
+ (xy 150.85312 80.518983)
+ (xy 150.814856 80.343087)
+ (xy 150.797734 80.30175)
+ (xy 150.724063 80.187115)
+ (xy 150.700577 80.16001)
+ (xy 150.597594 80.070775)
+ (xy 150.567424 80.051386)
+ (xy 150.448573 79.997109)
+ (xy 150.481913 79.989856)
+ (xy 150.52325 79.972734)
+ (xy 150.637885 79.899063)
+ (xy 150.66499 79.875577)
+ (xy 150.754225 79.772594)
+ (xy 150.773614 79.742424)
+ (xy 150.830221 79.618471)
+ (xy 150.840324 79.584061)
+ (xy 150.854717 79.483957)
+ (xy 150.856 79.466025)
+ (xy 150.856 79.454636)
+ (xy 151.280581 79.030056)
+ (xy 151.297179 79.016325)
+ (xy 151.341389 78.969247)
+ (xy 151.364554 78.946082)
+ (xy 151.375016 78.934216)
+ (xy 151.378481 78.92975)
+ (xy 151.409661 78.896546)
+ (xy 151.428226 78.870993)
+ (xy 151.438371 78.852538)
+ (xy 151.451275 78.835903)
+ (xy 151.467352 78.808717)
+ (xy 151.485436 78.766928)
+ (xy 151.507382 78.727008)
+ (xy 151.51901 78.69764)
+ (xy 151.524248 78.67724)
+ (xy 151.532608 78.657921)
+ (xy 151.541421 78.62759)
+ (xy 151.548547 78.5826)
+ (xy 151.559872 78.538491)
+ (xy 151.563582 78.515068)
+ (xy 151.563751 78.512382)
+ (xy 151.564 78.50447)
+ (xy 151.564 78.485031)
+ (xy 151.567125 78.465301)
+ (xy 151.568117 78.433732)
+ (xy 151.564 78.390179)
+ (xy 151.564 77.47899)
+ (xy 151.580221 77.443471)
+ (xy 151.590324 77.409061)
+ (xy 151.604717 77.308957)
+ (xy 151.606 77.291025)
+ (xy 151.606 76.720766)
+ (xy 151.60312 76.693983)
+ (xy 151.564856 76.518087)
+ (xy 151.547734 76.47675)
+ (xy 151.547573 76.4765)
+ (xy 153.448677 76.4765)
+ (xy 153.291748 77.366491)
+ (xy 153.289933 77.393368)
+ (xy 153.297598 77.586509)
+ (xy 153.307282 77.630191)
+ (xy 153.363364 77.764081)
+ (xy 153.381786 77.794851)
+ (xy 153.473318 77.907518)
+ (xy 153.499664 77.931852)
+ (xy 153.61923 78.014167)
+ (xy 153.651362 78.030093)
+ (xy 153.755897 78.064426)
+ (xy 153.773334 78.068803)
+ (xy 156.23594 78.503026)
+ (xy 156.235959 78.502916)
+ (xy 156.243166 78.504058)
+ (xy 156.243125 78.504293)
+ (xy 158.701986 78.937856)
+ (xy 158.710881 78.939099)
+ (xy 158.713545 78.939375)
+ (xy 158.740495 78.93927)
+ (xy 158.884762 78.923181)
+ (xy 158.919477 78.914173)
+ (xy 159.053367 78.85809)
+ (xy 159.084136 78.839669)
+ (xy 159.196802 78.748138)
+ (xy 159.221136 78.721792)
+ (xy 159.303451 78.602226)
+ (xy 159.319377 78.570093)
+ (xy 159.353709 78.465558)
+ (xy 159.358086 78.448122)
+ (xy 159.593858 77.110989)
+ (xy 159.595673 77.084112)
+ (xy 159.588008 76.890971)
+ (xy 159.578324 76.847289)
+ (xy 159.522242 76.713399)
+ (xy 159.50382 76.682629)
+ (xy 159.412288 76.569962)
+ (xy 159.385942 76.545628)
+ (xy 159.266376 76.463313)
+ (xy 159.234244 76.447387)
+ (xy 159.129709 76.413054)
+ (xy 159.112272 76.408677)
+ (xy 158.3265 76.270124)
+ (xy 158.3265 73.5265)
+ (xy 159.734261 73.5265)
+ (xy 159.754684 73.529607)
+ (xy 159.783849 73.530625)
+ (xy 159.834562 73.5265)
+ (xy 159.842887 73.5265)
+ (xy 159.857479 73.525652)
+ (xy 159.886308 73.522291)
+ (xy 159.958252 73.516439)
+ (xy 159.986867 73.510721)
+ (xy 159.987609 73.510481)
+ (xy 159.988395 73.510389)
+ (xy 160.016794 73.503676)
+ (xy 160.084671 73.479038)
+ (xy 160.153332 73.456795)
+ (xy 160.179867 73.444646)
+ (xy 160.180533 73.444242)
+ (xy 160.181271 73.443974)
+ (xy 160.207367 73.430906)
+ (xy 160.267736 73.391326)
+ (xy 160.329458 73.353872)
+ (xy 160.347497 73.340596)
+ (xy 160.356939 73.332257)
+ (xy 160.376088 73.316246)
+ (xy 160.426017 73.26354)
+ (xy 160.608557 73.081)
+ (xy 160.95 73.081)
+ (xy 160.974582 73.078579)
+ (xy 161.072166 73.059168)
+ (xy 161.117586 73.040354)
+ (xy 161.200314 72.985077)
+ (xy 161.235077 72.950314)
+ (xy 161.290354 72.867586)
+ (xy 161.309168 72.822166)
+ (xy 161.328579 72.724582)
+ (xy 161.331 72.7)
+ (xy 161.331 72.499999)
+ (xy 161.669 72.499999)
+ (xy 161.669 72.7)
+ (xy 161.671421 72.724582)
+ (xy 161.690832 72.822166)
+ (xy 161.709646 72.867586)
+ (xy 161.764923 72.950314)
+ (xy 161.799686 72.985077)
+ (xy 161.882414 73.040354)
+ (xy 161.927834 73.059168)
+ (xy 162.025418 73.078579)
+ (xy 162.05 73.081)
+ (xy 162.2 73.081)
+ (xy 162.268121 73.060998)
+ (xy 162.314614 73.007342)
+ (xy 162.326 72.955)
+ (xy 162.326 72.5)
+ (xy 162.573999 72.5)
+ (xy 162.573999 72.955)
+ (xy 162.594001 73.023121)
+ (xy 162.647657 73.069614)
+ (xy 162.699999 73.081)
+ (xy 162.85 73.081)
+ (xy 162.874582 73.078579)
+ (xy 162.972166 73.059168)
+ (xy 163.017586 73.040354)
+ (xy 163.100314 72.985077)
+ (xy 163.135077 72.950314)
+ (xy 163.190354 72.867586)
+ (xy 163.209168 72.822166)
+ (xy 163.228579 72.724582)
+ (xy 163.231 72.7)
+ (xy 163.231 72.65)
+ (xy 164.519 72.65)
+ (xy 164.519 74.35)
+ (xy 164.521421 74.374582)
+ (xy 164.540832 74.472166)
+ (xy 164.559646 74.517586)
+ (xy 164.614923 74.600314)
+ (xy 164.649686 74.635077)
+ (xy 164.732414 74.690354)
+ (xy 164.777834 74.709168)
+ (xy 164.875418 74.728579)
+ (xy 164.9 74.731)
+ (xy 166.6 74.731)
+ (xy 166.624582 74.728579)
+ (xy 166.722166 74.709168)
+ (xy 166.767586 74.690354)
+ (xy 166.850314 74.635077)
+ (xy 166.885077 74.600314)
+ (xy 166.940354 74.517586)
+ (xy 166.959168 74.472166)
+ (xy 166.978579 74.374582)
+ (xy 166.981 74.35)
+ (xy 166.981 72.65)
+ (xy 166.978579 72.625418)
+ (xy 166.959168 72.527834)
+ (xy 166.940354 72.482414)
+ (xy 166.885077 72.399686)
+ (xy 166.850314 72.364923)
+ (xy 166.767586 72.309646)
+ (xy 166.722166 72.290832)
+ (xy 166.624582 72.271421)
+ (xy 166.6 72.269)
+ (xy 164.9 72.269)
+ (xy 164.875418 72.271421)
+ (xy 164.777834 72.290832)
+ (xy 164.732414 72.309646)
+ (xy 164.649686 72.364923)
+ (xy 164.614923 72.399686)
+ (xy 164.559646 72.482414)
+ (xy 164.540832 72.527834)
+ (xy 164.521421 72.625418)
+ (xy 164.519 72.65)
+ (xy 163.231 72.65)
+ (xy 163.231 72.5)
+ (xy 163.210998 72.431879)
+ (xy 163.157342 72.385386)
+ (xy 163.105 72.374)
+ (xy 162.699999 72.374)
+ (xy 162.631878 72.394002)
+ (xy 162.585385 72.447658)
+ (xy 162.573999 72.5)
+ (xy 162.326 72.5)
+ (xy 162.326 72.499999)
+ (xy 162.305998 72.431878)
+ (xy 162.252342 72.385385)
+ (xy 162.2 72.373999)
+ (xy 161.795 72.373999)
+ (xy 161.726879 72.394001)
+ (xy 161.680386 72.447657)
+ (xy 161.669 72.499999)
+ (xy 161.331 72.499999)
+ (xy 161.331 72.358557)
+ (xy 161.673666 72.015891)
+ (xy 161.689002 72.068121)
+ (xy 161.742658 72.114614)
+ (xy 161.795 72.126)
+ (xy 162.200001 72.126)
+ (xy 162.268122 72.105998)
+ (xy 162.314615 72.052342)
+ (xy 162.326001 72)
+ (xy 162.326001 71.545)
+ (xy 162.574 71.545)
+ (xy 162.574 72.000001)
+ (xy 162.594002 72.068122)
+ (xy 162.647658 72.114615)
+ (xy 162.7 72.126001)
+ (xy 163.105 72.126001)
+ (xy 163.173121 72.105999)
+ (xy 163.219614 72.052343)
+ (xy 163.231 72.000001)
+ (xy 163.231 71.8)
+ (xy 163.228579 71.775418)
+ (xy 163.209168 71.677834)
+ (xy 163.190354 71.632414)
+ (xy 163.135077 71.549686)
+ (xy 163.100314 71.514923)
+ (xy 163.017586 71.459646)
+ (xy 162.972166 71.440832)
+ (xy 162.874582 71.421421)
+ (xy 162.85 71.419)
+ (xy 162.7 71.419)
+ (xy 162.631879 71.439002)
+ (xy 162.585386 71.492658)
+ (xy 162.574 71.545)
+ (xy 162.326001 71.545)
+ (xy 162.305999 71.476879)
+ (xy 162.256001 71.433556)
+ (xy 162.813057 70.8765)
+ (xy 163.684261 70.8765)
+ (xy 163.704684 70.879607)
+ (xy 163.733849 70.880625)
+ (xy 163.784562 70.8765)
+ (xy 163.792887 70.8765)
+ (xy 163.807479 70.875652)
+ (xy 163.836308 70.872291)
+ (xy 163.908252 70.866439)
+ (xy 163.936867 70.860721)
+ (xy 163.937609 70.860481)
+ (xy 163.938395 70.860389)
+ (xy 163.966794 70.853676)
+ (xy 164.034671 70.829038)
+ (xy 164.103332 70.806795)
+ (xy 164.129867 70.794646)
+ (xy 164.130533 70.794242)
+ (xy 164.131271 70.793974)
+ (xy 164.157367 70.780906)
+ (xy 164.217736 70.741326)
+ (xy 164.279458 70.703872)
+ (xy 164.297497 70.690596)
+ (xy 164.306939 70.682257)
+ (xy 164.326088 70.666246)
+ (xy 164.376017 70.61354)
+ (xy 164.789558 70.199999)
+ (xy 165.919 70.199999)
+ (xy 165.919 70.35)
+ (xy 165.921421 70.374582)
+ (xy 165.940832 70.472166)
+ (xy 165.959646 70.517586)
+ (xy 166.014923 70.600314)
+ (xy 166.049686 70.635077)
+ (xy 166.132414 70.690354)
+ (xy 166.177834 70.709168)
+ (xy 166.275418 70.728579)
+ (xy 166.3 70.731)
+ (xy 166.5 70.731)
+ (xy 166.568121 70.710998)
+ (xy 166.614614 70.657342)
+ (xy 166.626 70.605)
+ (xy 166.626 70.2)
+ (xy 166.873999 70.2)
+ (xy 166.873999 70.605)
+ (xy 166.894001 70.673121)
+ (xy 166.947657 70.719614)
+ (xy 166.999999 70.731)
+ (xy 167.2 70.731)
+ (xy 167.224582 70.728579)
+ (xy 167.322166 70.709168)
+ (xy 167.367586 70.690354)
+ (xy 167.450314 70.635077)
+ (xy 167.485077 70.600314)
+ (xy 167.540354 70.517586)
+ (xy 167.559168 70.472166)
+ (xy 167.578579 70.374582)
+ (xy 167.581 70.35)
+ (xy 167.581 70.2)
+ (xy 167.560998 70.131879)
+ (xy 167.507342 70.085386)
+ (xy 167.455 70.074)
+ (xy 166.999999 70.074)
+ (xy 166.931878 70.094002)
+ (xy 166.885385 70.147658)
+ (xy 166.873999 70.2)
+ (xy 166.626 70.2)
+ (xy 166.626 70.199999)
+ (xy 166.605998 70.131878)
+ (xy 166.552342 70.085385)
+ (xy 166.5 70.073999)
+ (xy 166.045 70.073999)
+ (xy 165.976879 70.094001)
+ (xy 165.930386 70.147657)
+ (xy 165.919 70.199999)
+ (xy 164.789558 70.199999)
+ (xy 165.209492 69.780065)
+ (xy 165.224582 69.778579)
+ (xy 165.322166 69.759168)
+ (xy 165.367586 69.740354)
+ (xy 165.450314 69.685077)
+ (xy 165.485077 69.650314)
+ (xy 165.540354 69.567586)
+ (xy 165.547638 69.55)
+ (xy 165.919 69.55)
+ (xy 165.919 69.7)
+ (xy 165.939002 69.768121)
+ (xy 165.992658 69.814614)
+ (xy 166.045 69.826)
+ (xy 166.500001 69.826)
+ (xy 166.568122 69.805998)
+ (xy 166.614615 69.752342)
+ (xy 166.626001 69.7)
+ (xy 166.626001 69.295)
+ (xy 166.874 69.295)
+ (xy 166.874 69.700001)
+ (xy 166.894002 69.768122)
+ (xy 166.947658 69.814615)
+ (xy 167 69.826001)
+ (xy 167.455 69.826001)
+ (xy 167.523121 69.805999)
+ (xy 167.569614 69.752343)
+ (xy 167.581 69.700001)
+ (xy 167.581 69.55)
+ (xy 167.578579 69.525418)
+ (xy 167.559168 69.427834)
+ (xy 167.540354 69.382414)
+ (xy 167.485077 69.299686)
+ (xy 167.450314 69.264923)
+ (xy 167.367586 69.209646)
+ (xy 167.322166 69.190832)
+ (xy 167.224582 69.171421)
+ (xy 167.2 69.169)
+ (xy 167 69.169)
+ (xy 166.931879 69.189002)
+ (xy 166.885386 69.242658)
+ (xy 166.874 69.295)
+ (xy 166.626001 69.295)
+ (xy 166.605999 69.226879)
+ (xy 166.552343 69.180386)
+ (xy 166.500001 69.169)
+ (xy 166.3 69.169)
+ (xy 166.275418 69.171421)
+ (xy 166.177834 69.190832)
+ (xy 166.132414 69.209646)
+ (xy 166.049686 69.264923)
+ (xy 166.014923 69.299686)
+ (xy 165.959646 69.382414)
+ (xy 165.940832 69.427834)
+ (xy 165.921421 69.525418)
+ (xy 165.919 69.55)
+ (xy 165.547638 69.55)
+ (xy 165.559168 69.522166)
+ (xy 165.578579 69.424582)
+ (xy 165.581 69.4)
+ (xy 165.581 69.291874)
+ (xy 165.581024 69.291823)
+ (xy 165.590236 69.264132)
+ (xy 165.592127 69.255041)
+ (xy 165.595588 69.246453)
+ (xy 165.603289 69.218305)
+ (xy 165.612877 69.155281)
+ (xy 165.625869 69.092819)
+ (xy 165.628463 69.063753)
+ (xy 165.628212 69.054479)
+ (xy 165.629606 69.045316)
+ (xy 165.630624 69.016151)
+ (xy 165.625456 68.952612)
+ (xy 165.62373 68.888834)
+ (xy 165.619568 68.859952)
+ (xy 165.617189 68.85098)
+ (xy 165.616438 68.841745)
+ (xy 165.61072 68.813132)
+ (xy 165.591072 68.75248)
+ (xy 165.581 68.714495)
+ (xy 165.581 68.6)
+ (xy 165.578579 68.575418)
+ (xy 165.559168 68.477834)
+ (xy 165.540354 68.432414)
+ (xy 165.485077 68.349686)
+ (xy 165.450314 68.314923)
+ (xy 165.367586 68.259646)
+ (xy 165.322166 68.240832)
+ (xy 165.224582 68.221421)
+ (xy 165.209493 68.219935)
+ (xy 164.639558 67.65)
+ (xy 165.919 67.65)
+ (xy 165.919 68.45)
+ (xy 165.921421 68.474582)
+ (xy 165.940832 68.572166)
+ (xy 165.959646 68.617586)
+ (xy 166.014923 68.700314)
+ (xy 166.049686 68.735077)
+ (xy 166.132414 68.790354)
+ (xy 166.177834 68.809168)
+ (xy 166.275418 68.828579)
+ (xy 166.3 68.831)
+ (xy 167.2 68.831)
+ (xy 167.224582 68.828579)
+ (xy 167.322166 68.809168)
+ (xy 167.367586 68.790354)
+ (xy 167.450314 68.735077)
+ (xy 167.485077 68.700314)
+ (xy 167.540354 68.617586)
+ (xy 167.559168 68.572166)
+ (xy 167.578579 68.474582)
+ (xy 167.581 68.45)
+ (xy 167.581 67.65)
+ (xy 167.578579 67.625418)
+ (xy 167.559168 67.527834)
+ (xy 167.540354 67.482414)
+ (xy 167.485077 67.399686)
+ (xy 167.450314 67.364923)
+ (xy 167.367586 67.309646)
+ (xy 167.322166 67.290832)
+ (xy 167.224582 67.271421)
+ (xy 167.2 67.269)
+ (xy 166.3 67.269)
+ (xy 166.275418 67.271421)
+ (xy 166.177834 67.290832)
+ (xy 166.132414 67.309646)
+ (xy 166.049686 67.364923)
+ (xy 166.014923 67.399686)
+ (xy 165.959646 67.482414)
+ (xy 165.940832 67.527834)
+ (xy 165.921421 67.625418)
+ (xy 165.919 67.65)
+ (xy 164.639558 67.65)
+ (xy 164.314 67.324443)
+ (xy 164.314 65.457113)
+ (xy 164.313152 65.442522)
+ (xy 164.306 65.381177)
+ (xy 164.306 65.020765)
+ (xy 164.30312 64.993982)
+ (xy 164.262597 64.807702)
+ (xy 164.245475 64.766365)
+ (xy 164.167957 64.645744)
+ (xy 164.144471 64.618639)
+ (xy 164.03611 64.524744)
+ (xy 164.005941 64.505356)
+ (xy 163.875516 64.445792)
+ (xy 163.841104 64.435687)
+ (xy 163.733958 64.420282)
+ (xy 163.716027 64.419)
+ (xy 163.170765 64.419)
+ (xy 163.143982 64.42188)
+ (xy 162.957702 64.462403)
+ (xy 162.916365 64.479525)
+ (xy 162.795744 64.557043)
+ (xy 162.768639 64.580529)
+ (xy 162.674744 64.68889)
+ (xy 162.655356 64.719059)
+ (xy 162.595792 64.849484)
+ (xy 162.585687 64.883896)
+ (xy 162.570282 64.991042)
+ (xy 162.569 65.008973)
+ (xy 162.569 65.361976)
+ (xy 162.565404 65.376672)
+ (xy 162.562035 65.398819)
+ (xy 162.561242 65.411602)
+ (xy 162.561 65.419403)
+ (xy 162.561001 67.621753)
+ (xy 162.557893 67.642183)
+ (xy 162.556875 67.671349)
+ (xy 162.561001 67.722074)
+ (xy 162.561001 67.730387)
+ (xy 162.561849 67.744977)
+ (xy 162.565206 67.77377)
+ (xy 162.571061 67.845753)
+ (xy 162.57678 67.87437)
+ (xy 162.57702 67.875111)
+ (xy 162.577111 67.875891)
+ (xy 162.583825 67.904294)
+ (xy 162.608457 67.972153)
+ (xy 162.630705 68.040831)
+ (xy 162.642852 68.067364)
+ (xy 162.643263 68.068042)
+ (xy 162.643529 68.068774)
+ (xy 162.656596 68.094868)
+ (xy 162.696166 68.155221)
+ (xy 162.733628 68.216957)
+ (xy 162.746904 68.234997)
+ (xy 162.755275 68.244476)
+ (xy 162.771255 68.263588)
+ (xy 162.823922 68.31348)
+ (xy 163.510443 69.000001)
+ (xy 163.386944 69.1235)
+ (xy 162.515739 69.1235)
+ (xy 162.495316 69.120393)
+ (xy 162.466151 69.119375)
+ (xy 162.415438 69.1235)
+ (xy 162.407113 69.1235)
+ (xy 162.392524 69.124347)
+ (xy 162.363678 69.12771)
+ (xy 162.291746 69.133561)
+ (xy 162.263135 69.139278)
+ (xy 162.262391 69.139519)
+ (xy 162.261602 69.139611)
+ (xy 162.233206 69.146324)
+ (xy 162.170734 69.169)
+ (xy 162.05 69.169)
+ (xy 162.025418 69.171421)
+ (xy 161.927834 69.190832)
+ (xy 161.882414 69.209646)
+ (xy 161.799686 69.264923)
+ (xy 161.764923 69.299686)
+ (xy 161.709646 69.382414)
+ (xy 161.690832 69.427834)
+ (xy 161.671421 69.525418)
+ (xy 161.669935 69.540506)
+ (xy 161.331 69.879442)
+ (xy 161.331 69.55)
+ (xy 161.328579 69.525418)
+ (xy 161.309168 69.427834)
+ (xy 161.290354 69.382414)
+ (xy 161.235077 69.299686)
+ (xy 161.200314 69.264923)
+ (xy 161.117586 69.209646)
+ (xy 161.072166 69.190832)
+ (xy 160.974582 69.171421)
+ (xy 160.95 69.169)
+ (xy 160.8 69.169)
+ (xy 160.731879 69.189002)
+ (xy 160.685386 69.242658)
+ (xy 160.674 69.295)
+ (xy 160.674 69.750001)
+ (xy 160.673999 70.124)
+ (xy 159.895 70.123999)
+ (xy 159.826879 70.144001)
+ (xy 159.780386 70.197657)
+ (xy 159.769 70.249999)
+ (xy 159.769 70.45)
+ (xy 159.771421 70.474582)
+ (xy 159.790832 70.572166)
+ (xy 159.809646 70.617586)
+ (xy 159.864923 70.700314)
+ (xy 159.899686 70.735077)
+ (xy 159.982414 70.790354)
+ (xy 160.027834 70.809168)
+ (xy 160.125418 70.828579)
+ (xy 160.15 70.831)
+ (xy 160.379443 70.831)
+ (xy 159.436944 71.7735)
+ (xy 158.181 71.7735)
+ (xy 158.181 71.65)
+ (xy 158.178579 71.625418)
+ (xy 158.159168 71.527834)
+ (xy 158.140354 71.482414)
+ (xy 158.085077 71.399686)
+ (xy 158.050314 71.364923)
+ (xy 157.967586 71.309646)
+ (xy 157.922166 71.290832)
+ (xy 157.824582 71.271421)
+ (xy 157.8 71.269)
+ (xy 156.3 71.269)
+ (xy 156.275418 71.271421)
+ (xy 156.177834 71.290832)
+ (xy 156.132414 71.309646)
+ (xy 156.049686 71.364923)
+ (xy 156.0265 71.388109)
+ (xy 156.0265 69.55)
+ (xy 159.769 69.55)
+ (xy 159.769 69.75)
+ (xy 159.789002 69.818121)
+ (xy 159.842658 69.864614)
+ (xy 159.895 69.876)
+ (xy 160.300001 69.876)
+ (xy 160.368122 69.855998)
+ (xy 160.414615 69.802342)
+ (xy 160.426001 69.75)
+ (xy 160.426001 69.295)
+ (xy 160.405999 69.226879)
+ (xy 160.352343 69.180386)
+ (xy 160.300001 69.169)
+ (xy 160.15 69.169)
+ (xy 160.125418 69.171421)
+ (xy 160.027834 69.190832)
+ (xy 159.982414 69.209646)
+ (xy 159.899686 69.264923)
+ (xy 159.864923 69.299686)
+ (xy 159.809646 69.382414)
+ (xy 159.790832 69.427834)
+ (xy 159.771421 69.525418)
+ (xy 159.769 69.55)
+ (xy 156.0265 69.55)
+ (xy 156.0265 67.731)
+ (xy 156.65 67.731)
+ (xy 156.674582 67.728579)
+ (xy 156.772166 67.709168)
+ (xy 156.817586 67.690354)
+ (xy 156.900314 67.635077)
+ (xy 156.935077 67.600314)
+ (xy 156.990354 67.517586)
+ (xy 157.009168 67.472166)
+ (xy 157.028579 67.374582)
+ (xy 157.031 67.35)
+ (xy 157.031 65.587714)
+ (xy 159.324734 67.881449)
+ (xy 159.351058 68.034647)
+ (xy 159.359298 68.062643)
+ (xy 159.427809 68.223653)
+ (xy 159.442267 68.249001)
+ (xy 159.54598 68.389932)
+ (xy 159.565883 68.411275)
+ (xy 159.699236 68.524566)
+ (xy 159.723514 68.540758)
+ (xy 159.879353 68.620334)
+ (xy 159.906706 68.630506)
+ (xy 160.076671 68.672096)
+ (xy 160.098818 68.675465)
+ (xy 160.111601 68.676258)
+ (xy 160.119402 68.6765)
+ (xy 160.799011 68.6765)
+ (xy 160.814923 68.700314)
+ (xy 160.849686 68.735077)
+ (xy 160.932414 68.790354)
+ (xy 160.977834 68.809168)
+ (xy 161.075418 68.828579)
+ (xy 161.1 68.831)
+ (xy 161.208127 68.831)
+ (xy 161.208178 68.831024)
+ (xy 161.23587 68.840236)
+ (xy 161.407182 68.875868)
+ (xy 161.436247 68.878462)
+ (xy 161.611163 68.87373)
+ (xy 161.640049 68.869567)
+ (xy 161.785503 68.831)
+ (xy 161.9 68.831)
+ (xy 161.924582 68.828579)
+ (xy 162.022166 68.809168)
+ (xy 162.067586 68.790354)
+ (xy 162.150314 68.735077)
+ (xy 162.185077 68.700314)
+ (xy 162.240354 68.617586)
+ (xy 162.259168 68.572166)
+ (xy 162.278579 68.474582)
+ (xy 162.281 68.45)
+ (xy 162.281 68.40672)
+ (xy 162.345589 68.246454)
+ (xy 162.35329 68.218306)
+ (xy 162.379607 68.045316)
+ (xy 162.380625 68.016151)
+ (xy 162.366439 67.841748)
+ (xy 162.360721 67.813132)
+ (xy 162.306795 67.646669)
+ (xy 162.294647 67.620135)
+ (xy 162.281 67.597645)
+ (xy 162.281 67.55)
+ (xy 162.278579 67.525418)
+ (xy 162.259168 67.427834)
+ (xy 162.240354 67.382414)
+ (xy 162.185077 67.299686)
+ (xy 162.150314 67.264923)
+ (xy 162.067586 67.209646)
+ (xy 162.022166 67.190832)
+ (xy 161.924582 67.171421)
+ (xy 161.912328 67.170214)
+ (xy 161.895325 67.155769)
+ (xy 161.889452 67.149896)
+ (xy 161.878535 67.140177)
+ (xy 161.85579 67.122182)
+ (xy 161.800764 67.075434)
+ (xy 161.776487 67.059243)
+ (xy 161.775788 67.058886)
+ (xy 161.775171 67.058398)
+ (xy 161.750343 67.043064)
+ (xy 161.684952 67.012502)
+ (xy 161.620647 66.979666)
+ (xy 161.59329 66.969493)
+ (xy 161.592532 66.969308)
+ (xy 161.591827 66.968978)
+ (xy 161.564131 66.959764)
+ (xy 161.493463 66.945065)
+ (xy 161.423329 66.927904)
+ (xy 161.401182 66.924535)
+ (xy 161.388557 66.923752)
+ (xy 161.363752 66.921538)
+ (xy 161.291228 66.9235)
+ (xy 160.280214 66.9235)
+ (xy 159.106713 65.749999)
+ (xy 160.694 65.749999)
+ (xy 160.694 65.979235)
+ (xy 160.69688 66.006018)
+ (xy 160.737403 66.192298)
+ (xy 160.754525 66.233635)
+ (xy 160.832043 66.354256)
+ (xy 160.855529 66.381361)
+ (xy 160.96389 66.475256)
+ (xy 160.994059 66.494644)
+ (xy 161.124484 66.554208)
+ (xy 161.158896 66.564313)
+ (xy 161.266042 66.579718)
+ (xy 161.283973 66.581)
+ (xy 161.3125 66.581)
+ (xy 161.380621 66.560998)
+ (xy 161.427114 66.507342)
+ (xy 161.4385 66.455)
+ (xy 161.686499 66.455)
+ (xy 161.706501 66.523121)
+ (xy 161.760157 66.569614)
+ (xy 161.812499 66.581)
+ (xy 161.829235 66.581)
+ (xy 161.856018 66.57812)
+ (xy 162.042298 66.537597)
+ (xy 162.083635 66.520475)
+ (xy 162.204256 66.442957)
+ (xy 162.231361 66.419471)
+ (xy 162.325256 66.31111)
+ (xy 162.344644 66.280941)
+ (xy 162.404208 66.150516)
+ (xy 162.414313 66.116104)
+ (xy 162.429718 66.008958)
+ (xy 162.431 65.991027)
+ (xy 162.431 65.75)
+ (xy 162.410998 65.681879)
+ (xy 162.357342 65.635386)
+ (xy 162.305 65.624)
+ (xy 161.8125 65.623999)
+ (xy 161.744379 65.644001)
+ (xy 161.697886 65.697656)
+ (xy 161.6865 65.749999)
+ (xy 161.686499 66.455)
+ (xy 161.4385 66.455)
+ (xy 161.438501 65.75)
+ (xy 161.418499 65.681879)
+ (xy 161.364843 65.635386)
+ (xy 161.312501 65.624)
+ (xy 160.82 65.623999)
+ (xy 160.751879 65.644001)
+ (xy 160.705386 65.697656)
+ (xy 160.694 65.749999)
+ (xy 159.106713 65.749999)
+ (xy 158.365687 65.008973)
+ (xy 160.694 65.008973)
+ (xy 160.694 65.25)
+ (xy 160.714002 65.318121)
+ (xy 160.767658 65.364614)
+ (xy 160.82 65.376)
+ (xy 161.3125 65.376001)
+ (xy 161.380621 65.355999)
+ (xy 161.427114 65.302344)
+ (xy 161.4385 65.250001)
+ (xy 161.4385 65.25)
+ (xy 161.686499 65.25)
+ (xy 161.706501 65.318121)
+ (xy 161.760157 65.364614)
+ (xy 161.812499 65.376)
+ (xy 162.305 65.376001)
+ (xy 162.373121 65.355999)
+ (xy 162.419614 65.302344)
+ (xy 162.431 65.250001)
+ (xy 162.431 65.020765)
+ (xy 162.42812 64.993982)
+ (xy 162.387597 64.807702)
+ (xy 162.370475 64.766365)
+ (xy 162.292957 64.645744)
+ (xy 162.269471 64.618639)
+ (xy 162.16111 64.524744)
+ (xy 162.130941 64.505356)
+ (xy 162.000516 64.445792)
+ (xy 161.966104 64.435687)
+ (xy 161.858958 64.420282)
+ (xy 161.841027 64.419)
+ (xy 161.8125 64.419)
+ (xy 161.744379 64.439002)
+ (xy 161.697886 64.492658)
+ (xy 161.6865 64.545)
+ (xy 161.686499 65.25)
+ (xy 161.4385 65.25)
+ (xy 161.438501 64.545)
+ (xy 161.418499 64.476879)
+ (xy 161.364843 64.430386)
+ (xy 161.312501 64.419)
+ (xy 161.295765 64.419)
+ (xy 161.268982 64.42188)
+ (xy 161.082702 64.462403)
+ (xy 161.041365 64.479525)
+ (xy 160.920744 64.557043)
+ (xy 160.893639 64.580529)
+ (xy 160.799744 64.68889)
+ (xy 160.780356 64.719059)
+ (xy 160.720792 64.849484)
+ (xy 160.710687 64.883896)
+ (xy 160.695282 64.991042)
+ (xy 160.694 65.008973)
+ (xy 158.365687 65.008973)
+ (xy 152.886039 59.529325)
+ (xy 152.846838 59.486694)
+ (xy 152.820485 59.464893)
+ (xy 152.783513 59.44197)
+ (xy 152.748877 59.415679)
+ (xy 152.71908 59.398889)
+ (xy 152.705112 59.393359)
+ (xy 152.692339 59.385439)
+ (xy 152.661096 59.371528)
+ (xy 152.619319 59.35939)
+ (xy 152.578888 59.343383)
+ (xy 152.545676 59.335225)
+ (xy 152.530731 59.333654)
+ (xy 152.516301 59.329462)
+ (xy 152.490376 59.324797)
+ (xy 152.477316 59.323838)
+ (xy 152.468089 59.3235)
+ (xy 152.434114 59.3235)
+ (xy 152.406 59.320545)
+ (xy 152.406 58.902015)
+ (xy 152.40312 58.875232)
+ (xy 152.362032 58.686355)
+ (xy 152.34491 58.645017)
+ (xy 152.26643 58.5229)
+ (xy 152.242944 58.495797)
+ (xy 152.220674 58.4765)
+ (xy 160.719786 58.4765)
+ (xy 168.923501 66.680215)
+ (xy 168.9235 74.449785)
+ (xy 166.90011 76.473175)
+ (xy 166.944094 76.326102)
+ (xy 166.944449 76.255106)
+ (xy 166.906364 76.195189)
+ (xy 166.823377 76.164)
+ (xy 166 76.164)
+ (xy 165.931879 76.184002)
+ (xy 165.885386 76.237658)
+ (xy 165.874 76.29)
+ (xy 165.874 77.115766)
+ (xy 165.894002 77.183887)
+ (xy 165.947658 77.23038)
+ (xy 166.017423 77.240556)
+ (xy 166.023504 77.239707)
+ (xy 166.04679 77.234159)
+ (xy 166.18699 77.186295)
+ (xy 165.996386 77.376899)
+ (xy 165.844423 77.354866)
+ (xy 165.619508 77.129951)
+ (xy 165.626 77.111307)
+ (xy 165.626 76.29)
+ (xy 165.605998 76.221879)
+ (xy 165.552342 76.175386)
+ (xy 165.5 76.164)
+ (xy 164.677809 76.164)
+ (xy 164.659062 76.169505)
+ (xy 164.255408 75.765851)
+ (xy 164.554374 75.765851)
+ (xy 164.560949 75.836542)
+ (xy 164.604699 75.892457)
+ (xy 164.678038 75.916)
+ (xy 165.5 75.916)
+ (xy 165.568121 75.895998)
+ (xy 165.614614 75.842342)
+ (xy 165.626 75.79)
+ (xy 165.626 74.968751)
+ (xy 165.624823 74.964741)
+ (xy 165.874 74.964741)
+ (xy 165.874 75.79)
+ (xy 165.894002 75.858121)
+ (xy 165.947658 75.904614)
+ (xy 166 75.916)
+ (xy 166.823021 75.916)
+ (xy 166.891142 75.895998)
+ (xy 166.937635 75.842342)
+ (xy 166.943926 75.754531)
+ (xy 166.896882 75.59417)
+ (xy 166.887993 75.571947)
+ (xy 166.791885 75.385342)
+ (xy 166.778955 75.365201)
+ (xy 166.649296 75.200137)
+ (xy 166.63279 75.182805)
+ (xy 166.474257 75.045237)
+ (xy 166.454772 75.031338)
+ (xy 166.273085 74.92623)
+ (xy 166.251323 74.916266)
+ (xy 166.053039 74.84741)
+ (xy 166.029783 74.841741)
+ (xy 166.018077 74.840044)
+ (xy 165.947791 74.850066)
+ (xy 165.894082 74.896497)
+ (xy 165.874 74.964741)
+ (xy 165.624823 74.964741)
+ (xy 165.605998 74.90063)
+ (xy 165.552342 74.854137)
+ (xy 165.470479 74.846258)
+ (xy 165.360726 74.872709)
+ (xy 165.338096 74.880501)
+ (xy 165.14702 74.967378)
+ (xy 165.126271 74.979309)
+ (xy 164.95507 75.10075)
+ (xy 164.936952 75.116389)
+ (xy 164.791804 75.268013)
+ (xy 164.77697 75.286796)
+ (xy 164.663112 75.463131)
+ (xy 164.652098 75.48438)
+ (xy 164.573638 75.679064)
+ (xy 164.56684 75.702014)
+ (xy 164.554374 75.765851)
+ (xy 164.255408 75.765851)
+ (xy 162.280065 73.790509)
+ (xy 162.278579 73.775418)
+ (xy 162.259168 73.677834)
+ (xy 162.240354 73.632414)
+ (xy 162.185077 73.549686)
+ (xy 162.150314 73.514923)
+ (xy 162.067586 73.459646)
+ (xy 162.022166 73.440832)
+ (xy 161.924582 73.421421)
+ (xy 161.9 73.419)
+ (xy 161.791874 73.419)
+ (xy 161.791823 73.418976)
+ (xy 161.764131 73.409764)
+ (xy 161.592817 73.374132)
+ (xy 161.563752 73.371538)
+ (xy 161.388837 73.37627)
+ (xy 161.359951 73.380433)
+ (xy 161.214497 73.419)
+ (xy 161.1 73.419)
+ (xy 161.075418 73.421421)
+ (xy 160.977834 73.440832)
+ (xy 160.932414 73.459646)
+ (xy 160.849686 73.514923)
+ (xy 160.814923 73.549686)
+ (xy 160.759646 73.632414)
+ (xy 160.740832 73.677834)
+ (xy 160.721421 73.775418)
+ (xy 160.719 73.8)
+ (xy 160.719 73.84328)
+ (xy 160.654411 74.003545)
+ (xy 160.64671 74.031694)
+ (xy 160.620393 74.204684)
+ (xy 160.619375 74.233849)
+ (xy 160.633561 74.408252)
+ (xy 160.639279 74.436868)
+ (xy 160.693205 74.603331)
+ (xy 160.705353 74.629865)
+ (xy 160.719 74.652355)
+ (xy 160.719 74.7)
+ (xy 160.721421 74.724582)
+ (xy 160.740832 74.822166)
+ (xy 160.759646 74.867586)
+ (xy 160.814923 74.950314)
+ (xy 160.849686 74.985077)
+ (xy 160.932414 75.040354)
+ (xy 160.977834 75.059168)
+ (xy 161.075418 75.078579)
+ (xy 161.090508 75.080065)
+ (xy 164.524164 78.513721)
+ (xy 164.523724 78.681739)
+ (xy 164.525935 78.705571)
+ (xy 164.565086 78.911787)
+ (xy 164.571764 78.934771)
+ (xy 164.649204 79.129863)
+ (xy 164.660107 79.151169)
+ (xy 164.77304 79.328098)
+ (xy 164.787775 79.346959)
+ (xy 164.932127 79.499341)
+ (xy 164.950163 79.515075)
+ (xy 165.120726 79.637411)
+ (xy 165.141412 79.64945)
+ (xy 165.332031 79.737326)
+ (xy 165.35462 79.745237)
+ (xy 165.558416 79.795483)
+ (xy 165.582094 79.798979)
+ (xy 165.791715 79.809781)
+ (xy 165.815626 79.808737)
+ (xy 166.023508 79.779706)
+ (xy 166.04679 79.774159)
+ (xy 166.245432 79.706343)
+ (xy 166.267246 79.696494)
+ (xy 166.449481 79.592338)
+ (xy 166.469039 79.578542)
+ (xy 166.62829 79.441806)
+ (xy 166.644886 79.42456)
+ (xy 166.775408 79.260177)
+ (xy 166.788444 79.240104)
+ (xy 166.885527 79.054006)
+ (xy 166.894531 79.03183)
+ (xy 166.954672 78.830731)
+ (xy 166.959321 78.807253)
+ (xy 166.980351 78.59841)
+ (xy 166.980985 78.586113)
+ (xy 166.981 78.580327)
+ (xy 166.98043 78.568033)
+ (xy 166.960494 78.359083)
+ (xy 166.955968 78.33558)
+ (xy 166.954872 78.331842)
+ (xy 170.0707 75.216015)
+ (xy 170.113306 75.176837)
+ (xy 170.135107 75.150485)
+ (xy 170.158027 75.113518)
+ (xy 170.184321 75.078878)
+ (xy 170.201111 75.04908)
+ (xy 170.20664 75.035115)
+ (xy 170.214561 75.02234)
+ (xy 170.228472 74.991096)
+ (xy 170.24061 74.949319)
+ (xy 170.256617 74.908888)
+ (xy 170.264775 74.875677)
+ (xy 170.266346 74.860731)
+ (xy 170.270538 74.846301)
+ (xy 170.275203 74.820376)
+ (xy 170.276162 74.807316)
+ (xy 170.2765 74.798089)
+ (xy 170.2765 74.764115)
+ (xy 170.280535 74.725722)
+ (xy 170.279461 74.691539)
+ (xy 170.2765 74.674032)
+ (xy 170.2765 66.41081)
+ (xy 170.278923 66.352999)
+ (xy 170.275705 66.318952)
+ (xy 170.265775 66.276612)
+ (xy 170.259871 66.233515)
+ (xy 170.250674 66.200575)
+ (xy 170.244707 66.186785)
+ (xy 170.241275 66.172154)
+ (xy 170.229019 66.140225)
+ (xy 170.208064 66.102108)
+ (xy 170.190792 66.062195)
+ (xy 170.173074 66.03294)
+ (xy 170.163619 66.021265)
+ (xy 170.156381 66.008098)
+ (xy 170.141345 65.986464)
+ (xy 170.132788 65.976551)
+ (xy 170.126503 65.969789)
+ (xy 170.102486 65.945772)
+ (xy 170.078186 65.915764)
+ (xy 170.053255 65.892352)
+ (xy 170.038777 65.882063)
+ (xy 163.005503 58.848789)
+ (xy 163.147328 58.923407)
+ (xy 163.164455 58.930854)
+ (xy 163.410102 59.016638)
+ (xy 163.428141 59.021472)
+ (xy 163.68377 59.070004)
+ (xy 163.702325 59.072118)
+ (xy 163.962319 59.082334)
+ (xy 163.980983 59.081682)
+ (xy 164.239631 59.053355)
+ (xy 164.257994 59.049952)
+ (xy 164.509615 58.983706)
+ (xy 164.527273 58.977626)
+ (xy 164.766338 58.874916)
+ (xy 164.782903 58.866292)
+ (xy 165.00416 58.729373)
+ (xy 165.019269 58.718396)
+ (xy 165.217858 58.550278)
+ (xy 165.231178 58.537189)
+ (xy 165.402737 58.341564)
+ (xy 165.413976 58.326649)
+ (xy 165.554734 58.107815)
+ (xy 165.563645 58.091403)
+ (xy 165.670512 57.854167)
+ (xy 165.676899 57.836618)
+ (xy 165.747527 57.586192)
+ (xy 165.751251 57.567891)
+ (xy 165.784087 57.309777)
+ (xy 165.785051 57.297174)
+ (xy 165.787457 57.205298)
+ (xy 165.787154 57.192662)
+ (xy 165.767871 56.933183)
+ (xy 165.76511 56.914713)
+ (xy 165.707686 56.660933)
+ (xy 165.702226 56.643073)
+ (xy 165.607921 56.40057)
+ (xy 165.599881 56.383715)
+ (xy 165.470768 56.157814)
+ (xy 165.460325 56.142331)
+ (xy 165.29924 55.937995)
+ (xy 165.286623 55.924226)
+ (xy 165.097105 55.745945)
+ (xy 165.082592 55.734192)
+ (xy 164.868804 55.585882)
+ (xy 164.852712 55.576404)
+ (xy 164.61935 55.461323)
+ (xy 164.602035 55.454327)
+ (xy 164.354226 55.375003)
+ (xy 164.336067 55.370644)
+ (xy 164.079256 55.328819)
+ (xy 164.060651 55.327191)
+ (xy 163.800478 55.323785)
+ (xy 163.781838 55.324925)
+ (xy 163.52402 55.360013)
+ (xy 163.505753 55.363896)
+ (xy 163.255953 55.436706)
+ (xy 163.23846 55.443246)
+ (xy 163.002166 55.552179)
+ (xy 162.985832 55.561233)
+ (xy 162.768234 55.703896)
+ (xy 162.753418 55.715265)
+ (xy 162.559298 55.888524)
+ (xy 162.546325 55.901958)
+ (xy 162.379946 56.102007)
+ (xy 162.369101 56.117211)
+ (xy 162.234119 56.339655)
+ (xy 162.225641 56.356295)
+ (xy 162.125021 56.596247)
+ (xy 162.119095 56.613957)
+ (xy 162.055047 56.866146)
+ (xy 162.051804 56.884537)
+ (xy 162.025736 57.143422)
+ (xy 162.025247 57.162091)
+ (xy 162.031238 57.286816)
+ (xy 162.03773 57.421987)
+ (xy 162.040006 57.440524)
+ (xy 162.090768 57.695719)
+ (xy 162.095759 57.713714)
+ (xy 162.183683 57.958603)
+ (xy 162.191279 57.975664)
+ (xy 162.259682 58.102968)
+ (xy 161.486039 57.329325)
+ (xy 161.446838 57.286694)
+ (xy 161.420485 57.264893)
+ (xy 161.383513 57.24197)
+ (xy 161.348877 57.215679)
+ (xy 161.31908 57.198889)
+ (xy 161.305112 57.193359)
+ (xy 161.292339 57.185439)
+ (xy 161.261096 57.171528)
+ (xy 161.219319 57.15939)
+ (xy 161.178888 57.143383)
+ (xy 161.145676 57.135225)
+ (xy 161.130731 57.133654)
+ (xy 161.116301 57.129462)
+ (xy 161.090376 57.124797)
+ (xy 161.077316 57.123838)
+ (xy 161.068089 57.1235)
+ (xy 161.034114 57.1235)
+ (xy 160.995722 57.119465)
+ (xy 160.961539 57.120539)
+ (xy 160.944032 57.1235)
+ (xy 149.11081 57.1235)
+ (xy 149.052999 57.121077)
+ (xy 149.018952 57.124295)
+ (xy 148.976612 57.134225)
+ (xy 148.933515 57.140129)
+ (xy 148.900575 57.149326)
+ (xy 148.886785 57.155293)
+ (xy 148.872154 57.158725)
+ (xy 148.840224 57.170982)
+ (xy 148.802116 57.191932)
+ (xy 148.762196 57.209207)
+ (xy 148.732943 57.226923)
+ (xy 148.721265 57.236379)
+ (xy 148.708093 57.243621)
+ (xy 148.686458 57.258659)
+ (xy 148.676546 57.267216)
+ (xy 148.669789 57.273497)
+ (xy 148.645772 57.297514)
+ (xy 148.615764 57.321814)
+ (xy 148.592352 57.346745)
+ (xy 148.582063 57.361223)
+ (xy 148.129325 57.813961)
+ (xy 148.086694 57.853162)
+ (xy 148.064893 57.879516)
+ (xy 148.041977 57.916476)
+ (xy 148.015679 57.951122)
+ (xy 147.998889 57.980921)
+ (xy 147.99336 57.994886)
+ (xy 147.985439 58.007661)
+ (xy 147.971528 58.038905)
+ (xy 147.95939 58.080682)
+ (xy 147.943383 58.121113)
+ (xy 147.935225 58.154324)
+ (xy 147.933654 58.16927)
+ (xy 147.929462 58.1837)
+ (xy 147.924797 58.209625)
+ (xy 147.923838 58.222685)
+ (xy 147.9235 58.231912)
+ (xy 147.9235 58.265885)
+ (xy 147.919465 58.304278)
+ (xy 147.920539 58.33846)
+ (xy 147.9235 58.355967)
+ (xy 147.9235 58.368918)
+ (xy 147.8229 58.43357)
+ (xy 147.795797 58.457056)
+ (xy 147.700737 58.566761)
+ (xy 147.681347 58.596931)
+ (xy 147.621045 58.728974)
+ (xy 147.610942 58.763384)
+ (xy 147.595283 58.872293)
+ (xy 147.594 58.890225)
+ (xy 147.594 61.097985)
+ (xy 147.59688 61.124768)
+ (xy 147.637968 61.313645)
+ (xy 147.65509 61.354983)
+ (xy 147.707207 61.436078)
+ (xy 139.219786 69.9235)
+ (xy 135.91081 69.9235)
+ (xy 135.852998 69.921077)
+ (xy 135.818951 69.924295)
+ (xy 135.776611 69.934225)
+ (xy 135.733514 69.940129)
+ (xy 135.700573 69.949326)
+ (xy 135.68678 69.955295)
+ (xy 135.672155 69.958725)
+ (xy 135.640225 69.970981)
+ (xy 135.602108 69.991936)
+ (xy 135.562195 70.009208)
+ (xy 135.53294 70.026926)
+ (xy 135.521265 70.036381)
+ (xy 135.508098 70.043619)
+ (xy 135.486464 70.058655)
+ (xy 135.476551 70.067212)
+ (xy 135.469789 70.073497)
+ (xy 135.445772 70.097514)
+ (xy 135.415764 70.121814)
+ (xy 135.392352 70.146745)
+ (xy 135.382063 70.161223)
+ (xy 135.129325 70.413961)
+ (xy 135.086694 70.453162)
+ (xy 135.064893 70.479516)
+ (xy 135.041977 70.516476)
+ (xy 135.015679 70.551122)
+ (xy 134.998889 70.580921)
+ (xy 134.99336 70.594886)
+ (xy 134.985439 70.607661)
+ (xy 134.971528 70.638905)
+ (xy 134.95939 70.680682)
+ (xy 134.943383 70.721113)
+ (xy 134.935225 70.754324)
+ (xy 134.933654 70.76927)
+ (xy 134.929462 70.7837)
+ (xy 134.924797 70.809625)
+ (xy 134.923838 70.822685)
+ (xy 134.9235 70.831912)
+ (xy 134.9235 70.865885)
+ (xy 134.919465 70.904278)
+ (xy 134.920539 70.93846)
+ (xy 134.9235 70.955967)
+ (xy 134.9235 71.068918)
+ (xy 134.8229 71.13357)
+ (xy 134.795797 71.157056)
+ (xy 134.700737 71.266761)
+ (xy 134.681347 71.296931)
+ (xy 134.621045 71.428974)
+ (xy 134.610942 71.463384)
+ (xy 134.595283 71.572293)
+ (xy 134.594 71.590225)
+ (xy 134.594 73.797985)
+ (xy 134.59688 73.824768)
+ (xy 134.637968 74.013645)
+ (xy 134.65509 74.054983)
+ (xy 134.73357 74.1771)
+ (xy 134.757056 74.204203)
+ (xy 134.866761 74.299263)
+ (xy 134.896931 74.318653)
+ (xy 135.028974 74.378955)
+ (xy 135.063384 74.389058)
+ (xy 135.172293 74.404717)
+ (xy 135.190225 74.406)
+ (xy 135.997985 74.406)
+ (xy 136.024768 74.40312)
+ (xy 136.213645 74.362032)
+ (xy 136.254983 74.34491)
+ (xy 136.3771 74.26643)
+ (xy 136.404203 74.242944)
+ (xy 136.499263 74.133239)
+ (xy 136.518653 74.103069)
+ (xy 136.578955 73.971026)
+ (xy 136.589058 73.936616)
+ (xy 136.604717 73.827707)
+ (xy 136.606 73.809775)
+ (xy 136.606 71.602015)
+ (xy 136.60312 71.575232)
+ (xy 136.562032 71.386355)
+ (xy 136.54491 71.345017)
+ (xy 136.500877 71.2765)
+ (xy 137.494478 71.2765)
+ (xy 137.481347 71.296931)
+ (xy 137.421045 71.428974)
+ (xy 137.410942 71.463384)
+ (xy 137.395283 71.572293)
+ (xy 137.394 71.590225)
+ (xy 137.394 73.797985)
+ (xy 137.39688 73.824768)
+ (xy 137.437968 74.013645)
+ (xy 137.45509 74.054983)
+ (xy 137.53357 74.1771)
+ (xy 137.557056 74.204203)
+ (xy 137.666761 74.299263)
+ (xy 137.696931 74.318653)
+ (xy 137.828974 74.378955)
+ (xy 137.863384 74.389058)
+ (xy 137.972293 74.404717)
+ (xy 137.990225 74.406)
+ (xy 138.797985 74.406)
+ (xy 138.824768 74.40312)
+ (xy 139.013645 74.362032)
+ (xy 139.054983 74.34491)
+ (xy 139.1771 74.26643)
+ (xy 139.204203 74.242944)
+ (xy 139.299263 74.133239)
+ (xy 139.318653 74.103069)
+ (xy 139.378955 73.971026)
+ (xy 139.389058 73.936616)
+ (xy 139.404717 73.827707)
+ (xy 139.406 73.809775)
+ (xy 139.406 73.3765)
+ (xy 139.58919 73.3765)
+ (xy 139.647001 73.378923)
+ (xy 139.681049 73.375705)
+ (xy 139.72339 73.365774)
+ (xy 139.766485 73.359871)
+ (xy 139.799423 73.350675)
+ (xy 139.813219 73.344705)
+ (xy 139.827847 73.341274)
+ (xy 139.859774 73.329018)
+ (xy 139.897884 73.308067)
+ (xy 139.937804 73.290792)
+ (xy 139.967058 73.273076)
+ (xy 139.978741 73.263616)
+ (xy 139.991904 73.256379)
+ (xy 140.013536 73.241345)
+ (xy 140.023449 73.232788)
+ (xy 140.030211 73.226503)
+ (xy 140.054228 73.202486)
+ (xy 140.084236 73.178186)
+ (xy 140.107647 73.153257)
+ (xy 140.117937 73.138778)
+ (xy 140.470699 72.786016)
+ (xy 140.513306 72.746837)
+ (xy 140.535107 72.720485)
+ (xy 140.558027 72.683518)
+ (xy 140.584321 72.648878)
+ (xy 140.601111 72.619082)
+ (xy 140.606643 72.605111)
+ (xy 140.614562 72.592338)
+ (xy 140.628472 72.561094)
+ (xy 140.640607 72.519325)
+ (xy 140.656616 72.47889)
+ (xy 140.664774 72.445679)
+ (xy 140.666345 72.430738)
+ (xy 140.670539 72.4163)
+ (xy 140.675203 72.390376)
+ (xy 140.676162 72.377316)
+ (xy 140.6765 72.368089)
+ (xy 140.6765 72.334113)
+ (xy 140.680535 72.295723)
+ (xy 140.679461 72.261539)
+ (xy 140.6765 72.244032)
+ (xy 140.6765 71.680214)
+ (xy 140.706714 71.65)
+ (xy 151.319 71.65)
+ (xy 151.319 72.4)
+ (xy 151.339002 72.468121)
+ (xy 151.392658 72.514614)
+ (xy 151.445 72.526)
+ (xy 152.2 72.526001)
+ (xy 152.268121 72.505999)
+ (xy 152.314614 72.452343)
+ (xy 152.326 72.400001)
+ (xy 152.326001 71.395)
+ (xy 152.305999 71.326879)
+ (xy 152.252343 71.280386)
+ (xy 152.200001 71.269)
+ (xy 151.7 71.269)
+ (xy 151.675418 71.271421)
+ (xy 151.577834 71.290832)
+ (xy 151.532414 71.309646)
+ (xy 151.449686 71.364923)
+ (xy 151.414923 71.399686)
+ (xy 151.359646 71.482414)
+ (xy 151.340832 71.527834)
+ (xy 151.321421 71.625418)
+ (xy 151.319 71.65)
+ (xy 140.706714 71.65)
+ (xy 141.542432 70.814282)
+ (xy 147.898678 70.814282)
+ (xy 147.935144 70.981913)
+ (xy 147.952266 71.02325)
+ (xy 148.025937 71.137885)
+ (xy 148.049423 71.16499)
+ (xy 148.152406 71.254225)
+ (xy 148.182576 71.273614)
+ (xy 148.306529 71.330221)
+ (xy 148.340939 71.340324)
+ (xy 148.441043 71.354717)
+ (xy 148.458975 71.356)
+ (xy 148.5 71.356)
+ (xy 148.568121 71.335998)
+ (xy 148.614614 71.282342)
+ (xy 148.626 71.23)
+ (xy 148.626 70.7875)
+ (xy 148.626 70.787499)
+ (xy 148.873999 70.787499)
+ (xy 148.873999 71.23)
+ (xy 148.894001 71.298121)
+ (xy 148.947657 71.344614)
+ (xy 148.999999 71.356)
+ (xy 149.029234 71.356)
+ (xy 149.056017 71.35312)
+ (xy 149.231913 71.314856)
+ (xy 149.27325 71.297734)
+ (xy 149.387885 71.224063)
+ (xy 149.41499 71.200577)
+ (xy 149.504225 71.097594)
+ (xy 149.523614 71.067424)
+ (xy 149.580221 70.943471)
+ (xy 149.590324 70.909061)
+ (xy 149.604717 70.808957)
+ (xy 149.606 70.791025)
+ (xy 149.606 70.7875)
+ (xy 149.585998 70.719379)
+ (xy 149.532342 70.672886)
+ (xy 149.48 70.6615)
+ (xy 148.999999 70.661499)
+ (xy 148.931878 70.681501)
+ (xy 148.885385 70.735156)
+ (xy 148.873999 70.787499)
+ (xy 148.626 70.787499)
+ (xy 148.605998 70.719379)
+ (xy 148.552342 70.672886)
+ (xy 148.5 70.6615)
+ (xy 148.021798 70.661499)
+ (xy 147.953677 70.681501)
+ (xy 147.907184 70.735156)
+ (xy 147.898678 70.814282)
+ (xy 141.542432 70.814282)
+ (xy 149.130215 63.2265)
+ (xy 150.53919 63.2265)
+ (xy 150.597001 63.228923)
+ (xy 150.631049 63.225705)
+ (xy 150.67339 63.215774)
+ (xy 150.716485 63.209871)
+ (xy 150.749423 63.200675)
+ (xy 150.763219 63.194705)
+ (xy 150.777847 63.191274)
+ (xy 150.809774 63.179018)
+ (xy 150.847884 63.158067)
+ (xy 150.887804 63.140792)
+ (xy 150.917058 63.123076)
+ (xy 150.928741 63.113616)
+ (xy 150.941904 63.106379)
+ (xy 150.963536 63.091345)
+ (xy 150.973449 63.082788)
+ (xy 150.980211 63.076503)
+ (xy 151.004228 63.052486)
+ (xy 151.034236 63.028186)
+ (xy 151.057648 63.003255)
+ (xy 151.067937 62.988777)
+ (xy 151.870675 62.186039)
+ (xy 151.913306 62.146838)
+ (xy 151.935107 62.120485)
+ (xy 151.95803 62.083513)
+ (xy 151.984321 62.048877)
+ (xy 152.001112 62.019078)
+ (xy 152.006641 62.005112)
+ (xy 152.01456 61.992341)
+ (xy 152.028472 61.961095)
+ (xy 152.040607 61.919327)
+ (xy 152.056617 61.87889)
+ (xy 152.064775 61.845676)
+ (xy 152.066346 61.830732)
+ (xy 152.070538 61.816302)
+ (xy 152.075203 61.790376)
+ (xy 152.076162 61.777316)
+ (xy 152.0765 61.768089)
+ (xy 152.0765 61.734122)
+ (xy 152.080536 61.695723)
+ (xy 152.079461 61.661539)
+ (xy 152.0765 61.644033)
+ (xy 152.0765 61.631082)
+ (xy 152.1771 61.56643)
+ (xy 152.204203 61.542944)
+ (xy 152.299263 61.433239)
+ (xy 152.318653 61.403069)
+ (xy 152.378955 61.271026)
+ (xy 152.389058 61.236616)
+ (xy 152.404717 61.127707)
+ (xy 152.406 61.109775)
+ (xy 152.406 60.962714)
+ (xy 156.412286 64.969)
+ (xy 155.611711 64.969)
+ (xy 155.564633 64.936886)
+ (xy 155.546679 64.926687)
+ (xy 155.322896 64.822811)
+ (xy 155.303518 64.815682)
+ (xy 155.065775 64.74975)
+ (xy 155.045493 64.74588)
+ (xy 154.842756 64.724213)
+ (xy 154.829366 64.7235)
+ (xy 152.009433 64.7235)
+ (xy 151.957881 64.679781)
+ (xy 151.941092 64.667762)
+ (xy 151.729392 64.541062)
+ (xy 151.710865 64.531945)
+ (xy 151.481316 64.441523)
+ (xy 151.461549 64.435555)
+ (xy 151.220313 64.383838)
+ (xy 151.199836 64.381179)
+ (xy 150.953395 64.369557)
+ (xy 150.932759 64.370278)
+ (xy 150.687726 64.399063)
+ (xy 150.667485 64.403144)
+ (xy 150.430445 64.471562)
+ (xy 150.411143 64.478894)
+ (xy 150.18846 64.585108)
+ (xy 150.170613 64.595495)
+ (xy 149.968267 64.736654)
+ (xy 149.952358 64.749816)
+ (xy 149.775785 64.922126)
+ (xy 149.762238 64.93771)
+ (xy 149.616179 65.136546)
+ (xy 149.60536 65.154132)
+ (xy 149.493736 65.374154)
+ (xy 149.485934 65.393272)
+ (xy 149.411745 65.62857)
+ (xy 149.40717 65.648705)
+ (xy 149.372407 65.892961)
+ (xy 149.371182 65.913574)
+ (xy 149.37678 66.160226)
+ (xy 149.378939 66.180761)
+ (xy 149.424746 66.423189)
+ (xy 149.430229 66.443096)
+ (xy 149.437875 66.46399)
+ (xy 148.256932 67.644933)
+ (xy 148.24032 67.658676)
+ (xy 148.196102 67.705763)
+ (xy 148.172946 67.728919)
+ (xy 148.162483 67.740786)
+ (xy 148.159018 67.745253)
+ (xy 148.12784 67.778454)
+ (xy 148.109276 67.804004)
+ (xy 148.099128 67.822462)
+ (xy 148.086223 67.8391)
+ (xy 148.070147 67.866283)
+ (xy 148.052059 67.908083)
+ (xy 148.030118 67.947993)
+ (xy 148.018492 67.977357)
+ (xy 148.013256 67.997749)
+ (xy 148.00489 68.017082)
+ (xy 147.996079 68.047412)
+ (xy 147.988954 68.092398)
+ (xy 147.977628 68.136508)
+ (xy 147.973918 68.159932)
+ (xy 147.973749 68.162618)
+ (xy 147.9735 68.17053)
+ (xy 147.9735 68.18997)
+ (xy 147.970375 68.2097)
+ (xy 147.969383 68.241269)
+ (xy 147.9735 68.284822)
+ (xy 147.9735 68.438896)
+ (xy 147.919779 68.556529)
+ (xy 147.909676 68.590939)
+ (xy 147.895283 68.691043)
+ (xy 147.894 68.708975)
+ (xy 147.894 69.204234)
+ (xy 147.89688 69.231017)
+ (xy 147.935144 69.406913)
+ (xy 147.952266 69.44825)
+ (xy 148.025937 69.562885)
+ (xy 148.049423 69.58999)
+ (xy 148.152406 69.679225)
+ (xy 148.182576 69.698614)
+ (xy 148.301427 69.752891)
+ (xy 148.268087 69.760144)
+ (xy 148.22675 69.777266)
+ (xy 148.112115 69.850937)
+ (xy 148.08501 69.874423)
+ (xy 147.995775 69.977406)
+ (xy 147.976386 70.007576)
+ (xy 147.919779 70.131529)
+ (xy 147.909676 70.165939)
+ (xy 147.895283 70.266043)
+ (xy 147.894 70.283975)
+ (xy 147.894 70.2875)
+ (xy 147.914002 70.355621)
+ (xy 147.967658 70.402114)
+ (xy 148.02 70.4135)
+ (xy 148.500001 70.413501)
+ (xy 148.500004 70.4135)
+ (xy 149.478202 70.413501)
+ (xy 149.546323 70.393499)
+ (xy 149.592816 70.339843)
+ (xy 149.601322 70.260718)
+ (xy 149.564856 70.093087)
+ (xy 149.547734 70.05175)
+ (xy 149.474063 69.937115)
+ (xy 149.450577 69.91001)
+ (xy 149.347594 69.820775)
+ (xy 149.317424 69.801386)
+ (xy 149.198573 69.747109)
+ (xy 149.231913 69.739856)
+ (xy 149.27325 69.722734)
+ (xy 149.387885 69.649063)
+ (xy 149.41499 69.625577)
+ (xy 149.504225 69.522594)
+ (xy 149.523614 69.492424)
+ (xy 149.580221 69.368471)
+ (xy 149.590324 69.334061)
+ (xy 149.604717 69.233957)
+ (xy 149.606 69.216025)
+ (xy 149.606 68.720766)
+ (xy 149.60312 68.693983)
+ (xy 149.56757 68.530565)
+ (xy 150.413281 67.684855)
+ (xy 150.473328 67.720793)
+ (xy 150.535367 67.763113)
+ (xy 150.553322 67.773313)
+ (xy 150.587906 67.789366)
+ (xy 150.620606 67.808937)
+ (xy 150.639134 67.818054)
+ (xy 150.708987 67.845571)
+ (xy 150.777105 67.877189)
+ (xy 150.796481 67.884318)
+ (xy 150.833214 67.894505)
+ (xy 150.868684 67.908477)
+ (xy 150.88845 67.914445)
+ (xy 150.961869 67.930185)
+ (xy 151.034225 67.950251)
+ (xy 151.054509 67.95412)
+ (xy 151.092415 67.958171)
+ (xy 151.129684 67.966161)
+ (xy 151.150163 67.968821)
+ (xy 151.225171 67.972358)
+ (xy 151.257244 67.975786)
+ (xy 151.270634 67.9765)
+ (xy 151.312996 67.9765)
+ (xy 151.396606 67.980443)
+ (xy 151.417242 67.979722)
+ (xy 151.444669 67.9765)
+ (xy 153.473501 67.9765)
+ (xy 153.4735 71.388109)
+ (xy 153.450314 71.364923)
+ (xy 153.367586 71.309646)
+ (xy 153.322166 71.290832)
+ (xy 153.224582 71.271421)
+ (xy 153.2 71.269)
+ (xy 152.7 71.269)
+ (xy 152.631879 71.289002)
+ (xy 152.585386 71.342658)
+ (xy 152.574 71.395)
+ (xy 152.573999 72.400001)
+ (xy 152.574 72.400004)
+ (xy 152.573999 72.774)
+ (xy 151.445 72.773999)
+ (xy 151.376879 72.794001)
+ (xy 151.330386 72.847657)
+ (xy 151.319 72.899999)
+ (xy 151.319 73.65)
+ (xy 151.321421 73.674582)
+ (xy 151.340832 73.772166)
+ (xy 151.359646 73.817586)
+ (xy 151.414923 73.900314)
+ (xy 151.438109 73.9235)
+ (xy 145.825752 73.9235)
+ (xy 145.759627 73.919166)
+ (xy 145.736576 73.919769)
+ (xy 145.64815 73.930235)
+ (xy 145.559531 73.938378)
+ (xy 145.536857 73.94258)
+ (xy 145.531799 73.944007)
+ (xy 145.526582 73.944624)
+ (xy 145.504026 73.949418)
+ (xy 145.418975 73.975827)
+ (xy 145.333338 73.999979)
+ (xy 145.311812 74.008241)
+ (xy 145.307096 74.010566)
+ (xy 145.302077 74.012125)
+ (xy 145.280775 74.020949)
+ (xy 145.201999 74.062395)
+ (xy 145.122158 74.101768)
+ (xy 145.102495 74.113818)
+ (xy 145.098291 74.116958)
+ (xy 145.093637 74.119406)
+ (xy 145.074296 74.131967)
+ (xy 145.004412 74.18706)
+ (xy 144.933064 74.240338)
+ (xy 144.915929 74.255767)
+ (xy 144.858525 74.317866)
+ (xy 142.182867 76.993524)
+ (xy 140.115878 77.745847)
+ (xy 140.091695 77.757713)
+ (xy 139.928259 77.860924)
+ (xy 139.895271 77.891152)
+ (xy 139.80736 78.006666)
+ (xy 139.789923 78.038005)
+ (xy 139.738117 78.173606)
+ (xy 139.730216 78.208589)
+ (xy 139.718712 78.353294)
+ (xy 139.720987 78.389085)
+ (xy 139.743522 78.496783)
+ (xy 139.74845 78.514072)
+ (xy 140.228877 79.834035)
+ (xy 140.232246 79.842357)
+ (xy 140.233342 79.844809)
+ (xy 140.24691 79.868098)
+ (xy 140.332977 79.984992)
+ (xy 140.358134 80.010552)
+ (xy 140.473648 80.098463)
+ (xy 140.504987 80.1159)
+ (xy 140.640589 80.167706)
+ (xy 140.675571 80.175607)
+ (xy 140.820275 80.187111)
+ (xy 140.856067 80.184836)
+ (xy 140.963764 80.162301)
+ (xy 140.981053 80.157373)
+ (xy 141.172895 80.087548)
+ (xy 131.351721 89.908723)
+ (xy 131.335079 89.92097)
+ (xy 131.313736 89.940873)
+ (xy 131.306906 89.948913)
+ (xy 120.696429 79.338437)
+ (xy 120.663223 79.299143)
+ (xy 120.643514 79.280374)
+ (xy 120.579544 79.231466)
+ (xy 120.516886 79.181087)
+ (xy 120.497106 79.168437)
+ (xy 120.496715 79.168138)
+ (xy 120.495275 79.167266)
+ (xy 120.49396 79.166425)
+ (xy 120.493551 79.166222)
+ (xy 120.473436 79.154041)
+ (xy 120.400496 79.120029)
+ (xy 120.328443 79.084261)
+ (xy 120.306392 79.076148)
+ (xy 120.305964 79.075948)
+ (xy 120.304433 79.075427)
+ (xy 120.302898 79.074862)
+ (xy 120.302435 79.074747)
+ (xy 120.280198 79.067176)
+ (xy 120.201648 79.049618)
+ (xy 120.123602 79.030159)
+ (xy 120.100328 79.026971)
+ (xy 120.099864 79.026867)
+ (xy 120.079411 79.024028)
+ (xy 120.073473 79.023696)
+ (xy 120.066439 79.0235)
+ (xy 119.990499 79.0235)
+ (xy 119.911924 79.021305)
+ (xy 119.884797 79.023488)
+ (xy 119.884734 79.0235)
+ (xy 110.943324 79.0235)
+ (xy 107.173152 75.253329)
+ (xy 107.173144 75.25332)
+ (xy 107.119481 75.199657)
+ (xy 107.065438 75.142508)
+ (xy 107.044714 75.12487)
+ (xy 107.044652 75.124828)
+ (xy 105.821306 73.901482)
+ (xy 106.017839 73.561077)
+ (xy 106.028033 73.538578)
+ (xy 106.037703 73.510091)
+ (xy 111.713247 73.510091)
+ (xy 111.719238 73.634816)
+ (xy 111.72573 73.769987)
+ (xy 111.728006 73.788524)
+ (xy 111.778768 74.043719)
+ (xy 111.783759 74.061714)
+ (xy 111.871683 74.306603)
+ (xy 111.879279 74.323664)
+ (xy 112.002434 74.552867)
+ (xy 112.012468 74.568618)
+ (xy 112.168149 74.777101)
+ (xy 112.180401 74.791195)
+ (xy 112.365188 74.974376)
+ (xy 112.379389 74.986505)
+ (xy 112.589222 75.14036)
+ (xy 112.605059 75.150256)
+ (xy 112.835328 75.271407)
+ (xy 112.852455 75.278854)
+ (xy 113.098102 75.364638)
+ (xy 113.116141 75.369472)
+ (xy 113.37177 75.418004)
+ (xy 113.390325 75.420118)
+ (xy 113.650319 75.430334)
+ (xy 113.668983 75.429682)
+ (xy 113.927631 75.401355)
+ (xy 113.945994 75.397952)
+ (xy 114.197615 75.331706)
+ (xy 114.215273 75.325626)
+ (xy 114.454338 75.222916)
+ (xy 114.470903 75.214292)
+ (xy 114.69216 75.077373)
+ (xy 114.707269 75.066396)
+ (xy 114.905858 74.898278)
+ (xy 114.919178 74.885189)
+ (xy 115.090737 74.689564)
+ (xy 115.101976 74.674649)
+ (xy 115.242734 74.455815)
+ (xy 115.251645 74.439403)
+ (xy 115.358512 74.202167)
+ (xy 115.364899 74.184618)
+ (xy 115.435527 73.934192)
+ (xy 115.439251 73.915891)
+ (xy 115.472087 73.657777)
+ (xy 115.473051 73.645174)
+ (xy 115.475457 73.553298)
+ (xy 115.475154 73.540662)
+ (xy 115.455871 73.281183)
+ (xy 115.45311 73.262713)
+ (xy 115.395686 73.008933)
+ (xy 115.390226 72.991073)
+ (xy 115.295921 72.74857)
+ (xy 115.287881 72.731715)
+ (xy 115.158768 72.505814)
+ (xy 115.148325 72.490331)
+ (xy 114.98724 72.285995)
+ (xy 114.974623 72.272226)
+ (xy 114.785105 72.093945)
+ (xy 114.770592 72.082192)
+ (xy 114.556804 71.933882)
+ (xy 114.540712 71.924404)
+ (xy 114.30735 71.809323)
+ (xy 114.290035 71.802327)
+ (xy 114.042226 71.723003)
+ (xy 114.024067 71.718644)
+ (xy 113.767256 71.676819)
+ (xy 113.748651 71.675191)
+ (xy 113.488478 71.671785)
+ (xy 113.469838 71.672925)
+ (xy 113.21202 71.708013)
+ (xy 113.193753 71.711896)
+ (xy 112.943953 71.784706)
+ (xy 112.92646 71.791246)
+ (xy 112.690166 71.900179)
+ (xy 112.673832 71.909233)
+ (xy 112.456234 72.051896)
+ (xy 112.441418 72.063265)
+ (xy 112.247298 72.236524)
+ (xy 112.234325 72.249958)
+ (xy 112.067946 72.450007)
+ (xy 112.057101 72.465211)
+ (xy 111.922119 72.687655)
+ (xy 111.913641 72.704295)
+ (xy 111.813021 72.944247)
+ (xy 111.807095 72.961957)
+ (xy 111.743047 73.214146)
+ (xy 111.739804 73.232537)
+ (xy 111.713736 73.491422)
+ (xy 111.713247 73.510091)
+ (xy 106.037703 73.510091)
+ (xy 106.060015 73.444362)
+ (xy 106.066432 73.395621)
+ (xy 106.059925 73.296338)
+ (xy 106.047201 73.248849)
+ (xy 106.003195 73.159614)
+ (xy 105.98785 73.139617)
+ (xy 106.01284 73.142907)
+ (xy 106.112123 73.1364)
+ (xy 106.159612 73.123676)
+ (xy 106.248847 73.07967)
+ (xy 106.28785 73.049741)
+ (xy 106.353452 72.974936)
+ (xy 106.367839 72.954859)
+ (xy 106.692839 72.391942)
+ (xy 106.709577 72.322947)
+ (xy 106.686357 72.255855)
+ (xy 106.64672 72.219823)
+ (xy 105.019459 71.280323)
+ (xy 105.143459 71.065549)
+ (xy 106.77072 72.005048)
+ (xy 106.839715 72.021786)
+ (xy 106.906807 71.998566)
+ (xy 106.942839 71.958929)
+ (xy 107.267839 71.396013)
+ (xy 107.278033 71.373514)
+ (xy 107.310015 71.279298)
+ (xy 107.316432 71.230557)
+ (xy 107.309925 71.131274)
+ (xy 107.297201 71.083785)
+ (xy 107.253195 70.99455)
+ (xy 107.237851 70.974554)
+ (xy 107.26284 70.977844)
+ (xy 107.362123 70.971337)
+ (xy 107.409612 70.958613)
+ (xy 107.498847 70.914607)
+ (xy 107.53785 70.884678)
+ (xy 107.603452 70.809873)
+ (xy 107.617839 70.789796)
+ (xy 107.942839 70.226879)
+ (xy 107.959577 70.157884)
+ (xy 107.936357 70.090792)
+ (xy 107.89672 70.05476)
+ (xy 106.573644 69.290881)
+ (xy 106.269459 69.11526)
+ (xy 106.345033 68.984361)
+ (xy 106.654495 68.984361)
+ (xy 106.677715 69.051453)
+ (xy 106.717352 69.087485)
+ (xy 108.02072 69.839985)
+ (xy 108.089715 69.856723)
+ (xy 108.156807 69.833503)
+ (xy 108.192839 69.793866)
+ (xy 108.517839 69.23095)
+ (xy 108.528033 69.208451)
+ (xy 108.560015 69.114235)
+ (xy 108.566432 69.065494)
+ (xy 108.559925 68.966211)
+ (xy 108.547201 68.918722)
+ (xy 108.503195 68.829487)
+ (xy 108.473266 68.790484)
+ (xy 108.398461 68.724882)
+ (xy 108.378384 68.710495)
+ (xy 107.295852 68.085495)
+ (xy 107.226857 68.068757)
+ (xy 107.159765 68.091977)
+ (xy 107.123733 68.131614)
+ (xy 106.671233 68.915366)
+ (xy 106.654495 68.984361)
+ (xy 106.345033 68.984361)
+ (xy 106.908958 68.007614)
+ (xy 106.925696 67.938619)
+ (xy 106.902476 67.871527)
+ (xy 106.862839 67.835495)
+ (xy 106.530682 67.643724)
+ (xy 106.778305 67.214793)
+ (xy 106.780443 67.214129)
+ (xy 106.797574 67.204827)
+ (xy 106.828428 67.192983)
+ (xy 106.869231 67.180897)
+ (xy 106.894375 67.167669)
+ (xy 106.896398 67.166892)
+ (xy 106.918473 67.155825)
+ (xy 106.926433 67.150802)
+ (xy 106.934778 67.146412)
+ (xy 106.955408 67.13282)
+ (xy 106.957085 67.131462)
+ (xy 106.981109 67.116304)
+ (xy 107.011977 67.087013)
+ (xy 107.035154 67.068244)
+ (xy 107.070229 67.044137)
+ (xy 107.09005 67.02379)
+ (xy 107.091728 67.022431)
+ (xy 107.109312 67.005076)
+ (xy 107.115339 66.997829)
+ (xy 107.121908 66.991086)
+ (xy 107.137328 66.971788)
+ (xy 107.138507 66.969973)
+ (xy 107.15667 66.948134)
+ (xy 107.176978 66.91073)
+ (xy 107.193209 66.885738)
+ (xy 107.219124 66.851963)
+ (xy 107.231687 66.826488)
+ (xy 107.232869 66.824668)
+ (xy 107.244226 66.802733)
+ (xy 107.247713 66.793993)
+ (xy 107.251881 66.785541)
+ (xy 107.260581 66.762426)
+ (xy 107.261143 66.76033)
+ (xy 107.271669 66.733945)
+ (xy 107.279424 66.692103)
+ (xy 107.287143 66.663296)
+ (xy 107.301349 66.623179)
+ (xy 107.305425 66.595069)
+ (xy 107.305985 66.592979)
+ (xy 107.310009 66.568605)
+ (xy 107.310625 66.559212)
+ (xy 107.311977 66.549886)
+ (xy 107.313108 66.52521)
+ (xy 107.312995 66.523051)
+ (xy 107.314853 66.494703)
+ (xy 107.309298 66.452503)
+ (xy 107.307737 66.422727)
+ (xy 107.308851 66.380182)
+ (xy 107.304041 66.352194)
+ (xy 107.303928 66.350031)
+ (xy 107.300222 66.325603)
+ (xy 107.297901 66.316464)
+ (xy 107.296308 66.307194)
+ (xy 107.289759 66.283375)
+ (xy 107.288986 66.281361)
+ (xy 107.281992 66.253823)
+ (xy 107.263665 66.215399)
+ (xy 107.252986 66.187579)
+ (xy 107.240897 66.146767)
+ (xy 107.227666 66.121619)
+ (xy 107.226893 66.119605)
+ (xy 107.215825 66.097527)
+ (xy 107.210802 66.089567)
+ (xy 107.206412 66.081222)
+ (xy 107.192823 66.060595)
+ (xy 107.191462 66.058915)
+ (xy 107.176304 66.03489)
+ (xy 107.147009 66.00402)
+ (xy 107.128245 65.980848)
+ (xy 107.104138 65.945773)
+ (xy 107.083785 65.925946)
+ (xy 107.082424 65.924265)
+ (xy 107.065075 65.906688)
+ (xy 107.060396 65.902797)
+ (xy 107.081966 65.912947)
+ (xy 107.238236 65.963722)
+ (xy 107.26926 65.96964)
+ (xy 107.433247 65.979958)
+ (xy 107.464769 65.977975)
+ (xy 107.626171 65.947186)
+ (xy 107.65621 65.937426)
+ (xy 107.804883 65.867465)
+ (xy 107.831549 65.850542)
+ (xy 107.958154 65.745806)
+ (xy 107.979775 65.722782)
+ (xy 107.995237 65.7015)
+ (xy 112.247405 65.7015)
+ (xy 112.255557 65.703255)
+ (xy 112.296886 65.705204)
+ (xy 112.32818 65.7015)
+ (xy 112.330039 65.7015)
+ (xy 112.350728 65.69979)
+ (xy 112.370664 65.696472)
+ (xy 112.420966 65.690518)
+ (xy 112.440464 65.684853)
+ (xy 112.443938 65.684275)
+ (xy 112.452255 65.681428)
+ (xy 112.4607 65.678974)
+ (xy 112.463876 65.677449)
+ (xy 112.483083 65.670873)
+ (xy 112.527686 65.646806)
+ (xy 112.573331 65.624888)
+ (xy 112.599855 65.607764)
+ (xy 112.605764 65.602798)
+ (xy 112.613704 65.595515)
+ (xy 112.625736 65.586183)
+ (xy 112.658637 65.550591)
+ (xy 113.353229 64.856)
+ (xy 113.741734 64.856)
+ (xy 113.768517 64.85312)
+ (xy 113.944413 64.814856)
+ (xy 113.98575 64.797734)
+ (xy 114.100385 64.724063)
+ (xy 114.12749 64.700577)
+ (xy 114.216725 64.597594)
+ (xy 114.236114 64.567424)
+ (xy 114.290391 64.448573)
+ (xy 114.297644 64.481913)
+ (xy 114.314766 64.52325)
+ (xy 114.388437 64.637885)
+ (xy 114.411923 64.66499)
+ (xy 114.514906 64.754225)
+ (xy 114.545076 64.773614)
+ (xy 114.669029 64.830221)
+ (xy 114.703439 64.840324)
+ (xy 114.803543 64.854717)
+ (xy 114.821475 64.856)
+ (xy 115.221773 64.856)
+ (xy 116.9985 66.632727)
+ (xy 116.998501 76.142305)
+ (xy 116.986694 76.153162)
+ (xy 116.964894 76.179514)
+ (xy 116.885439 76.307661)
+ (xy 116.871528 76.338905)
+ (xy 116.829462 76.483698)
+ (xy 116.824466 76.517532)
+ (xy 116.822887 76.668304)
+ (xy 116.827173 76.702234)
+ (xy 116.866198 76.847876)
+ (xy 116.879451 76.879404)
+ (xy 116.956204 77.009187)
+ (xy 116.977448 77.03599)
+ (xy 117.086275 77.140351)
+ (xy 117.113944 77.160453)
+ (xy 117.246827 77.231704)
+ (xy 117.278882 77.243625)
+ (xy 117.426031 77.276517)
+ (xy 117.460111 77.279379)
+ (xy 117.610684 77.271488)
+ (xy 117.644279 77.26508)
+ (xy 117.780247 77.219321)
+ (xy 117.771528 77.238905)
+ (xy 117.729462 77.383698)
+ (xy 117.724466 77.417532)
+ (xy 117.722887 77.568304)
+ (xy 117.727173 77.602234)
+ (xy 117.766198 77.747876)
+ (xy 117.779451 77.779404)
+ (xy 117.856204 77.909187)
+ (xy 117.877448 77.93599)
+ (xy 117.986275 78.040351)
+ (xy 118.013944 78.060453)
+ (xy 118.146827 78.131704)
+ (xy 118.178882 78.143625)
+ (xy 118.326031 78.176517)
+ (xy 118.360111 78.179379)
+ (xy 118.510684 78.171488)
+ (xy 118.544279 78.16508)
+ (xy 118.687184 78.116987)
+ (xy 118.717818 78.10178)
+ (xy 118.842525 78.017029)
+ (xy 118.86794 77.994145)
+ (xy 118.965262 77.87898)
+ (xy 118.983588 77.850103)
+ (xy 119.046355 77.713008)
+ (xy 119.05624 77.680268)
+ (xy 119.079827 77.531345)
+ (xy 119.081371 77.512955)
+ (xy 119.081493 77.501321)
+ (xy 119.080334 77.4829)
+ (xy 119.059871 77.333515)
+ (xy 119.050674 77.300574)
+ (xy 118.990792 77.162195)
+ (xy 118.973075 77.132942)
+ (xy 118.9015 77.044554)
+ (xy 118.9015 76.627593)
+ (xy 118.903255 76.619441)
+ (xy 118.905204 76.578112)
+ (xy 118.9015 76.546817)
+ (xy 118.9015 76.544959)
+ (xy 118.89979 76.524269)
+ (xy 118.896471 76.504329)
+ (xy 118.890518 76.454032)
+ (xy 118.884853 76.434532)
+ (xy 118.884274 76.431055)
+ (xy 118.881427 76.422741)
+ (xy 118.878976 76.414303)
+ (xy 118.877451 76.411126)
+ (xy 118.870873 76.391915)
+ (xy 118.84681 76.34732)
+ (xy 118.824888 76.301666)
+ (xy 118.807764 76.275142)
+ (xy 118.802798 76.269233)
+ (xy 118.795512 76.261289)
+ (xy 118.786183 76.249262)
+ (xy 118.750607 76.216376)
+ (xy 118.45151 75.91728)
+ (xy 118.45151 66.002585)
+ (xy 118.453265 65.994433)
+ (xy 118.455214 65.953105)
+ (xy 118.45151 65.921808)
+ (xy 118.45151 65.919951)
+ (xy 118.4498 65.899261)
+ (xy 118.446482 65.879331)
+ (xy 118.440529 65.829025)
+ (xy 118.434864 65.809527)
+ (xy 118.434286 65.806054)
+ (xy 118.431438 65.797736)
+ (xy 118.428984 65.789289)
+ (xy 118.427459 65.786113)
+ (xy 118.420883 65.766906)
+ (xy 118.39683 65.722328)
+ (xy 118.3749 65.676659)
+ (xy 118.35776 65.650117)
+ (xy 118.352793 65.644209)
+ (xy 118.345525 65.636286)
+ (xy 118.336193 65.624254)
+ (xy 118.300593 65.591346)
+ (xy 116.6515 63.942254)
+ (xy 116.6515 61.002595)
+ (xy 116.653255 60.994443)
+ (xy 116.655204 60.953114)
+ (xy 116.6515 60.921819)
+ (xy 116.6515 60.919961)
+ (xy 116.64979 60.899271)
+ (xy 116.646471 60.879331)
+ (xy 116.640518 60.829034)
+ (xy 116.634853 60.809534)
+ (xy 116.634274 60.806057)
+ (xy 116.631427 60.797743)
+ (xy 116.628976 60.789305)
+ (xy 116.627451 60.786128)
+ (xy 116.620873 60.766917)
+ (xy 116.59681 60.722322)
+ (xy 116.574888 60.676668)
+ (xy 116.557764 60.650144)
+ (xy 116.552798 60.644235)
+ (xy 116.545509 60.636288)
+ (xy 116.536183 60.624265)
+ (xy 116.500615 60.591386)
+ (xy 116.091809 60.182582)
+ (xy 116.087282 60.175571)
+ (xy 116.059436 60.144969)
+ (xy 116.034675 60.125449)
+ (xy 116.033372 60.124146)
+ (xy 116.017533 60.110725)
+ (xy 116.001113 60.098992)
+ (xy 115.961314 60.067616)
+ (xy 115.94352 60.057834)
+ (xy 115.94066 60.05579)
+ (xy 115.932772 60.051926)
+ (xy 115.925049 60.04768)
+ (xy 115.92172 60.046511)
+ (xy 115.903498 60.037584)
+ (xy 115.854995 60.023079)
+ (xy 115.807168 60.006283)
+ (xy 115.776291 59.999636)
+ (xy 115.768601 59.99897)
+ (xy 115.757834 59.998505)
+ (xy 115.742743 59.996598)
+ (xy 115.694331 59.9985)
+ (xy 112.952595 59.9985)
+ (xy 112.944443 59.996745)
+ (xy 112.903115 59.994796)
+ (xy 112.871818 59.9985)
+ (xy 112.869961 59.9985)
+ (xy 112.849271 60.00021)
+ (xy 112.829341 60.003528)
+ (xy 112.779035 60.009481)
+ (xy 112.759537 60.015146)
+ (xy 112.756064 60.015724)
+ (xy 112.747746 60.018572)
+ (xy 112.739299 60.021026)
+ (xy 112.736123 60.022551)
+ (xy 112.716916 60.029127)
+ (xy 112.672338 60.05318)
+ (xy 112.626669 60.07511)
+ (xy 112.600127 60.09225)
+ (xy 112.594219 60.097217)
+ (xy 112.586292 60.104488)
+ (xy 112.574265 60.113817)
+ (xy 112.541363 60.14941)
+ (xy 111.968111 60.722662)
+ (xy 111.964769 60.722025)
+ (xy 111.933247 60.720042)
+ (xy 111.76926 60.73036)
+ (xy 111.738236 60.736278)
+ (xy 111.581966 60.787053)
+ (xy 111.553388 60.800501)
+ (xy 111.467761 60.854842)
+ (xy 111.450577 60.83501)
+ (xy 111.347594 60.745775)
+ (xy 111.317424 60.726386)
+ (xy 111.193471 60.669779)
+ (xy 111.159061 60.659676)
+ (xy 111.058957 60.645283)
+ (xy 111.041025 60.644)
+ (xy 110.545766 60.644)
+ (xy 110.518983 60.64688)
+ (xy 110.343087 60.685144)
+ (xy 110.30175 60.702266)
+ (xy 110.187115 60.775937)
+ (xy 110.16001 60.799423)
+ (xy 110.070775 60.902406)
+ (xy 110.051386 60.932576)
+ (xy 109.997109 61.051427)
+ (xy 109.989856 61.018087)
+ (xy 109.972734 60.97675)
+ (xy 109.899063 60.862115)
+ (xy 109.875577 60.83501)
+ (xy 109.772594 60.745775)
+ (xy 109.742424 60.726386)
+ (xy 109.618471 60.669779)
+ (xy 109.584061 60.659676)
+ (xy 109.483957 60.645283)
+ (xy 109.466025 60.644)
+ (xy 108.970766 60.644)
+ (xy 108.943983 60.64688)
+ (xy 108.768087 60.685144)
+ (xy 108.72675 60.702266)
+ (xy 108.612115 60.775937)
+ (xy 108.58501 60.799423)
+ (xy 108.495775 60.902406)
+ (xy 108.476386 60.932576)
+ (xy 108.419779 61.056529)
+ (xy 108.409676 61.090939)
+ (xy 108.395283 61.191043)
+ (xy 108.394 61.208975)
+ (xy 108.394 61.609272)
+ (xy 107.904773 62.0985)
+ (xy 106.068488 62.0985)
+ (xy 106.060336 62.096745)
+ (xy 106.019007 62.094796)
+ (xy 105.987712 62.0985)
+ (xy 105.985854 62.0985)
+ (xy 105.965164 62.10021)
+ (xy 105.945224 62.103529)
+ (xy 105.894927 62.109482)
+ (xy 105.875427 62.115147)
+ (xy 105.87195 62.115726)
+ (xy 105.863636 62.118573)
+ (xy 105.855198 62.121024)
+ (xy 105.852021 62.122549)
+ (xy 105.83281 62.129127)
+ (xy 105.788215 62.15319)
+ (xy 105.742561 62.175112)
+ (xy 105.716028 62.192244)
+ (xy 105.710119 62.197211)
+ (xy 105.702189 62.204484)
+ (xy 105.690157 62.213817)
+ (xy 105.657244 62.249422)
+ (xy 103.208167 64.6985)
+ (xy 102.352595 64.6985)
+ (xy 102.344443 64.696745)
+ (xy 102.303114 64.694796)
+ (xy 102.271819 64.6985)
+ (xy 102.269961 64.6985)
+ (xy 102.249271 64.70021)
+ (xy 102.229331 64.703529)
+ (xy 102.179034 64.709482)
+ (xy 102.159534 64.715147)
+ (xy 102.156057 64.715726)
+ (xy 102.147743 64.718573)
+ (xy 102.139305 64.721024)
+ (xy 102.136128 64.722549)
+ (xy 102.116917 64.729127)
+ (xy 102.072322 64.75319)
+ (xy 102.026668 64.775112)
+ (xy 102.000144 64.792236)
+ (xy 101.994235 64.797202)
+ (xy 101.986288 64.804491)
+ (xy 101.974265 64.813817)
+ (xy 101.941387 64.849384)
+ (xy 101.558442 65.232329)
+ (xy 101.637499 65.119843)
+ (xy 102.50412 63.948672)
+ (xy 103.39912 62.798994)
+ (xy 104.321934 61.671535)
+ (xy 105.271998 60.566984)
+ (xy 106.248799 59.485938)
+ (xy 107.251699 58.429101)
+ (xy 108.28014 57.397064)
+ (xy 109.333447 56.390501)
+ (xy 110.411098 55.409915)
+ (xy 111.512327 54.456001)
+ (xy 112.636557 53.529257)
+ (xy 113.783098 52.630254)
+ (xy 114.951274 51.759522)
+ (xy 116.140309 50.917638)
+ (xy 117.349651 50.104994)
+ (xy 118.57841 49.322189)
+ (xy 119.82596 48.569618)
+ (xy 121.091558 47.847734)
+ (xy 122.37433 47.157032)
+ (xy 123.673691 46.497829)
+ (xy 124.988706 45.870599)
+ (xy 126.318668 45.275678)
+ (xy 127.662777 44.713425)
+ (xy 129.020223 44.184178)
+ (xy 130.390166 43.688266)
+ (xy 131.771852 43.225961)
+ (xy 133.164409 42.797554)
+ (xy 134.567006 42.403302)
+ (xy 135.978834 42.043432)
+ (xy 137.399004 41.71817)
+ (xy 138.826768 41.427687)
+ (xy 140.26111 41.172193)
+ (xy 141.701298 40.951813)
+ (xy 143.146464 40.766683)
+ (xy 144.595717 40.616917)
+ (xy 146.048189 40.502605)
+ (xy 147.503015 40.423814)
+ (xy 148.959369 40.380591)
+ (xy 150.416262 40.372962)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 194.098657 65.213659)
+ (xy 194.075034 65.229426)
+ (xy 194.073102 65.230409)
+ (xy 194.052293 65.243715)
+ (xy 194.044892 65.249543)
+ (xy 194.037055 65.254774)
+ (xy 194.017955 65.270434)
+ (xy 194.016434 65.271953)
+ (xy 193.994106 65.289537)
+ (xy 193.96645 65.321886)
+ (xy 193.945439 65.342875)
+ (xy 193.913059 65.370502)
+ (xy 193.895452 65.392812)
+ (xy 193.893929 65.394334)
+ (xy 193.878248 65.413421)
+ (xy 193.873015 65.421244)
+ (xy 193.867179 65.428639)
+ (xy 193.85385 65.449437)
+ (xy 193.852865 65.451368)
+ (xy 193.837071 65.474979)
+ (xy 193.820768 65.514281)
+ (xy 193.807266 65.540746)
+ (xy 193.785007 65.577029)
+ (xy 193.775161 65.603676)
+ (xy 193.774183 65.605593)
+ (xy 193.765165 65.628596)
+ (xy 193.762607 65.637649)
+ (xy 193.76206 65.639129)
+ (xy 193.622134 65.720089)
+ (xy 193.620381 65.719691)
+ (xy 193.6009 65.719171)
+ (xy 193.568362 65.714001)
+ (xy 193.52699 65.704046)
+ (xy 193.498605 65.702916)
+ (xy 193.49646 65.702575)
+ (xy 193.471807 65.70111)
+ (xy 193.462401 65.701475)
+ (xy 193.452987 65.7011)
+ (xy 193.42833 65.70254)
+ (xy 193.426181 65.702879)
+ (xy 193.397803 65.70398)
+ (xy 193.35643 65.713891)
+ (xy 193.327063 65.718527)
+ (xy 193.284646 65.721843)
+ (xy 193.257306 65.729538)
+ (xy 193.255159 65.729877)
+ (xy 193.231254 65.736103)
+ (xy 193.222422 65.739357)
+ (xy 193.213356 65.741908)
+ (xy 193.190345 65.7509)
+ (xy 193.188411 65.751884)
+ (xy 193.16176 65.761701)
+ (xy 193.125466 65.783916)
+ (xy 193.098987 65.79739)
+ (xy 193.059657 65.813659)
+ (xy 193.036034 65.829426)
+ (xy 193.034102 65.830409)
+ (xy 193.013293 65.843715)
+ (xy 193.005892 65.849543)
+ (xy 192.998055 65.854774)
+ (xy 192.978955 65.870434)
+ (xy 192.977434 65.871953)
+ (xy 192.955106 65.889537)
+ (xy 192.92745 65.921886)
+ (xy 192.906439 65.942875)
+ (xy 192.874059 65.970502)
+ (xy 192.856452 65.992812)
+ (xy 192.854929 65.994334)
+ (xy 192.839248 66.013421)
+ (xy 192.834015 66.021244)
+ (xy 192.828179 66.028639)
+ (xy 192.81485 66.049437)
+ (xy 192.813865 66.051368)
+ (xy 192.798071 66.074979)
+ (xy 192.781768 66.114281)
+ (xy 192.768266 66.140746)
+ (xy 192.746007 66.177029)
+ (xy 192.736161 66.203676)
+ (xy 192.735183 66.205593)
+ (xy 192.726165 66.228596)
+ (xy 192.723607 66.237649)
+ (xy 192.720337 66.246498)
+ (xy 192.714089 66.270391)
+ (xy 192.713748 66.272539)
+ (xy 192.706025 66.299869)
+ (xy 192.702665 66.342283)
+ (xy 192.698001 66.371638)
+ (xy 192.688046 66.41301)
+ (xy 192.686916 66.441395)
+ (xy 192.686575 66.44354)
+ (xy 192.68511 66.468193)
+ (xy 192.685475 66.477598)
+ (xy 192.6851 66.487012)
+ (xy 192.68654 66.511669)
+ (xy 192.686879 66.513818)
+ (xy 192.68798 66.542197)
+ (xy 192.697891 66.58357)
+ (xy 192.702527 66.612939)
+ (xy 192.705843 66.655353)
+ (xy 192.713538 66.682693)
+ (xy 192.713877 66.68484)
+ (xy 192.720102 66.708742)
+ (xy 192.723357 66.717578)
+ (xy 192.725909 66.726646)
+ (xy 192.734897 66.749649)
+ (xy 192.735882 66.751586)
+ (xy 192.745701 66.778241)
+ (xy 192.767912 66.814526)
+ (xy 192.781391 66.841013)
+ (xy 192.79766 66.880346)
+ (xy 192.813429 66.903971)
+ (xy 192.814407 66.905893)
+ (xy 192.827717 66.92671)
+ (xy 192.833546 66.934112)
+ (xy 192.838773 66.941943)
+ (xy 192.854434 66.961045)
+ (xy 192.855953 66.962566)
+ (xy 192.873537 66.984894)
+ (xy 192.905886 67.01255)
+ (xy 192.926886 67.033571)
+ (xy 192.954503 67.065942)
+ (xy 192.976808 67.083545)
+ (xy 192.978333 67.085071)
+ (xy 192.997422 67.100754)
+ (xy 193.005247 67.105988)
+ (xy 193.012638 67.111821)
+ (xy 193.033442 67.125153)
+ (xy 193.035367 67.126135)
+ (xy 193.058978 67.141929)
+ (xy 193.098273 67.158229)
+ (xy 193.124755 67.17174)
+ (xy 193.161028 67.193993)
+ (xy 193.187668 67.203836)
+ (xy 193.189598 67.204821)
+ (xy 193.212596 67.213836)
+ (xy 193.221674 67.216401)
+ (xy 193.222882 67.216848)
+ (xy 193.481147 67.664215)
+ (xy 193.167161 67.845495)
+ (xy 193.118168 67.896878)
+ (xy 193.104732 67.966591)
+ (xy 193.121042 68.017614)
+ (xy 193.760541 69.12526)
+ (xy 193.436648 69.31226)
+ (xy 192.13328 70.06476)
+ (xy 192.084287 70.116143)
+ (xy 192.070851 70.185856)
+ (xy 192.087161 70.236879)
+ (xy 192.412161 70.799796)
+ (xy 192.426548 70.819873)
+ (xy 192.49215 70.894678)
+ (xy 192.531153 70.924607)
+ (xy 192.620388 70.968613)
+ (xy 192.667877 70.981337)
+ (xy 192.76716 70.987844)
+ (xy 192.792149 70.984554)
+ (xy 192.776805 71.00455)
+ (xy 192.732799 71.093785)
+ (xy 192.720075 71.141274)
+ (xy 192.713568 71.240557)
+ (xy 192.719985 71.289298)
+ (xy 192.751967 71.383514)
+ (xy 192.762161 71.406013)
+ (xy 193.087161 71.968929)
+ (xy 193.138544 72.017922)
+ (xy 193.208257 72.031358)
+ (xy 193.25928 72.015048)
+ (xy 194.886541 71.075549)
+ (xy 195.010541 71.290323)
+ (xy 193.38328 72.229823)
+ (xy 193.334287 72.281205)
+ (xy 193.320851 72.350919)
+ (xy 193.337161 72.401942)
+ (xy 193.662161 72.964859)
+ (xy 193.676548 72.984936)
+ (xy 193.74215 73.059741)
+ (xy 193.781153 73.08967)
+ (xy 193.870388 73.133676)
+ (xy 193.917877 73.1464)
+ (xy 194.01716 73.152907)
+ (xy 194.04215 73.149617)
+ (xy 194.026805 73.169614)
+ (xy 193.982799 73.258849)
+ (xy 193.970075 73.306338)
+ (xy 193.963568 73.405621)
+ (xy 193.969985 73.454362)
+ (xy 194.001967 73.548578)
+ (xy 194.012161 73.571077)
+ (xy 194.047651 73.632548)
+ (xy 193.27121 73.632548)
+ (xy 193.259286 73.630574)
+ (xy 193.232113 73.629055)
+ (xy 193.165463 73.632548)
+ (xy 193.144927 73.632548)
+ (xy 193.131318 73.633285)
+ (xy 193.1126 73.635319)
+ (xy 193.04758 73.638726)
+ (xy 193.020713 73.643077)
+ (xy 193.007382 73.646749)
+ (xy 192.993639 73.648242)
+ (xy 192.967058 73.654086)
+ (xy 192.905349 73.674853)
+ (xy 192.84256 73.692148)
+ (xy 192.817256 73.702167)
+ (xy 192.805025 73.708616)
+ (xy 192.791923 73.713025)
+ (xy 192.767217 73.724441)
+ (xy 192.711412 73.757972)
+ (xy 192.653797 73.788349)
+ (xy 192.631234 73.803568)
+ (xy 192.620671 73.812494)
+ (xy 192.608822 73.819614)
+ (xy 192.587144 73.836069)
+ (xy 192.539839 73.880803)
+ (xy 192.490095 73.92284)
+ (xy 192.471325 73.942551)
+ (xy 192.462928 73.953534)
+ (xy 192.452885 73.963031)
+ (xy 192.435245 73.983758)
+ (xy 192.398644 74.037613)
+ (xy 192.359091 74.089348)
+ (xy 192.344993 74.112628)
+ (xy 192.339153 74.125152)
+ (xy 192.331379 74.136591)
+ (xy 192.318601 74.160622)
+ (xy 192.294411 74.2211)
+ (xy 192.266899 74.280101)
+ (xy 192.258128 74.305865)
+ (xy 192.255113 74.319353)
+ (xy 192.249977 74.332194)
+ (xy 192.242658 74.358408)
+ (xy 192.232022 74.422653)
+ (xy 192.217818 74.4862)
+ (xy 192.214785 74.513244)
+ (xy 192.214737 74.527072)
+ (xy 192.212478 74.540716)
+ (xy 192.210959 74.567888)
+ (xy 192.214368 74.632922)
+ (xy 192.21414 74.698031)
+ (xy 192.216985 74.7251)
+ (xy 192.219907 74.738612)
+ (xy 192.22063 74.752419)
+ (xy 192.224981 74.779286)
+ (xy 192.242277 74.842079)
+ (xy 192.256035 74.905713)
+ (xy 192.264624 74.931536)
+ (xy 192.270379 74.944108)
+ (xy 192.274052 74.95744)
+ (xy 192.284071 74.982744)
+ (xy 192.314444 75.040352)
+ (xy 192.341548 75.099552)
+ (xy 192.355484 75.12293)
+ (xy 192.363803 75.13397)
+ (xy 192.370253 75.146203)
+ (xy 192.385472 75.168766)
+ (xy 192.427504 75.218505)
+ (xy 192.466691 75.270507)
+ (xy 192.485321 75.290346)
+ (xy 192.495819 75.299344)
+ (xy 192.504743 75.309904)
+ (xy 192.524453 75.328673)
+ (xy 192.576175 75.368217)
+ (xy 192.625625 75.410601)
+ (xy 192.648082 75.425977)
+ (xy 192.660264 75.432509)
+ (xy 192.671251 75.440909)
+ (xy 192.694531 75.455007)
+ (xy 192.753534 75.48252)
+ (xy 192.810934 75.513298)
+ (xy 192.83617 75.523494)
+ (xy 192.849477 75.527259)
+ (xy 192.862004 75.533101)
+ (xy 192.887769 75.541872)
+ (xy 192.951311 75.556075)
+ (xy 193.013973 75.573807)
+ (xy 193.040806 75.578346)
+ (xy 193.054615 75.579167)
+ (xy 193.068106 75.582182)
+ (xy 193.088556 75.58502)
+ (xy 193.094494 75.585352)
+ (xy 193.101528 75.585548)
+ (xy 193.162032 75.585548)
+ (xy 193.22527 75.589305)
+ (xy 193.252454 75.587976)
+ (xy 193.267784 75.585548)
+ (xy 194.851231 75.585548)
+ (xy 194.902464 75.58985)
+ (xy 194.929672 75.589185)
+ (xy 195.009411 75.578545)
+ (xy 195.089419 75.569854)
+ (xy 195.11236 75.56481)
+ (xy 195.11283 75.564747)
+ (xy 195.114409 75.564359)
+ (xy 195.116006 75.564008)
+ (xy 195.116458 75.563856)
+ (xy 195.139265 75.558254)
+ (xy 195.214828 75.530752)
+ (xy 195.216216 75.530284)
+ (xy 195.213568 75.570685)
+ (xy 195.219985 75.619426)
+ (xy 195.251967 75.713642)
+ (xy 195.262161 75.736141)
+ (xy 195.587161 76.299057)
+ (xy 195.638544 76.34805)
+ (xy 195.708257 76.361486)
+ (xy 195.75928 76.345176)
+ (xy 197.386541 75.405677)
+ (xy 197.510541 75.620451)
+ (xy 195.88328 76.559951)
+ (xy 195.834287 76.611333)
+ (xy 195.820851 76.681047)
+ (xy 195.837161 76.73207)
+ (xy 196.162161 77.294987)
+ (xy 196.176548 77.315064)
+ (xy 196.24215 77.389869)
+ (xy 196.281153 77.419798)
+ (xy 196.370388 77.463804)
+ (xy 196.417877 77.476528)
+ (xy 196.51716 77.483035)
+ (xy 196.542149 77.479745)
+ (xy 196.526805 77.499741)
+ (xy 196.482799 77.588976)
+ (xy 196.470075 77.636465)
+ (xy 196.463568 77.735748)
+ (xy 196.469985 77.784489)
+ (xy 196.501967 77.878705)
+ (xy 196.512161 77.901204)
+ (xy 196.837161 78.46412)
+ (xy 196.888544 78.513113)
+ (xy 196.958257 78.526549)
+ (xy 197.00928 78.510239)
+ (xy 198.636541 77.57074)
+ (xy 198.767166 77.796988)
+ (xy 199.276041 78.678386)
+ (xy 199.327423 78.727379)
+ (xy 199.397137 78.740815)
+ (xy 199.44816 78.724505)
+ (xy 199.761734 78.543463)
+ (xy 200.003235 78.96179)
+ (xy 200.002669 78.9643)
+ (xy 200.002173 78.983793)
+ (xy 199.997004 79.016587)
+ (xy 199.987099 79.057979)
+ (xy 199.986004 79.086367)
+ (xy 199.985667 79.088508)
+ (xy 199.984232 79.113164)
+ (xy 199.984609 79.122575)
+ (xy 199.984246 79.131983)
+ (xy 199.985718 79.156646)
+ (xy 199.986058 79.158785)
+ (xy 199.987194 79.187162)
+ (xy 199.99716 79.228538)
+ (xy 200.001871 79.258142)
+ (xy 200.005242 79.300567)
+ (xy 200.012971 79.327895)
+ (xy 200.013312 79.330039)
+ (xy 200.019567 79.353937)
+ (xy 200.022836 79.362778)
+ (xy 200.025397 79.371833)
+ (xy 200.034417 79.39483)
+ (xy 200.035399 79.396754)
+ (xy 200.045253 79.423403)
+ (xy 200.067516 79.459672)
+ (xy 200.081141 79.486365)
+ (xy 200.097461 79.525681)
+ (xy 200.113262 79.549291)
+ (xy 200.114242 79.551211)
+ (xy 200.127573 79.572004)
+ (xy 200.133415 79.579404)
+ (xy 200.138655 79.587233)
+ (xy 200.154336 79.606312)
+ (xy 200.155864 79.607838)
+ (xy 200.173469 79.630136)
+ (xy 200.205862 79.657761)
+ (xy 200.227056 79.678925)
+ (xy 200.254731 79.711279)
+ (xy 200.277054 79.72885)
+ (xy 200.278582 79.730376)
+ (xy 200.297687 79.746032)
+ (xy 200.305529 79.751264)
+ (xy 200.312926 79.757086)
+ (xy 200.333734 79.770385)
+ (xy 200.335662 79.771366)
+ (xy 200.359299 79.787134)
+ (xy 200.398633 79.803393)
+ (xy 200.42536 79.816986)
+ (xy 200.461655 79.839191)
+ (xy 200.48831 79.849002)
+ (xy 200.490242 79.849985)
+ (xy 200.513246 79.85897)
+ (xy 200.522333 79.861526)
+ (xy 200.531161 79.864775)
+ (xy 200.555062 79.870993)
+ (xy 200.557189 79.871328)
+ (xy 200.584546 79.879022)
+ (xy 200.62698 79.882329)
+ (xy 200.656587 79.886996)
+ (xy 200.697979 79.896901)
+ (xy 200.726365 79.897996)
+ (xy 200.728506 79.898333)
+ (xy 200.753162 79.899768)
+ (xy 200.762574 79.899391)
+ (xy 200.771983 79.899754)
+ (xy 200.796646 79.898282)
+ (xy 200.798785 79.897942)
+ (xy 200.827161 79.896806)
+ (xy 200.868536 79.88684)
+ (xy 200.898142 79.882129)
+ (xy 200.940567 79.878758)
+ (xy 200.967895 79.871029)
+ (xy 200.970039 79.870688)
+ (xy 200.993937 79.864433)
+ (xy 201.002778 79.861164)
+ (xy 201.011833 79.858603)
+ (xy 201.03483 79.849583)
+ (xy 201.036754 79.848601)
+ (xy 201.063403 79.838747)
+ (xy 201.099672 79.816484)
+ (xy 201.126365 79.802859)
+ (xy 201.165681 79.786539)
+ (xy 201.189291 79.770738)
+ (xy 201.191211 79.769758)
+ (xy 201.212004 79.756427)
+ (xy 201.219404 79.750585)
+ (xy 201.227233 79.745345)
+ (xy 201.246312 79.729664)
+ (xy 201.247838 79.728136)
+ (xy 201.270136 79.710531)
+ (xy 201.297761 79.678138)
+ (xy 201.318925 79.656944)
+ (xy 201.351279 79.629269)
+ (xy 201.36885 79.606946)
+ (xy 201.370376 79.605418)
+ (xy 201.386032 79.586313)
+ (xy 201.391264 79.578471)
+ (xy 201.397086 79.571074)
+ (xy 201.410385 79.550266)
+ (xy 201.411366 79.548338)
+ (xy 201.427134 79.524701)
+ (xy 201.443393 79.485367)
+ (xy 201.456986 79.45864)
+ (xy 201.479191 79.422345)
+ (xy 201.489002 79.39569)
+ (xy 201.489985 79.393758)
+ (xy 201.49897 79.370754)
+ (xy 201.501526 79.361667)
+ (xy 201.502056 79.360225)
+ (xy 201.640866 79.279912)
+ (xy 201.642616 79.280309)
+ (xy 201.662105 79.28083)
+ (xy 201.694637 79.285999)
+ (xy 201.73601 79.295954)
+ (xy 201.7644 79.297084)
+ (xy 201.766537 79.297424)
+ (xy 201.791192 79.29889)
+ (xy 201.800598 79.298525)
+ (xy 201.810012 79.2989)
+ (xy 201.834669 79.29746)
+ (xy 201.836818 79.297121)
+ (xy 201.865197 79.29602)
+ (xy 201.90657 79.286109)
+ (xy 201.935939 79.281473)
+ (xy 201.978353 79.278157)
+ (xy 202.005693 79.270462)
+ (xy 202.00784 79.270123)
+ (xy 202.02516 79.265612)
+ (xy 201.582578 79.708195)
+ (xy 201.575573 79.712718)
+ (xy 201.54497 79.740564)
+ (xy 201.525454 79.76532)
+ (xy 201.524146 79.766628)
+ (xy 201.510727 79.782464)
+ (xy 201.498983 79.798898)
+ (xy 201.467616 79.838687)
+ (xy 201.457837 79.856476)
+ (xy 201.455789 79.859342)
+ (xy 201.451914 79.867251)
+ (xy 201.447682 79.87495)
+ (xy 201.446518 79.878266)
+ (xy 201.437584 79.896501)
+ (xy 201.423069 79.945037)
+ (xy 201.406284 79.992833)
+ (xy 201.399637 80.023709)
+ (xy 201.398971 80.031399)
+ (xy 201.398506 80.042151)
+ (xy 201.396598 80.057257)
+ (xy 201.398501 80.105695)
+ (xy 201.398501 82.464272)
+ (xy 200.616813 81.682585)
+ (xy 200.612284 81.675571)
+ (xy 200.584438 81.644969)
+ (xy 200.559677 81.625449)
+ (xy 200.558374 81.624146)
+ (xy 200.542535 81.610725)
+ (xy 200.526115 81.598992)
+ (xy 200.486316 81.567616)
+ (xy 200.468522 81.557834)
+ (xy 200.465662 81.55579)
+ (xy 200.457774 81.551926)
+ (xy 200.450051 81.54768)
+ (xy 200.446722 81.546511)
+ (xy 200.4285 81.537584)
+ (xy 200.379997 81.523079)
+ (xy 200.33217 81.506283)
+ (xy 200.301293 81.499636)
+ (xy 200.293603 81.49897)
+ (xy 200.282836 81.498505)
+ (xy 200.267745 81.496598)
+ (xy 200.219333 81.4985)
+ (xy 198.265095 81.4985)
+ (xy 198.256943 81.496745)
+ (xy 198.215615 81.494796)
+ (xy 198.184318 81.4985)
+ (xy 198.182461 81.4985)
+ (xy 198.161771 81.50021)
+ (xy 198.141841 81.503528)
+ (xy 198.091535 81.509481)
+ (xy 198.072037 81.515146)
+ (xy 198.068564 81.515724)
+ (xy 198.060246 81.518572)
+ (xy 198.051799 81.521026)
+ (xy 198.048623 81.522551)
+ (xy 198.029416 81.529127)
+ (xy 197.984838 81.55318)
+ (xy 197.939169 81.57511)
+ (xy 197.912627 81.59225)
+ (xy 197.906719 81.597217)
+ (xy 197.898792 81.604488)
+ (xy 197.886765 81.613817)
+ (xy 197.853875 81.649397)
+ (xy 197.359273 82.144)
+ (xy 196.970766 82.144)
+ (xy 196.943983 82.14688)
+ (xy 196.768087 82.185144)
+ (xy 196.72675 82.202266)
+ (xy 196.612115 82.275937)
+ (xy 196.58501 82.299423)
+ (xy 196.495775 82.402406)
+ (xy 196.476386 82.432576)
+ (xy 196.419779 82.556529)
+ (xy 196.409676 82.590939)
+ (xy 196.395283 82.691043)
+ (xy 196.394 82.708975)
+ (xy 196.394 83.109273)
+ (xy 195.282585 84.220689)
+ (xy 195.275571 84.225218)
+ (xy 195.244969 84.253065)
+ (xy 195.225454 84.27782)
+ (xy 195.224146 84.279128)
+ (xy 195.210727 84.294964)
+ (xy 195.19898 84.311402)
+ (xy 195.167615 84.351189)
+ (xy 195.15783 84.368986)
+ (xy 195.155786 84.371847)
+ (xy 195.15193 84.379719)
+ (xy 195.147683 84.387443)
+ (xy 195.146512 84.390779)
+ (xy 195.137584 84.409002)
+ (xy 195.123075 84.457518)
+ (xy 195.106283 84.505334)
+ (xy 195.099636 84.53621)
+ (xy 195.09897 84.5439)
+ (xy 195.098505 84.554666)
+ (xy 195.096598 84.569757)
+ (xy 195.0985 84.61817)
+ (xy 195.098501 89.76836)
+ (xy 191.20152 93.665341)
+ (xy 191.20152 78.84611)
+ (xy 197.070851 78.84611)
+ (xy 197.087161 78.897133)
+ (xy 197.412161 79.46005)
+ (xy 197.426548 79.480127)
+ (xy 197.49215 79.554932)
+ (xy 197.531153 79.584861)
+ (xy 197.620388 79.628867)
+ (xy 197.667877 79.641591)
+ (xy 197.76716 79.648098)
+ (xy 197.815901 79.641681)
+ (xy 197.910117 79.609699)
+ (xy 197.932616 79.599505)
+ (xy 199.015147 78.974505)
+ (xy 199.06414 78.923123)
+ (xy 199.077576 78.853409)
+ (xy 199.061266 78.802386)
+ (xy 198.608766 78.018633)
+ (xy 198.557384 77.96964)
+ (xy 198.48767 77.956204)
+ (xy 198.436647 77.972514)
+ (xy 197.13328 78.725014)
+ (xy 197.084287 78.776397)
+ (xy 197.070851 78.84611)
+ (xy 191.20152 78.84611)
+ (xy 191.20152 72.432709)
+ (xy 191.742421 71.891808)
+ (xy 191.749429 71.887283)
+ (xy 191.780032 71.859437)
+ (xy 191.799548 71.834681)
+ (xy 191.800855 71.833374)
+ (xy 191.814274 71.817538)
+ (xy 191.826024 71.801096)
+ (xy 191.857386 71.761313)
+ (xy 191.867171 71.743516)
+ (xy 191.869215 71.740655)
+ (xy 191.873071 71.732783)
+ (xy 191.877318 71.725059)
+ (xy 191.878489 71.721723)
+ (xy 191.887417 71.7035)
+ (xy 191.901926 71.654984)
+ (xy 191.918718 71.607168)
+ (xy 191.925365 71.576292)
+ (xy 191.926031 71.568602)
+ (xy 191.926496 71.557836)
+ (xy 191.928403 71.542745)
+ (xy 191.926501 71.494332)
+ (xy 191.926501 70.577596)
+ (xy 191.928256 70.569444)
+ (xy 191.930205 70.528115)
+ (xy 191.926501 70.49682)
+ (xy 191.926501 70.494962)
+ (xy 191.924791 70.474272)
+ (xy 191.921472 70.454332)
+ (xy 191.915519 70.404035)
+ (xy 191.909854 70.384535)
+ (xy 191.909275 70.381058)
+ (xy 191.906429 70.372747)
+ (xy 191.903977 70.364306)
+ (xy 191.90245 70.361127)
+ (xy 191.895873 70.341917)
+ (xy 191.871821 70.297341)
+ (xy 191.849891 70.251671)
+ (xy 191.832751 70.225128)
+ (xy 191.827784 70.21922)
+ (xy 191.820511 70.211291)
+ (xy 191.811182 70.199264)
+ (xy 191.778428 70.168988)
+ (xy 191.759871 70.033515)
+ (xy 191.750674 70.000574)
+ (xy 191.690792 69.862195)
+ (xy 191.673075 69.832942)
+ (xy 191.578186 69.715764)
+ (xy 191.553255 69.692352)
+ (xy 191.43035 69.605008)
+ (xy 191.400042 69.589163)
+ (xy 191.258176 69.538088)
+ (xy 191.224723 69.530977)
+ (xy 191.074348 69.519934)
+ (xy 191.040215 69.522082)
+ (xy 190.89241 69.551885)
+ (xy 190.860112 69.563132)
+ (xy 190.725766 69.631585)
+ (xy 190.697683 69.651104)
+ (xy 190.586694 69.753163)
+ (xy 190.564894 69.779514)
+ (xy 190.485439 69.907661)
+ (xy 190.471528 69.938905)
+ (xy 190.429462 70.083698)
+ (xy 190.424466 70.117532)
+ (xy 190.422887 70.268304)
+ (xy 190.427173 70.302234)
+ (xy 190.466198 70.447876)
+ (xy 190.479451 70.479404)
+ (xy 190.536179 70.575326)
+ (xy 190.425766 70.631585)
+ (xy 190.397683 70.651104)
+ (xy 190.3015 70.739548)
+ (xy 190.3015 69.075494)
+ (xy 191.463568 69.075494)
+ (xy 191.469985 69.124235)
+ (xy 191.501967 69.218451)
+ (xy 191.512161 69.24095)
+ (xy 191.837161 69.803866)
+ (xy 191.888544 69.852859)
+ (xy 191.958257 69.866295)
+ (xy 192.00928 69.849985)
+ (xy 193.312648 69.097485)
+ (xy 193.361641 69.046103)
+ (xy 193.375077 68.976389)
+ (xy 193.358767 68.925366)
+ (xy 192.906267 68.141614)
+ (xy 192.854884 68.092621)
+ (xy 192.785171 68.079185)
+ (xy 192.734148 68.095495)
+ (xy 191.651616 68.720495)
+ (xy 191.631539 68.734882)
+ (xy 191.556734 68.800484)
+ (xy 191.526805 68.839487)
+ (xy 191.482799 68.928722)
+ (xy 191.470075 68.976211)
+ (xy 191.463568 69.075494)
+ (xy 190.3015 69.075494)
+ (xy 190.3015 68.407727)
+ (xy 193.507728 65.2015)
+ (xy 194.128052 65.2015)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 151.872988 40.400933)
+ (xy 153.328557 40.464484)
+ (xy 154.782144 40.56358)
+ (xy 156.232881 40.69816)
+ (xy 157.679871 40.868144)
+ (xy 159.122311 41.073433)
+ (xy 160.559288 41.313901)
+ (xy 161.989969 41.589406)
+ (xy 163.413507 41.899787)
+ (xy 164.828977 42.244841)
+ (xy 166.235692 42.624403)
+ (xy 167.63261 43.038188)
+ (xy 169.019061 43.486001)
+ (xy 170.394146 43.967548)
+ (xy 171.757046 44.482545)
+ (xy 173.10697 45.030692)
+ (xy 174.443093 45.611656)
+ (xy 175.764637 46.225095)
+ (xy 177.070748 46.870616)
+ (xy 178.360779 47.547897)
+ (xy 179.633799 48.256451)
+ (xy 180.889181 48.995927)
+ (xy 182.126062 49.765818)
+ (xy 183.343847 50.565753)
+ (xy 184.541641 51.395145)
+ (xy 185.718898 52.253615)
+ (xy 186.874745 53.140528)
+ (xy 188.008628 54.055456)
+ (xy 189.119824 54.997819)
+ (xy 190.207623 55.967013)
+ (xy 191.271434 56.96251)
+ (xy 192.310648 57.983742)
+ (xy 193.32456 59.030018)
+ (xy 194.312607 60.100754)
+ (xy 195.274183 61.195293)
+ (xy 196.208769 62.313046)
+ (xy 197.115755 63.453283)
+ (xy 197.9946 64.615326)
+ (xy 198.173456 64.864229)
+ (xy 197.191811 63.882585)
+ (xy 197.187282 63.875571)
+ (xy 197.159436 63.844969)
+ (xy 197.134675 63.825449)
+ (xy 197.133372 63.824146)
+ (xy 197.117533 63.810725)
+ (xy 197.101113 63.798992)
+ (xy 197.061314 63.767616)
+ (xy 197.04352 63.757834)
+ (xy 197.04066 63.75579)
+ (xy 197.032772 63.751926)
+ (xy 197.025049 63.74768)
+ (xy 197.02172 63.746511)
+ (xy 197.003498 63.737584)
+ (xy 196.954995 63.723079)
+ (xy 196.907168 63.706283)
+ (xy 196.876291 63.699636)
+ (xy 196.868601 63.69897)
+ (xy 196.857834 63.698505)
+ (xy 196.842743 63.696598)
+ (xy 196.794331 63.6985)
+ (xy 193.116184 63.6985)
+ (xy 193.108032 63.696745)
+ (xy 193.066703 63.694796)
+ (xy 193.035408 63.6985)
+ (xy 193.03355 63.6985)
+ (xy 193.01286 63.70021)
+ (xy 192.99292 63.703529)
+ (xy 192.942623 63.709482)
+ (xy 192.923123 63.715147)
+ (xy 192.919646 63.715726)
+ (xy 192.911332 63.718573)
+ (xy 192.902894 63.721024)
+ (xy 192.899717 63.722549)
+ (xy 192.880506 63.729127)
+ (xy 192.835911 63.75319)
+ (xy 192.790257 63.775112)
+ (xy 192.763733 63.792236)
+ (xy 192.757824 63.797202)
+ (xy 192.749877 63.804491)
+ (xy 192.737854 63.813817)
+ (xy 192.704965 63.849396)
+ (xy 189.982585 66.571778)
+ (xy 189.975571 66.576307)
+ (xy 189.944969 66.604154)
+ (xy 189.925454 66.628909)
+ (xy 189.924146 66.630217)
+ (xy 189.910727 66.646053)
+ (xy 189.89898 66.662491)
+ (xy 189.867615 66.702278)
+ (xy 189.85783 66.720075)
+ (xy 189.855786 66.722936)
+ (xy 189.85193 66.730808)
+ (xy 189.847683 66.738532)
+ (xy 189.846512 66.741868)
+ (xy 189.837584 66.760091)
+ (xy 189.823075 66.808607)
+ (xy 189.806283 66.856423)
+ (xy 189.799636 66.887299)
+ (xy 189.79897 66.894989)
+ (xy 189.798505 66.905755)
+ (xy 189.796598 66.920846)
+ (xy 189.7985 66.969259)
+ (xy 189.798501 70.647401)
+ (xy 189.796745 70.655557)
+ (xy 189.794796 70.696886)
+ (xy 189.798501 70.728189)
+ (xy 189.798501 70.730039)
+ (xy 189.800211 70.750725)
+ (xy 189.803524 70.770629)
+ (xy 189.809482 70.820967)
+ (xy 189.815149 70.840471)
+ (xy 189.815725 70.843931)
+ (xy 189.818567 70.852232)
+ (xy 189.821029 70.860706)
+ (xy 189.822556 70.863886)
+ (xy 189.829128 70.883083)
+ (xy 189.853186 70.927669)
+ (xy 189.875112 70.973331)
+ (xy 189.892236 70.999855)
+ (xy 189.897202 71.005764)
+ (xy 189.904492 71.013713)
+ (xy 189.913818 71.025735)
+ (xy 189.949397 71.058624)
+ (xy 190.123262 71.232489)
+ (xy 190.122887 71.268303)
+ (xy 190.127173 71.302234)
+ (xy 190.166198 71.447876)
+ (xy 190.179451 71.479404)
+ (xy 190.256204 71.609187)
+ (xy 190.277448 71.63599)
+ (xy 190.386275 71.740351)
+ (xy 190.413944 71.760453)
+ (xy 190.546827 71.831704)
+ (xy 190.578882 71.843625)
+ (xy 190.726031 71.876517)
+ (xy 190.760111 71.879379)
+ (xy 190.910684 71.871488)
+ (xy 190.944279 71.86508)
+ (xy 191.087184 71.816987)
+ (xy 191.117818 71.80178)
+ (xy 191.242525 71.717029)
+ (xy 191.26794 71.694145)
+ (xy 191.365262 71.57898)
+ (xy 191.383588 71.550103)
+ (xy 191.446355 71.413008)
+ (xy 191.45624 71.380268)
+ (xy 191.479827 71.231345)
+ (xy 191.481371 71.212955)
+ (xy 191.481493 71.201321)
+ (xy 191.480334 71.1829)
+ (xy 191.459871 71.033515)
+ (xy 191.450674 71.000574)
+ (xy 191.390792 70.862195)
+ (xy 191.373075 70.832942)
+ (xy 191.365943 70.824135)
+ (xy 191.387184 70.816987)
+ (xy 191.417818 70.80178)
+ (xy 191.542525 70.717029)
+ (xy 191.56794 70.694145)
+ (xy 191.665262 70.57898)
+ (xy 191.683588 70.550103)
+ (xy 191.746355 70.413008)
+ (xy 191.75624 70.380268)
+ (xy 191.779827 70.231345)
+ (xy 191.781371 70.212955)
+ (xy 191.781493 70.201321)
+ (xy 191.780334 70.1829)
+ (xy 191.759871 70.033515)
+ (xy 191.750674 70.000574)
+ (xy 191.690792 69.862195)
+ (xy 191.673075 69.832942)
+ (xy 191.578186 69.715764)
+ (xy 191.553255 69.692352)
+ (xy 191.43035 69.605008)
+ (xy 191.400042 69.589163)
+ (xy 191.3015 69.553686)
+ (xy 191.3015 69.075494)
+ (xy 191.463568 69.075494)
+ (xy 191.469985 69.124235)
+ (xy 191.501967 69.218451)
+ (xy 191.512161 69.24095)
+ (xy 191.837161 69.803866)
+ (xy 191.888544 69.852859)
+ (xy 191.958257 69.866295)
+ (xy 192.00928 69.849985)
+ (xy 193.312648 69.097485)
+ (xy 193.361641 69.046103)
+ (xy 193.375077 68.976389)
+ (xy 193.358767 68.925366)
+ (xy 192.906267 68.141614)
+ (xy 192.854884 68.092621)
+ (xy 192.785171 68.079185)
+ (xy 192.734148 68.095495)
+ (xy 191.651616 68.720495)
+ (xy 191.631539 68.734882)
+ (xy 191.556734 68.800484)
+ (xy 191.526805 68.839487)
+ (xy 191.482799 68.928722)
+ (xy 191.470075 68.976211)
+ (xy 191.463568 69.075494)
+ (xy 191.3015 69.075494)
+ (xy 191.3015 67.307727)
+ (xy 193.407727 65.2015)
+ (xy 194.128052 65.2015)
+ (xy 194.098657 65.213659)
+ (xy 194.075034 65.229426)
+ (xy 194.073102 65.230409)
+ (xy 194.052293 65.243715)
+ (xy 194.044892 65.249543)
+ (xy 194.037055 65.254774)
+ (xy 194.017955 65.270434)
+ (xy 194.016434 65.271953)
+ (xy 193.994106 65.289537)
+ (xy 193.96645 65.321886)
+ (xy 193.945439 65.342875)
+ (xy 193.913059 65.370502)
+ (xy 193.895452 65.392812)
+ (xy 193.893929 65.394334)
+ (xy 193.878248 65.413421)
+ (xy 193.873015 65.421244)
+ (xy 193.867179 65.428639)
+ (xy 193.85385 65.449437)
+ (xy 193.852865 65.451368)
+ (xy 193.837071 65.474979)
+ (xy 193.820768 65.514281)
+ (xy 193.807266 65.540746)
+ (xy 193.785007 65.577029)
+ (xy 193.775161 65.603676)
+ (xy 193.774183 65.605593)
+ (xy 193.765165 65.628596)
+ (xy 193.762607 65.637649)
+ (xy 193.76206 65.639129)
+ (xy 193.622134 65.720089)
+ (xy 193.620381 65.719691)
+ (xy 193.6009 65.719171)
+ (xy 193.568362 65.714001)
+ (xy 193.52699 65.704046)
+ (xy 193.498605 65.702916)
+ (xy 193.49646 65.702575)
+ (xy 193.471807 65.70111)
+ (xy 193.462401 65.701475)
+ (xy 193.452987 65.7011)
+ (xy 193.42833 65.70254)
+ (xy 193.426181 65.702879)
+ (xy 193.397803 65.70398)
+ (xy 193.35643 65.713891)
+ (xy 193.327063 65.718527)
+ (xy 193.284646 65.721843)
+ (xy 193.257306 65.729538)
+ (xy 193.255159 65.729877)
+ (xy 193.231254 65.736103)
+ (xy 193.222422 65.739357)
+ (xy 193.213356 65.741908)
+ (xy 193.190345 65.7509)
+ (xy 193.188411 65.751884)
+ (xy 193.16176 65.761701)
+ (xy 193.125466 65.783916)
+ (xy 193.098987 65.79739)
+ (xy 193.059657 65.813659)
+ (xy 193.036034 65.829426)
+ (xy 193.034102 65.830409)
+ (xy 193.013293 65.843715)
+ (xy 193.005892 65.849543)
+ (xy 192.998055 65.854774)
+ (xy 192.978955 65.870434)
+ (xy 192.977434 65.871953)
+ (xy 192.955106 65.889537)
+ (xy 192.92745 65.921886)
+ (xy 192.906439 65.942875)
+ (xy 192.874059 65.970502)
+ (xy 192.856452 65.992812)
+ (xy 192.854929 65.994334)
+ (xy 192.839248 66.013421)
+ (xy 192.834015 66.021244)
+ (xy 192.828179 66.028639)
+ (xy 192.81485 66.049437)
+ (xy 192.813865 66.051368)
+ (xy 192.798071 66.074979)
+ (xy 192.781768 66.114281)
+ (xy 192.768266 66.140746)
+ (xy 192.746007 66.177029)
+ (xy 192.736161 66.203676)
+ (xy 192.735183 66.205593)
+ (xy 192.726165 66.228596)
+ (xy 192.723607 66.237649)
+ (xy 192.720337 66.246498)
+ (xy 192.714089 66.270391)
+ (xy 192.713748 66.272539)
+ (xy 192.706025 66.299869)
+ (xy 192.702665 66.342283)
+ (xy 192.698001 66.371638)
+ (xy 192.688046 66.41301)
+ (xy 192.686916 66.441395)
+ (xy 192.686575 66.44354)
+ (xy 192.68511 66.468193)
+ (xy 192.685475 66.477598)
+ (xy 192.6851 66.487012)
+ (xy 192.68654 66.511669)
+ (xy 192.686879 66.513818)
+ (xy 192.68798 66.542197)
+ (xy 192.697891 66.58357)
+ (xy 192.702527 66.612939)
+ (xy 192.705843 66.655353)
+ (xy 192.713538 66.682693)
+ (xy 192.713877 66.68484)
+ (xy 192.720102 66.708742)
+ (xy 192.723357 66.717578)
+ (xy 192.725909 66.726646)
+ (xy 192.734897 66.749649)
+ (xy 192.735882 66.751586)
+ (xy 192.745701 66.778241)
+ (xy 192.767912 66.814526)
+ (xy 192.781391 66.841013)
+ (xy 192.79766 66.880346)
+ (xy 192.813429 66.903971)
+ (xy 192.814407 66.905893)
+ (xy 192.827717 66.92671)
+ (xy 192.833546 66.934112)
+ (xy 192.838773 66.941943)
+ (xy 192.854434 66.961045)
+ (xy 192.855953 66.962566)
+ (xy 192.873537 66.984894)
+ (xy 192.905886 67.01255)
+ (xy 192.926886 67.033571)
+ (xy 192.954503 67.065942)
+ (xy 192.976808 67.083545)
+ (xy 192.978333 67.085071)
+ (xy 192.997422 67.100754)
+ (xy 193.005247 67.105988)
+ (xy 193.012638 67.111821)
+ (xy 193.033442 67.125153)
+ (xy 193.035367 67.126135)
+ (xy 193.058978 67.141929)
+ (xy 193.098273 67.158229)
+ (xy 193.124755 67.17174)
+ (xy 193.161028 67.193993)
+ (xy 193.187668 67.203836)
+ (xy 193.189598 67.204821)
+ (xy 193.212596 67.213836)
+ (xy 193.221674 67.216401)
+ (xy 193.222882 67.216848)
+ (xy 193.481147 67.664215)
+ (xy 193.167161 67.845495)
+ (xy 193.118168 67.896878)
+ (xy 193.104732 67.966591)
+ (xy 193.121042 68.017614)
+ (xy 193.760541 69.12526)
+ (xy 193.436648 69.31226)
+ (xy 192.13328 70.06476)
+ (xy 192.084287 70.116143)
+ (xy 192.070851 70.185856)
+ (xy 192.087161 70.236879)
+ (xy 192.412161 70.799796)
+ (xy 192.426548 70.819873)
+ (xy 192.49215 70.894678)
+ (xy 192.531153 70.924607)
+ (xy 192.620388 70.968613)
+ (xy 192.667877 70.981337)
+ (xy 192.76716 70.987844)
+ (xy 192.792149 70.984554)
+ (xy 192.776805 71.00455)
+ (xy 192.732799 71.093785)
+ (xy 192.720075 71.141274)
+ (xy 192.713568 71.240557)
+ (xy 192.719985 71.289298)
+ (xy 192.751967 71.383514)
+ (xy 192.762161 71.406013)
+ (xy 193.087161 71.968929)
+ (xy 193.138544 72.017922)
+ (xy 193.208257 72.031358)
+ (xy 193.25928 72.015048)
+ (xy 194.886541 71.075549)
+ (xy 195.010541 71.290323)
+ (xy 193.38328 72.229823)
+ (xy 193.334287 72.281205)
+ (xy 193.320851 72.350919)
+ (xy 193.337161 72.401942)
+ (xy 193.662161 72.964859)
+ (xy 193.676548 72.984936)
+ (xy 193.74215 73.059741)
+ (xy 193.781153 73.08967)
+ (xy 193.870388 73.133676)
+ (xy 193.917877 73.1464)
+ (xy 194.01716 73.152907)
+ (xy 194.04215 73.149617)
+ (xy 194.026805 73.169614)
+ (xy 193.982799 73.258849)
+ (xy 193.970075 73.306338)
+ (xy 193.963568 73.405621)
+ (xy 193.969985 73.454362)
+ (xy 194.001967 73.548578)
+ (xy 194.012161 73.571077)
+ (xy 194.157898 73.8235)
+ (xy 193.772334 73.8235)
+ (xy 193.762379 73.816734)
+ (xy 193.710652 73.777187)
+ (xy 193.687372 73.763089)
+ (xy 193.674848 73.757249)
+ (xy 193.663409 73.749475)
+ (xy 193.639378 73.736697)
+ (xy 193.5789 73.712507)
+ (xy 193.519899 73.684995)
+ (xy 193.494135 73.676224)
+ (xy 193.480647 73.673209)
+ (xy 193.467806 73.668073)
+ (xy 193.441592 73.660754)
+ (xy 193.377347 73.650118)
+ (xy 193.3138 73.635914)
+ (xy 193.293348 73.633076)
+ (xy 193.28741 73.632744)
+ (xy 193.280376 73.632548)
+ (xy 193.27121 73.632548)
+ (xy 193.259286 73.630574)
+ (xy 193.232113 73.629055)
+ (xy 193.165463 73.632548)
+ (xy 188.07121 73.632548)
+ (xy 188.059286 73.630574)
+ (xy 188.032113 73.629055)
+ (xy 187.965463 73.632548)
+ (xy 187.944927 73.632548)
+ (xy 187.931318 73.633285)
+ (xy 187.9126 73.635319)
+ (xy 187.84758 73.638726)
+ (xy 187.820713 73.643077)
+ (xy 187.807382 73.646749)
+ (xy 187.793639 73.648242)
+ (xy 187.767058 73.654086)
+ (xy 187.705349 73.674853)
+ (xy 187.64256 73.692148)
+ (xy 187.617256 73.702167)
+ (xy 187.605025 73.708616)
+ (xy 187.591923 73.713025)
+ (xy 187.567217 73.724441)
+ (xy 187.511412 73.757972)
+ (xy 187.453797 73.788349)
+ (xy 187.431234 73.803568)
+ (xy 187.420671 73.812494)
+ (xy 187.408822 73.819614)
+ (xy 187.387144 73.836069)
+ (xy 187.339839 73.880803)
+ (xy 187.290095 73.92284)
+ (xy 187.271325 73.942551)
+ (xy 187.262928 73.953534)
+ (xy 187.252885 73.963031)
+ (xy 187.235245 73.983758)
+ (xy 187.198644 74.037613)
+ (xy 187.159091 74.089348)
+ (xy 187.144993 74.112628)
+ (xy 187.139153 74.125152)
+ (xy 187.131379 74.136591)
+ (xy 187.118601 74.160622)
+ (xy 187.094411 74.2211)
+ (xy 187.066899 74.280101)
+ (xy 187.058128 74.305865)
+ (xy 187.055113 74.319353)
+ (xy 187.049977 74.332194)
+ (xy 187.042658 74.358408)
+ (xy 187.032022 74.422653)
+ (xy 187.017818 74.4862)
+ (xy 187.014785 74.513244)
+ (xy 187.014737 74.527072)
+ (xy 187.012478 74.540716)
+ (xy 187.010959 74.567888)
+ (xy 187.014368 74.632922)
+ (xy 187.01414 74.698031)
+ (xy 187.016985 74.7251)
+ (xy 187.019907 74.738612)
+ (xy 187.02063 74.752419)
+ (xy 187.024981 74.779286)
+ (xy 187.042277 74.842079)
+ (xy 187.056035 74.905713)
+ (xy 187.064624 74.931536)
+ (xy 187.070379 74.944108)
+ (xy 187.074052 74.95744)
+ (xy 187.084071 74.982744)
+ (xy 187.114444 75.040352)
+ (xy 187.141548 75.099552)
+ (xy 187.155484 75.12293)
+ (xy 187.163803 75.13397)
+ (xy 187.170253 75.146203)
+ (xy 187.185472 75.168766)
+ (xy 187.227504 75.218505)
+ (xy 187.266691 75.270507)
+ (xy 187.285321 75.290346)
+ (xy 187.295819 75.299344)
+ (xy 187.304743 75.309904)
+ (xy 187.324453 75.328673)
+ (xy 187.376175 75.368217)
+ (xy 187.425625 75.410601)
+ (xy 187.448082 75.425977)
+ (xy 187.460264 75.432509)
+ (xy 187.471251 75.440909)
+ (xy 187.494531 75.455007)
+ (xy 187.553534 75.48252)
+ (xy 187.610934 75.513298)
+ (xy 187.63617 75.523494)
+ (xy 187.649477 75.527259)
+ (xy 187.662004 75.533101)
+ (xy 187.687769 75.541872)
+ (xy 187.751311 75.556075)
+ (xy 187.813973 75.573807)
+ (xy 187.840806 75.578346)
+ (xy 187.854615 75.579167)
+ (xy 187.868106 75.582182)
+ (xy 187.888556 75.58502)
+ (xy 187.894494 75.585352)
+ (xy 187.901528 75.585548)
+ (xy 187.962032 75.585548)
+ (xy 188.02527 75.589305)
+ (xy 188.052454 75.587976)
+ (xy 188.067784 75.585548)
+ (xy 193.162032 75.585548)
+ (xy 193.22527 75.589305)
+ (xy 193.252453 75.587976)
+ (xy 193.320149 75.577254)
+ (xy 193.388267 75.569854)
+ (xy 193.414845 75.56401)
+ (xy 193.424696 75.560695)
+ (xy 193.434967 75.559068)
+ (xy 193.46123 75.551933)
+ (xy 193.525035 75.526928)
+ (xy 193.589982 75.505071)
+ (xy 193.61469 75.493654)
+ (xy 193.623598 75.488301)
+ (xy 193.633275 75.484509)
+ (xy 193.657395 75.471899)
+ (xy 193.714338 75.433779)
+ (xy 193.773082 75.398482)
+ (xy 193.794758 75.38203)
+ (xy 193.802315 75.374884)
+ (xy 193.810953 75.369101)
+ (xy 193.831799 75.351609)
+ (xy 193.879224 75.302154)
+ (xy 193.929021 75.255064)
+ (xy 193.94666 75.234337)
+ (xy 193.952502 75.225741)
+ (xy 193.959697 75.218238)
+ (xy 193.976304 75.196674)
+ (xy 193.988618 75.1765)
+ (xy 194.949788 75.1765)
+ (xy 194.99215 75.224805)
+ (xy 195.031153 75.254734)
+ (xy 195.120388 75.29874)
+ (xy 195.167877 75.311464)
+ (xy 195.26716 75.317971)
+ (xy 195.29215 75.314681)
+ (xy 195.276805 75.334678)
+ (xy 195.232799 75.423913)
+ (xy 195.220075 75.471402)
+ (xy 195.213568 75.570685)
+ (xy 195.219985 75.619426)
+ (xy 195.251967 75.713642)
+ (xy 195.262161 75.736141)
+ (xy 195.587161 76.299057)
+ (xy 195.638544 76.34805)
+ (xy 195.708257 76.361486)
+ (xy 195.75928 76.345176)
+ (xy 197.386541 75.405677)
+ (xy 197.510541 75.620451)
+ (xy 195.88328 76.559951)
+ (xy 195.834287 76.611333)
+ (xy 195.820851 76.681047)
+ (xy 195.837161 76.73207)
+ (xy 196.162161 77.294987)
+ (xy 196.176548 77.315064)
+ (xy 196.24215 77.389869)
+ (xy 196.281153 77.419798)
+ (xy 196.370388 77.463804)
+ (xy 196.417877 77.476528)
+ (xy 196.51716 77.483035)
+ (xy 196.542149 77.479745)
+ (xy 196.526805 77.499741)
+ (xy 196.482799 77.588976)
+ (xy 196.470075 77.636465)
+ (xy 196.463568 77.735748)
+ (xy 196.469985 77.784489)
+ (xy 196.501967 77.878705)
+ (xy 196.512161 77.901204)
+ (xy 196.837161 78.46412)
+ (xy 196.888544 78.513113)
+ (xy 196.958257 78.526549)
+ (xy 197.00928 78.510239)
+ (xy 198.636541 77.57074)
+ (xy 198.815653 77.88097)
+ (xy 199.276041 78.678386)
+ (xy 199.327423 78.727379)
+ (xy 199.397137 78.740815)
+ (xy 199.44816 78.724505)
+ (xy 199.761734 78.543463)
+ (xy 200.003235 78.96179)
+ (xy 200.002669 78.9643)
+ (xy 200.002173 78.983795)
+ (xy 199.997004 79.016587)
+ (xy 199.987099 79.057979)
+ (xy 199.986004 79.086367)
+ (xy 199.985667 79.088508)
+ (xy 199.984232 79.113164)
+ (xy 199.984609 79.122575)
+ (xy 199.984246 79.131983)
+ (xy 199.985718 79.156646)
+ (xy 199.986058 79.158785)
+ (xy 199.987194 79.187162)
+ (xy 199.99716 79.228538)
+ (xy 200.001871 79.258142)
+ (xy 200.005242 79.300567)
+ (xy 200.012971 79.327895)
+ (xy 200.013312 79.330039)
+ (xy 200.019567 79.353937)
+ (xy 200.022836 79.362778)
+ (xy 200.025397 79.371833)
+ (xy 200.034417 79.39483)
+ (xy 200.035399 79.396754)
+ (xy 200.045253 79.423403)
+ (xy 200.067516 79.459672)
+ (xy 200.081141 79.486365)
+ (xy 200.097461 79.525681)
+ (xy 200.113262 79.549291)
+ (xy 200.114242 79.551211)
+ (xy 200.127573 79.572004)
+ (xy 200.133415 79.579404)
+ (xy 200.138655 79.587233)
+ (xy 200.154336 79.606312)
+ (xy 200.155864 79.607838)
+ (xy 200.173469 79.630136)
+ (xy 200.205862 79.657761)
+ (xy 200.227056 79.678925)
+ (xy 200.254731 79.711279)
+ (xy 200.277054 79.72885)
+ (xy 200.278582 79.730376)
+ (xy 200.297687 79.746032)
+ (xy 200.305529 79.751264)
+ (xy 200.312926 79.757086)
+ (xy 200.333734 79.770385)
+ (xy 200.335662 79.771366)
+ (xy 200.359299 79.787134)
+ (xy 200.398633 79.803393)
+ (xy 200.42536 79.816986)
+ (xy 200.461655 79.839191)
+ (xy 200.48831 79.849002)
+ (xy 200.490242 79.849985)
+ (xy 200.513246 79.85897)
+ (xy 200.522333 79.861526)
+ (xy 200.531161 79.864775)
+ (xy 200.555062 79.870993)
+ (xy 200.557189 79.871328)
+ (xy 200.584546 79.879022)
+ (xy 200.62698 79.882329)
+ (xy 200.656587 79.886996)
+ (xy 200.697979 79.896901)
+ (xy 200.726365 79.897996)
+ (xy 200.728506 79.898333)
+ (xy 200.753162 79.899768)
+ (xy 200.762574 79.899391)
+ (xy 200.771983 79.899754)
+ (xy 200.796646 79.898282)
+ (xy 200.798785 79.897942)
+ (xy 200.827161 79.896806)
+ (xy 200.868536 79.88684)
+ (xy 200.898142 79.882129)
+ (xy 200.940567 79.878758)
+ (xy 200.967895 79.871029)
+ (xy 200.970039 79.870688)
+ (xy 200.993937 79.864433)
+ (xy 201.002778 79.861164)
+ (xy 201.011833 79.858603)
+ (xy 201.03483 79.849583)
+ (xy 201.036754 79.848601)
+ (xy 201.063403 79.838747)
+ (xy 201.099672 79.816484)
+ (xy 201.126365 79.802859)
+ (xy 201.165681 79.786539)
+ (xy 201.189291 79.770738)
+ (xy 201.191211 79.769758)
+ (xy 201.212004 79.756427)
+ (xy 201.219404 79.750585)
+ (xy 201.227233 79.745345)
+ (xy 201.246312 79.729664)
+ (xy 201.247838 79.728136)
+ (xy 201.270136 79.710531)
+ (xy 201.297761 79.678138)
+ (xy 201.318925 79.656944)
+ (xy 201.351279 79.629269)
+ (xy 201.36885 79.606946)
+ (xy 201.370376 79.605418)
+ (xy 201.386032 79.586313)
+ (xy 201.391264 79.578471)
+ (xy 201.397086 79.571074)
+ (xy 201.410385 79.550266)
+ (xy 201.411366 79.548338)
+ (xy 201.427134 79.524701)
+ (xy 201.443393 79.485367)
+ (xy 201.456986 79.45864)
+ (xy 201.479191 79.422345)
+ (xy 201.489002 79.39569)
+ (xy 201.489985 79.393758)
+ (xy 201.49897 79.370754)
+ (xy 201.501526 79.361667)
+ (xy 201.502056 79.360225)
+ (xy 201.640866 79.279912)
+ (xy 201.642616 79.280309)
+ (xy 201.662105 79.28083)
+ (xy 201.694637 79.285999)
+ (xy 201.73601 79.295954)
+ (xy 201.7644 79.297084)
+ (xy 201.766537 79.297424)
+ (xy 201.791192 79.29889)
+ (xy 201.800598 79.298525)
+ (xy 201.810012 79.2989)
+ (xy 201.834669 79.29746)
+ (xy 201.836818 79.297121)
+ (xy 201.865197 79.29602)
+ (xy 201.90657 79.286109)
+ (xy 201.935939 79.281473)
+ (xy 201.978353 79.278157)
+ (xy 202.005693 79.270462)
+ (xy 202.00784 79.270123)
+ (xy 202.031742 79.263898)
+ (xy 202.040578 79.260643)
+ (xy 202.049646 79.258091)
+ (xy 202.072649 79.249103)
+ (xy 202.074586 79.248118)
+ (xy 202.101241 79.238299)
+ (xy 202.137526 79.216088)
+ (xy 202.164013 79.202609)
+ (xy 202.203346 79.18634)
+ (xy 202.226971 79.170571)
+ (xy 202.228893 79.169593)
+ (xy 202.24971 79.156283)
+ (xy 202.257112 79.150454)
+ (xy 202.264943 79.145227)
+ (xy 202.284045 79.129566)
+ (xy 202.285566 79.128047)
+ (xy 202.307894 79.110463)
+ (xy 202.33555 79.078114)
+ (xy 202.356571 79.057114)
+ (xy 202.388942 79.029497)
+ (xy 202.406545 79.007192)
+ (xy 202.408071 79.005667)
+ (xy 202.423754 78.986578)
+ (xy 202.428988 78.978753)
+ (xy 202.434821 78.971362)
+ (xy 202.448153 78.950558)
+ (xy 202.449135 78.948633)
+ (xy 202.464929 78.925022)
+ (xy 202.481229 78.885727)
+ (xy 202.49474 78.859245)
+ (xy 202.516993 78.822972)
+ (xy 202.526836 78.796332)
+ (xy 202.527821 78.794402)
+ (xy 202.536836 78.771404)
+ (xy 202.539401 78.762326)
+ (xy 202.542662 78.753501)
+ (xy 202.54891 78.729608)
+ (xy 202.549248 78.727482)
+ (xy 202.556976 78.700133)
+ (xy 202.560338 78.657689)
+ (xy 202.564997 78.628374)
+ (xy 202.574954 78.586991)
+ (xy 202.576084 78.558605)
+ (xy 202.576425 78.556461)
+ (xy 202.57789 78.531808)
+ (xy 202.577525 78.522402)
+ (xy 202.5779 78.512987)
+ (xy 202.57646 78.48833)
+ (xy 202.576121 78.486181)
+ (xy 202.57502 78.457803)
+ (xy 202.565109 78.41643)
+ (xy 202.560473 78.387063)
+ (xy 202.557157 78.344646)
+ (xy 202.549462 78.317306)
+ (xy 202.549123 78.315159)
+ (xy 202.542897 78.291254)
+ (xy 202.539643 78.282422)
+ (xy 202.537092 78.273356)
+ (xy 202.5281 78.250345)
+ (xy 202.527116 78.248411)
+ (xy 202.517299 78.22176)
+ (xy 202.495084 78.185466)
+ (xy 202.48161 78.158987)
+ (xy 202.465341 78.119657)
+ (xy 202.449574 78.096034)
+ (xy 202.448591 78.094102)
+ (xy 202.435285 78.073293)
+ (xy 202.429457 78.065892)
+ (xy 202.424226 78.058055)
+ (xy 202.408566 78.038955)
+ (xy 202.407047 78.037434)
+ (xy 202.389463 78.015106)
+ (xy 202.357114 77.98745)
+ (xy 202.336125 77.966439)
+ (xy 202.308498 77.934059)
+ (xy 202.286188 77.916452)
+ (xy 202.284666 77.914929)
+ (xy 202.265579 77.899248)
+ (xy 202.257756 77.894015)
+ (xy 202.250361 77.888179)
+ (xy 202.229563 77.87485)
+ (xy 202.227632 77.873865)
+ (xy 202.204021 77.858071)
+ (xy 202.164719 77.841768)
+ (xy 202.138254 77.828266)
+ (xy 202.101971 77.806007)
+ (xy 202.075324 77.796161)
+ (xy 202.073407 77.795183)
+ (xy 202.050404 77.786165)
+ (xy 202.041351 77.783607)
+ (xy 202.040102 77.783145)
+ (xy 201.799727 77.366827)
+ (xy 202.19697 77.137479)
+ (xy 202.9985 77.939009)
+ (xy 202.998501 80.592272)
+ (xy 200.770604 82.82017)
+ (xy 200.740215 82.822082)
+ (xy 200.59241 82.851885)
+ (xy 200.560112 82.863132)
+ (xy 200.425766 82.931585)
+ (xy 200.397683 82.951104)
+ (xy 200.286694 83.053163)
+ (xy 200.264894 83.079514)
+ (xy 200.185439 83.207661)
+ (xy 200.171528 83.238905)
+ (xy 200.129462 83.383698)
+ (xy 200.124466 83.417532)
+ (xy 200.122887 83.568304)
+ (xy 200.127173 83.602234)
+ (xy 200.166198 83.747876)
+ (xy 200.179451 83.779404)
+ (xy 200.256204 83.909187)
+ (xy 200.277448 83.93599)
+ (xy 200.386275 84.040351)
+ (xy 200.413944 84.060453)
+ (xy 200.489701 84.101073)
+ (xy 200.470604 84.12017)
+ (xy 200.440215 84.122082)
+ (xy 200.29241 84.151885)
+ (xy 200.260112 84.163132)
+ (xy 200.125766 84.231585)
+ (xy 200.097683 84.251104)
+ (xy 199.986694 84.353163)
+ (xy 199.964894 84.379514)
+ (xy 199.885439 84.507661)
+ (xy 199.871528 84.538905)
+ (xy 199.829462 84.683698)
+ (xy 199.824466 84.717532)
+ (xy 199.822887 84.868304)
+ (xy 199.827173 84.902234)
+ (xy 199.866198 85.047876)
+ (xy 199.879451 85.079404)
+ (xy 199.956204 85.209187)
+ (xy 199.977448 85.23599)
+ (xy 200.086275 85.340351)
+ (xy 200.113944 85.360453)
+ (xy 200.246827 85.431704)
+ (xy 200.278882 85.443625)
+ (xy 200.426031 85.476517)
+ (xy 200.460111 85.479379)
+ (xy 200.610684 85.471488)
+ (xy 200.644279 85.46508)
+ (xy 200.787184 85.416987)
+ (xy 200.817818 85.40178)
+ (xy 200.942525 85.317029)
+ (xy 200.96794 85.294145)
+ (xy 201.065262 85.17898)
+ (xy 201.083588 85.150103)
+ (xy 201.146355 85.013008)
+ (xy 201.15624 84.980268)
+ (xy 201.179827 84.831345)
+ (xy 201.180005 84.829222)
+ (xy 204.317424 81.691804)
+ (xy 204.324429 81.687281)
+ (xy 204.355031 81.659436)
+ (xy 204.374544 81.634684)
+ (xy 204.375854 81.633374)
+ (xy 204.389274 81.617537)
+ (xy 204.401025 81.601093)
+ (xy 204.432385 81.561313)
+ (xy 204.442172 81.543513)
+ (xy 204.444219 81.540647)
+ (xy 204.448076 81.532773)
+ (xy 204.452315 81.525063)
+ (xy 204.453486 81.521729)
+ (xy 204.462416 81.503499)
+ (xy 204.476925 81.454983)
+ (xy 204.493717 81.407167)
+ (xy 204.500364 81.376291)
+ (xy 204.50103 81.368601)
+ (xy 204.501495 81.357835)
+ (xy 204.503402 81.342744)
+ (xy 204.5015 81.294331)
+ (xy 204.5015 75.813963)
+ (xy 204.557664 75.937204)
+ (xy 205.129277 77.277329)
+ (xy 205.667995 78.631068)
+ (xy 206.173459 79.997516)
+ (xy 206.645408 81.375966)
+ (xy 207.083514 82.765461)
+ (xy 207.487552 84.165282)
+ (xy 207.857267 85.574552)
+ (xy 208.192447 86.992467)
+ (xy 208.492875 88.418098)
+ (xy 208.758386 89.850667)
+ (xy 208.988815 91.289282)
+ (xy 209.184029 92.733119)
+ (xy 209.343906 94.181257)
+ (xy 209.468358 95.632938)
+ (xy 209.557303 97.087177)
+ (xy 209.61069 98.543114)
+ (xy 209.628498 100.000675)
+ (xy 209.628137 100.207529)
+ (xy 209.605243 101.664926)
+ (xy 209.546773 103.12071)
+ (xy 209.452752 104.57463)
+ (xy 209.323235 106.025829)
+ (xy 209.158301 107.473439)
+ (xy 208.958053 108.916553)
+ (xy 208.722597 110.354394)
+ (xy 208.452095 111.785988)
+ (xy 208.146684 113.210601)
+ (xy 207.806556 114.627335)
+ (xy 207.431929 116.035288)
+ (xy 207.023011 117.433681)
+ (xy 206.580053 118.82165)
+ (xy 206.1033 120.198432)
+ (xy 205.59305 121.563156)
+ (xy 205.049638 122.914935)
+ (xy 204.473337 124.253093)
+ (xy 203.864513 125.576771)
+ (xy 203.223547 126.885144)
+ (xy 202.550815 128.17745)
+ (xy 201.846666 129.453015)
+ (xy 201.111575 130.710971)
+ (xy 200.346018 131.950513)
+ (xy 199.550338 133.171084)
+ (xy 198.725114 134.371793)
+ (xy 197.870797 135.551986)
+ (xy 196.987896 136.71096)
+ (xy 196.076939 137.848018)
+ (xy 195.138457 138.962502)
+ (xy 194.173066 140.053678)
+ (xy 193.181268 141.12098)
+ (xy 192.16371 142.16371)
+ (xy 191.12098 143.181268)
+ (xy 190.053678 144.173066)
+ (xy 188.962502 145.138457)
+ (xy 187.848018 146.076939)
+ (xy 186.71096 146.987896)
+ (xy 185.551986 147.870797)
+ (xy 184.371793 148.725114)
+ (xy 183.171084 149.550338)
+ (xy 181.950513 150.346018)
+ (xy 180.710971 151.111575)
+ (xy 179.453015 151.846666)
+ (xy 178.17745 152.550815)
+ (xy 176.885144 153.223547)
+ (xy 175.576771 153.864513)
+ (xy 174.253093 154.473337)
+ (xy 172.914935 155.049638)
+ (xy 171.563156 155.59305)
+ (xy 170.198432 156.1033)
+ (xy 168.82165 156.580053)
+ (xy 167.433681 157.023011)
+ (xy 166.035288 157.431929)
+ (xy 164.627335 157.806556)
+ (xy 163.210601 158.146684)
+ (xy 161.785988 158.452095)
+ (xy 160.354394 158.722597)
+ (xy 158.916553 158.958053)
+ (xy 157.574342 159.1443)
+ (xy 160.742444 155.976198)
+ (xy 160.749452 155.971673)
+ (xy 160.780055 155.943827)
+ (xy 160.799571 155.919071)
+ (xy 160.800878 155.917764)
+ (xy 160.814298 155.901926)
+ (xy 160.826033 155.885504)
+ (xy 160.857409 155.845704)
+ (xy 160.867195 155.827903)
+ (xy 160.869238 155.825044)
+ (xy 160.873098 155.817166)
+ (xy 160.877342 155.809445)
+ (xy 160.878511 155.806116)
+ (xy 160.88744 155.78789)
+ (xy 160.90195 155.739371)
+ (xy 160.918741 155.691558)
+ (xy 160.925388 155.660682)
+ (xy 160.926054 155.652992)
+ (xy 160.926519 155.642226)
+ (xy 160.928426 155.627135)
+ (xy 160.926524 155.578722)
+ (xy 160.926524 151.568386)
+ (xy 160.928279 151.560234)
+ (xy 160.930228 151.518905)
+ (xy 160.926524 151.48761)
+ (xy 160.926524 151.485752)
+ (xy 160.924814 151.465062)
+ (xy 160.921495 151.445122)
+ (xy 160.915542 151.394825)
+ (xy 160.909877 151.375325)
+ (xy 160.909298 151.371848)
+ (xy 160.906451 151.363534)
+ (xy 160.904 151.355096)
+ (xy 160.902475 151.351919)
+ (xy 160.895897 151.332708)
+ (xy 160.871834 151.288113)
+ (xy 160.849912 151.242459)
+ (xy 160.832788 151.215935)
+ (xy 160.827822 151.210026)
+ (xy 160.820536 151.202082)
+ (xy 160.811207 151.190055)
+ (xy 160.77562 151.157159)
+ (xy 157.951011 148.332552)
+ (xy 157.946482 148.325538)
+ (xy 157.918636 148.294936)
+ (xy 157.893875 148.275416)
+ (xy 157.892572 148.274113)
+ (xy 157.876733 148.260692)
+ (xy 157.860313 148.248959)
+ (xy 157.820514 148.217583)
+ (xy 157.80272 148.207801)
+ (xy 157.79986 148.205757)
+ (xy 157.791972 148.201893)
+ (xy 157.784249 148.197647)
+ (xy 157.78092 148.196478)
+ (xy 157.762698 148.187551)
+ (xy 157.714195 148.173046)
+ (xy 157.666368 148.15625)
+ (xy 157.635491 148.149603)
+ (xy 157.627801 148.148937)
+ (xy 157.617034 148.148472)
+ (xy 157.601943 148.146565)
+ (xy 157.553531 148.148467)
+ (xy 155.548335 148.148467)
+ (xy 153.393791 145.993923)
+ (xy 153.423709 146.000364)
+ (xy 153.431399 146.00103)
+ (xy 153.442165 146.001495)
+ (xy 153.457256 146.003402)
+ (xy 153.505669 146.0015)
+ (xy 155.882831 146.0015)
+ (xy 156.068541 146.18721)
+ (xy 156.068166 146.223024)
+ (xy 156.072452 146.256955)
+ (xy 156.111477 146.402597)
+ (xy 156.12473 146.434125)
+ (xy 156.201483 146.563908)
+ (xy 156.222727 146.590711)
+ (xy 156.331554 146.695072)
+ (xy 156.359223 146.715174)
+ (xy 156.492106 146.786425)
+ (xy 156.524161 146.798346)
+ (xy 156.67131 146.831238)
+ (xy 156.70539 146.8341)
+ (xy 156.855963 146.826209)
+ (xy 156.889558 146.819801)
+ (xy 157.032463 146.771708)
+ (xy 157.063097 146.756501)
+ (xy 157.187804 146.67175)
+ (xy 157.188239 146.671358)
+ (xy 157.273503 146.753123)
+ (xy 157.301172 146.773225)
+ (xy 157.434055 146.844476)
+ (xy 157.46611 146.856397)
+ (xy 157.613259 146.889289)
+ (xy 157.647339 146.892151)
+ (xy 157.797912 146.88426)
+ (xy 157.831507 146.877852)
+ (xy 157.974412 146.829759)
+ (xy 158.005046 146.814552)
+ (xy 158.129753 146.729801)
+ (xy 158.155168 146.706917)
+ (xy 158.247172 146.598045)
+ (xy 162.319827 150.6707)
+ (xy 162.359005 150.713306)
+ (xy 162.385357 150.735107)
+ (xy 162.422324 150.758027)
+ (xy 162.456964 150.784321)
+ (xy 162.48676 150.801111)
+ (xy 162.500731 150.806643)
+ (xy 162.513504 150.814562)
+ (xy 162.544748 150.828472)
+ (xy 162.586517 150.840607)
+ (xy 162.626952 150.856616)
+ (xy 162.660163 150.864774)
+ (xy 162.675104 150.866345)
+ (xy 162.689542 150.870539)
+ (xy 162.715466 150.875203)
+ (xy 162.728526 150.876162)
+ (xy 162.737753 150.8765)
+ (xy 162.771729 150.8765)
+ (xy 162.810119 150.880535)
+ (xy 162.844303 150.879461)
+ (xy 162.86181 150.8765)
+ (xy 177.08919 150.8765)
+ (xy 177.147001 150.878923)
+ (xy 177.181049 150.875705)
+ (xy 177.22339 150.865774)
+ (xy 177.266485 150.859871)
+ (xy 177.299423 150.850675)
+ (xy 177.313219 150.844705)
+ (xy 177.327847 150.841274)
+ (xy 177.359774 150.829018)
+ (xy 177.397884 150.808067)
+ (xy 177.437804 150.790792)
+ (xy 177.467058 150.773076)
+ (xy 177.478741 150.763616)
+ (xy 177.491904 150.756379)
+ (xy 177.513536 150.741345)
+ (xy 177.523449 150.732788)
+ (xy 177.530211 150.726503)
+ (xy 177.554228 150.702486)
+ (xy 177.584236 150.678186)
+ (xy 177.607648 150.653255)
+ (xy 177.617937 150.638777)
+ (xy 179.580214 148.676501)
+ (xy 183.689189 148.676501)
+ (xy 183.747 148.678924)
+ (xy 183.781048 148.675706)
+ (xy 183.823389 148.665775)
+ (xy 183.866484 148.659872)
+ (xy 183.899422 148.650676)
+ (xy 183.913218 148.644706)
+ (xy 183.927846 148.641275)
+ (xy 183.959773 148.629019)
+ (xy 183.997883 148.608068)
+ (xy 184.037803 148.590793)
+ (xy 184.067057 148.573077)
+ (xy 184.07874 148.563617)
+ (xy 184.091903 148.55638)
+ (xy 184.113535 148.541346)
+ (xy 184.123448 148.532789)
+ (xy 184.13021 148.526504)
+ (xy 184.154227 148.502487)
+ (xy 184.184235 148.478187)
+ (xy 184.207647 148.453256)
+ (xy 184.217936 148.438778)
+ (xy 185.205606 147.451109)
+ (xy 185.226171 147.447186)
+ (xy 185.25621 147.437426)
+ (xy 185.404883 147.367465)
+ (xy 185.431549 147.350542)
+ (xy 185.558154 147.245806)
+ (xy 185.579775 147.222782)
+ (xy 185.676355 147.089851)
+ (xy 185.691571 147.062173)
+ (xy 185.752058 146.909399)
+ (xy 185.759912 146.878808)
+ (xy 185.780506 146.715792)
+ (xy 185.780506 146.684208)
+ (xy 185.759912 146.521192)
+ (xy 185.752058 146.490601)
+ (xy 185.691571 146.337827)
+ (xy 185.676355 146.310149)
+ (xy 185.579775 146.177218)
+ (xy 185.558154 146.154194)
+ (xy 185.431549 146.049458)
+ (xy 185.404883 146.032535)
+ (xy 185.25621 145.962574)
+ (xy 185.226171 145.952814)
+ (xy 185.064769 145.922025)
+ (xy 185.033247 145.920042)
+ (xy 184.86926 145.93036)
+ (xy 184.838236 145.936278)
+ (xy 184.681966 145.987053)
+ (xy 184.653388 146.000501)
+ (xy 184.514655 146.088544)
+ (xy 184.490319 146.108676)
+ (xy 184.377839 146.228454)
+ (xy 184.359274 146.254006)
+ (xy 184.280117 146.397993)
+ (xy 184.268491 146.427359)
+ (xy 184.252105 146.491179)
+ (xy 183.419784 147.323501)
+ (xy 183.146475 147.323501)
+ (xy 183.152058 147.309399)
+ (xy 183.159912 147.278808)
+ (xy 183.180506 147.115792)
+ (xy 183.180506 147.084208)
+ (xy 183.159912 146.921192)
+ (xy 183.152058 146.890601)
+ (xy 183.091571 146.737827)
+ (xy 183.076355 146.710149)
+ (xy 183.040324 146.660556)
+ (xy 183.058154 146.645806)
+ (xy 183.079775 146.622782)
+ (xy 183.176355 146.489851)
+ (xy 183.191571 146.462173)
+ (xy 183.252058 146.309399)
+ (xy 183.259912 146.278808)
+ (xy 183.280506 146.115792)
+ (xy 183.280506 146.084208)
+ (xy 183.259912 145.921192)
+ (xy 183.252058 145.890601)
+ (xy 183.191571 145.737827)
+ (xy 183.176355 145.710149)
+ (xy 183.079775 145.577218)
+ (xy 183.058154 145.554194)
+ (xy 182.931549 145.449458)
+ (xy 182.904883 145.432535)
+ (xy 182.75621 145.362574)
+ (xy 182.726171 145.352814)
+ (xy 182.564769 145.322025)
+ (xy 182.533247 145.320042)
+ (xy 182.36926 145.33036)
+ (xy 182.338236 145.336278)
+ (xy 182.181966 145.387053)
+ (xy 182.153388 145.400501)
+ (xy 182.117147 145.4235)
+ (xy 181.933215 145.4235)
+ (xy 182.570712 144.786004)
+ (xy 182.613306 144.746837)
+ (xy 182.635107 144.720485)
+ (xy 182.658027 144.683518)
+ (xy 182.684321 144.648878)
+ (xy 182.701111 144.61908)
+ (xy 182.70664 144.605115)
+ (xy 182.714561 144.59234)
+ (xy 182.728472 144.561096)
+ (xy 182.74061 144.519319)
+ (xy 182.756617 144.478888)
+ (xy 182.764775 144.445676)
+ (xy 182.766346 144.430732)
+ (xy 182.770538 144.416302)
+ (xy 182.775203 144.390376)
+ (xy 182.776162 144.377316)
+ (xy 182.7765 144.368089)
+ (xy 182.7765 144.334122)
+ (xy 182.780536 144.295723)
+ (xy 182.779461 144.261539)
+ (xy 182.7765 144.244033)
+ (xy 182.7765 143.389586)
+ (xy 182.791571 143.362173)
+ (xy 182.852058 143.209399)
+ (xy 182.859912 143.178808)
+ (xy 182.880506 143.015792)
+ (xy 182.880506 142.984208)
+ (xy 182.859912 142.821192)
+ (xy 182.852058 142.790601)
+ (xy 182.791571 142.637827)
+ (xy 182.776355 142.610149)
+ (xy 182.679775 142.477218)
+ (xy 182.658154 142.454194)
+ (xy 182.531549 142.349458)
+ (xy 182.504883 142.332535)
+ (xy 182.35621 142.262574)
+ (xy 182.326171 142.252814)
+ (xy 182.164769 142.222025)
+ (xy 182.133247 142.220042)
+ (xy 181.96926 142.23036)
+ (xy 181.938236 142.236278)
+ (xy 181.781966 142.287053)
+ (xy 181.753388 142.300501)
+ (xy 181.614655 142.388544)
+ (xy 181.590319 142.408676)
+ (xy 181.477839 142.528454)
+ (xy 181.459274 142.554006)
+ (xy 181.380117 142.697993)
+ (xy 181.368491 142.727359)
+ (xy 181.327628 142.886509)
+ (xy 181.323669 142.917844)
+ (xy 181.323669 143.082156)
+ (xy 181.327628 143.113491)
+ (xy 181.368491 143.272641)
+ (xy 181.380117 143.302006)
+ (xy 181.4235 143.380921)
+ (xy 181.423501 144.019785)
+ (xy 181.184569 144.258717)
+ (xy 181.189336 144.246677)
+ (xy 181.19719 144.216086)
+ (xy 181.217784 144.05307)
+ (xy 181.217784 144.021486)
+ (xy 181.19719 143.85847)
+ (xy 181.189336 143.827879)
+ (xy 181.128849 143.675105)
+ (xy 181.113633 143.647427)
+ (xy 181.017053 143.514496)
+ (xy 180.995432 143.491472)
+ (xy 180.868827 143.386736)
+ (xy 180.842161 143.369813)
+ (xy 180.693488 143.299852)
+ (xy 180.663449 143.290092)
+ (xy 180.502047 143.259303)
+ (xy 180.470525 143.25732)
+ (xy 180.306538 143.267638)
+ (xy 180.275514 143.273556)
+ (xy 180.119244 143.324331)
+ (xy 180.090666 143.337779)
+ (xy 180.054425 143.360778)
+ (xy 177.573532 143.360778)
+ (xy 177.515721 143.358355)
+ (xy 177.481674 143.361573)
+ (xy 177.439334 143.371503)
+ (xy 177.396237 143.377407)
+ (xy 177.363297 143.386604)
+ (xy 177.349507 143.392571)
+ (xy 177.334876 143.396003)
+ (xy 177.302947 143.408259)
+ (xy 177.26483 143.429214)
+ (xy 177.224917 143.446486)
+ (xy 177.195662 143.464204)
+ (xy 177.183987 143.473659)
+ (xy 177.17082 143.480897)
+ (xy 177.149186 143.495933)
+ (xy 177.139273 143.50449)
+ (xy 177.132511 143.510775)
+ (xy 177.108494 143.534792)
+ (xy 177.078486 143.559092)
+ (xy 177.055074 143.584023)
+ (xy 177.044785 143.598501)
+ (xy 174.619786 146.0235)
+ (xy 164.180215 146.0235)
+ (xy 160.914806 142.758091)
+ (xy 162.025247 142.758091)
+ (xy 162.031238 142.882816)
+ (xy 162.03773 143.017987)
+ (xy 162.040006 143.036524)
+ (xy 162.090768 143.291719)
+ (xy 162.095759 143.309714)
+ (xy 162.183683 143.554603)
+ (xy 162.191279 143.571664)
+ (xy 162.314434 143.800867)
+ (xy 162.324468 143.816618)
+ (xy 162.480149 144.025101)
+ (xy 162.492401 144.039195)
+ (xy 162.677188 144.222376)
+ (xy 162.691389 144.234505)
+ (xy 162.901222 144.38836)
+ (xy 162.917059 144.398256)
+ (xy 163.147328 144.519407)
+ (xy 163.164455 144.526854)
+ (xy 163.410102 144.612638)
+ (xy 163.428141 144.617472)
+ (xy 163.68377 144.666004)
+ (xy 163.702325 144.668118)
+ (xy 163.962319 144.678334)
+ (xy 163.980983 144.677682)
+ (xy 164.239631 144.649355)
+ (xy 164.257994 144.645952)
+ (xy 164.509615 144.579706)
+ (xy 164.527273 144.573626)
+ (xy 164.766338 144.470916)
+ (xy 164.782903 144.462292)
+ (xy 165.00416 144.325373)
+ (xy 165.019269 144.314396)
+ (xy 165.217858 144.146278)
+ (xy 165.231178 144.133189)
+ (xy 165.402737 143.937564)
+ (xy 165.413976 143.922649)
+ (xy 165.554734 143.703815)
+ (xy 165.563645 143.687403)
+ (xy 165.670512 143.450167)
+ (xy 165.676899 143.432618)
+ (xy 165.747527 143.182192)
+ (xy 165.751251 143.163891)
+ (xy 165.784087 142.905777)
+ (xy 165.785051 142.893174)
+ (xy 165.787457 142.801298)
+ (xy 165.787154 142.788662)
+ (xy 165.767871 142.529183)
+ (xy 165.76511 142.510713)
+ (xy 165.707686 142.256933)
+ (xy 165.702226 142.239073)
+ (xy 165.607921 141.99657)
+ (xy 165.599881 141.979715)
+ (xy 165.470768 141.753814)
+ (xy 165.460325 141.738331)
+ (xy 165.29924 141.533995)
+ (xy 165.286623 141.520226)
+ (xy 165.097105 141.341945)
+ (xy 165.082592 141.330192)
+ (xy 164.868804 141.181882)
+ (xy 164.852712 141.172404)
+ (xy 164.61935 141.057323)
+ (xy 164.602035 141.050327)
+ (xy 164.354226 140.971003)
+ (xy 164.336067 140.966644)
+ (xy 164.079256 140.924819)
+ (xy 164.060651 140.923191)
+ (xy 163.800478 140.919785)
+ (xy 163.781838 140.920925)
+ (xy 163.52402 140.956013)
+ (xy 163.505753 140.959896)
+ (xy 163.255953 141.032706)
+ (xy 163.23846 141.039246)
+ (xy 163.002166 141.148179)
+ (xy 162.985832 141.157233)
+ (xy 162.768234 141.299896)
+ (xy 162.753418 141.311265)
+ (xy 162.559298 141.484524)
+ (xy 162.546325 141.497958)
+ (xy 162.379946 141.698007)
+ (xy 162.369101 141.713211)
+ (xy 162.234119 141.935655)
+ (xy 162.225641 141.952295)
+ (xy 162.125021 142.192247)
+ (xy 162.119095 142.209957)
+ (xy 162.055047 142.462146)
+ (xy 162.051804 142.480537)
+ (xy 162.025736 142.739422)
+ (xy 162.025247 142.758091)
+ (xy 160.914806 142.758091)
+ (xy 153.2765 135.119786)
+ (xy 153.2765 133.089586)
+ (xy 153.291571 133.062173)
+ (xy 153.352058 132.909399)
+ (xy 153.359912 132.878808)
+ (xy 153.380506 132.715792)
+ (xy 153.380506 132.684208)
+ (xy 153.359912 132.521192)
+ (xy 153.352058 132.490601)
+ (xy 153.291571 132.337827)
+ (xy 153.276355 132.310149)
+ (xy 153.179775 132.177218)
+ (xy 153.158154 132.154194)
+ (xy 153.031549 132.049458)
+ (xy 153.004883 132.032535)
+ (xy 152.85621 131.962574)
+ (xy 152.826171 131.952814)
+ (xy 152.664769 131.922025)
+ (xy 152.633247 131.920042)
+ (xy 152.46926 131.93036)
+ (xy 152.438236 131.936278)
+ (xy 152.281966 131.987053)
+ (xy 152.253388 132.000501)
+ (xy 152.114655 132.088544)
+ (xy 152.090319 132.108676)
+ (xy 151.977839 132.228454)
+ (xy 151.959274 132.254006)
+ (xy 151.880117 132.397993)
+ (xy 151.868491 132.427359)
+ (xy 151.827628 132.586509)
+ (xy 151.823669 132.617844)
+ (xy 151.823669 132.782156)
+ (xy 151.827628 132.813491)
+ (xy 151.868491 132.972641)
+ (xy 151.880117 133.002007)
+ (xy 151.923501 133.080923)
+ (xy 151.9235 134.967387)
+ (xy 151.920485 134.964893)
+ (xy 151.883513 134.94197)
+ (xy 151.848877 134.915679)
+ (xy 151.81908 134.898889)
+ (xy 151.805112 134.893359)
+ (xy 151.792339 134.885439)
+ (xy 151.761096 134.871528)
+ (xy 151.719319 134.85939)
+ (xy 151.678888 134.843383)
+ (xy 151.645676 134.835225)
+ (xy 151.630731 134.833654)
+ (xy 151.616301 134.829462)
+ (xy 151.590376 134.824797)
+ (xy 151.577316 134.823838)
+ (xy 151.568089 134.8235)
+ (xy 151.534114 134.8235)
+ (xy 151.495722 134.819465)
+ (xy 151.461539 134.820539)
+ (xy 151.444032 134.8235)
+ (xy 148.876299 134.8235)
+ (xy 148.827968 134.70143)
+ (xy 148.812752 134.673752)
+ (xy 148.716172 134.540821)
+ (xy 148.694551 134.517797)
+ (xy 148.567946 134.413061)
+ (xy 148.54128 134.396138)
+ (xy 148.392607 134.326177)
+ (xy 148.3765 134.320944)
+ (xy 148.3765 131.942982)
+ (xy 151.675675 128.643807)
+ (xy 151.718306 128.604606)
+ (xy 151.740107 128.578253)
+ (xy 151.76303 128.541281)
+ (xy 151.789321 128.506645)
+ (xy 151.806112 128.476846)
+ (xy 151.811641 128.46288)
+ (xy 151.81956 128.450109)
+ (xy 151.833472 128.418863)
+ (xy 151.845607 128.377095)
+ (xy 151.861617 128.336658)
+ (xy 151.869775 128.303444)
+ (xy 151.871346 128.2885)
+ (xy 151.875538 128.27407)
+ (xy 151.880203 128.248144)
+ (xy 151.881162 128.235084)
+ (xy 151.8815 128.225857)
+ (xy 151.8815 128.19189)
+ (xy 151.885536 128.153491)
+ (xy 151.884461 128.119307)
+ (xy 151.8815 128.101801)
+ (xy 151.8815 122.069898)
+ (xy 151.884449 122.051279)
+ (xy 151.886 122.031568)
+ (xy 151.886 120.518432)
+ (xy 151.884449 120.498721)
+ (xy 151.869382 120.403592)
+ (xy 151.8572 120.3661)
+ (xy 151.798964 120.251805)
+ (xy 151.775792 120.219913)
+ (xy 151.685087 120.129208)
+ (xy 151.653195 120.106036)
+ (xy 151.5389 120.0478)
+ (xy 151.501408 120.035618)
+ (xy 151.406279 120.020551)
+ (xy 151.386568 120.019)
+ (xy 151.023432 120.019)
+ (xy 151.003721 120.020551)
+ (xy 150.908592 120.035618)
+ (xy 150.8711 120.0478)
+ (xy 150.756805 120.106036)
+ (xy 150.724913 120.129208)
+ (xy 150.634208 120.219913)
+ (xy 150.611036 120.251805)
+ (xy 150.57 120.332343)
+ (xy 150.528964 120.251805)
+ (xy 150.505792 120.219913)
+ (xy 150.415087 120.129208)
+ (xy 150.383195 120.106036)
+ (xy 150.2689 120.0478)
+ (xy 150.231408 120.035618)
+ (xy 150.136279 120.020551)
+ (xy 150.116568 120.019)
+ (xy 149.753432 120.019)
+ (xy 149.733721 120.020551)
+ (xy 149.638592 120.035618)
+ (xy 149.6011 120.0478)
+ (xy 149.486805 120.106036)
+ (xy 149.454913 120.129208)
+ (xy 149.364208 120.219913)
+ (xy 149.341036 120.251805)
+ (xy 149.3 120.332343)
+ (xy 149.258964 120.251805)
+ (xy 149.235792 120.219913)
+ (xy 149.145087 120.129208)
+ (xy 149.113195 120.106036)
+ (xy 148.9989 120.0478)
+ (xy 148.961408 120.035618)
+ (xy 148.866279 120.020551)
+ (xy 148.846568 120.019)
+ (xy 148.483432 120.019)
+ (xy 148.463721 120.020551)
+ (xy 148.368592 120.035618)
+ (xy 148.3311 120.0478)
+ (xy 148.216805 120.106036)
+ (xy 148.184913 120.129208)
+ (xy 148.094208 120.219913)
+ (xy 148.071036 120.251805)
+ (xy 148.03 120.332343)
+ (xy 147.988964 120.251805)
+ (xy 147.965792 120.219913)
+ (xy 147.875087 120.129208)
+ (xy 147.843195 120.106036)
+ (xy 147.7289 120.0478)
+ (xy 147.691408 120.035618)
+ (xy 147.596279 120.020551)
+ (xy 147.576568 120.019)
+ (xy 147.213432 120.019)
+ (xy 147.193721 120.020551)
+ (xy 147.098592 120.035618)
+ (xy 147.0611 120.0478)
+ (xy 146.946805 120.106036)
+ (xy 146.914913 120.129208)
+ (xy 146.824208 120.219913)
+ (xy 146.801036 120.251805)
+ (xy 146.7428 120.3661)
+ (xy 146.730618 120.403592)
+ (xy 146.715551 120.498721)
+ (xy 146.714 120.518432)
+ (xy 146.714 120.999285)
+ (xy 146.702285 121.011)
+ (xy 145.944016 121.011)
+ (xy 145.897594 120.970775)
+ (xy 145.867424 120.951386)
+ (xy 145.748573 120.897109)
+ (xy 145.781913 120.889856)
+ (xy 145.82325 120.872734)
+ (xy 145.937885 120.799063)
+ (xy 145.96499 120.775577)
+ (xy 146.054225 120.672594)
+ (xy 146.073614 120.642424)
+ (xy 146.130221 120.518471)
+ (xy 146.140324 120.484061)
+ (xy 146.154717 120.383957)
+ (xy 146.156 120.366025)
+ (xy 146.156 120.3625)
+ (xy 146.135998 120.294379)
+ (xy 146.082342 120.247886)
+ (xy 146.03 120.2365)
+ (xy 145.549999 120.236499)
+ (xy 145.549996 120.2365)
+ (xy 145.176 120.236499)
+ (xy 145.176001 119.42)
+ (xy 145.424 119.42)
+ (xy 145.424 119.8625)
+ (xy 145.444002 119.930621)
+ (xy 145.497658 119.977114)
+ (xy 145.55 119.9885)
+ (xy 146.028202 119.988501)
+ (xy 146.096323 119.968499)
+ (xy 146.142816 119.914844)
+ (xy 146.151322 119.835718)
+ (xy 146.114856 119.668087)
+ (xy 146.097734 119.62675)
+ (xy 146.024063 119.512115)
+ (xy 146.000577 119.48501)
+ (xy 145.897594 119.395775)
+ (xy 145.867424 119.376386)
+ (xy 145.743471 119.319779)
+ (xy 145.709061 119.309676)
+ (xy 145.608957 119.295283)
+ (xy 145.591025 119.294)
+ (xy 145.55 119.294)
+ (xy 145.481879 119.314002)
+ (xy 145.435386 119.367658)
+ (xy 145.424 119.42)
+ (xy 145.176001 119.42)
+ (xy 145.155999 119.351879)
+ (xy 145.102343 119.305386)
+ (xy 145.050001 119.294)
+ (xy 145.020766 119.294)
+ (xy 144.993983 119.29688)
+ (xy 144.818087 119.335144)
+ (xy 144.77675 119.352266)
+ (xy 144.662115 119.425937)
+ (xy 144.63501 119.449423)
+ (xy 144.6265 119.459244)
+ (xy 144.6265 117.717844)
+ (xy 146.223669 117.717844)
+ (xy 146.223669 117.882156)
+ (xy 146.227628 117.913491)
+ (xy 146.268491 118.072641)
+ (xy 146.280117 118.102007)
+ (xy 146.359274 118.245994)
+ (xy 146.377839 118.271546)
+ (xy 146.490319 118.391324)
+ (xy 146.514655 118.411456)
+ (xy 146.653388 118.499499)
+ (xy 146.681966 118.512947)
+ (xy 146.838236 118.563722)
+ (xy 146.86926 118.56964)
+ (xy 147.033247 118.579958)
+ (xy 147.064769 118.577975)
+ (xy 147.226171 118.547186)
+ (xy 147.25621 118.537426)
+ (xy 147.385683 118.4765)
+ (xy 149.12419 118.4765)
+ (xy 149.182001 118.478923)
+ (xy 149.216049 118.475705)
+ (xy 149.25839 118.465774)
+ (xy 149.301485 118.459871)
+ (xy 149.334423 118.450675)
+ (xy 149.348219 118.444705)
+ (xy 149.362847 118.441274)
+ (xy 149.394774 118.429018)
+ (xy 149.432884 118.408067)
+ (xy 149.472804 118.390792)
+ (xy 149.502058 118.373076)
+ (xy 149.513741 118.363616)
+ (xy 149.526904 118.356379)
+ (xy 149.548536 118.341345)
+ (xy 149.558449 118.332788)
+ (xy 149.565211 118.326503)
+ (xy 149.589228 118.302486)
+ (xy 149.619236 118.278186)
+ (xy 149.642648 118.253255)
+ (xy 149.652934 118.238781)
+ (xy 150.405712 117.486004)
+ (xy 150.448306 117.446837)
+ (xy 150.470107 117.420485)
+ (xy 150.493027 117.383518)
+ (xy 150.519321 117.348878)
+ (xy 150.536111 117.31908)
+ (xy 150.54164 117.305115)
+ (xy 150.549561 117.29234)
+ (xy 150.563472 117.261096)
+ (xy 150.57561 117.219319)
+ (xy 150.591617 117.178888)
+ (xy 150.599775 117.145676)
+ (xy 150.601346 117.130732)
+ (xy 150.601633 117.129741)
+ (xy 150.611036 117.148195)
+ (xy 150.634208 117.180087)
+ (xy 150.724913 117.270792)
+ (xy 150.756805 117.293964)
+ (xy 150.8711 117.3522)
+ (xy 150.908594 117.364382)
+ (xy 150.935291 117.36861)
+ (xy 151.005702 117.35951)
+ (xy 151.060015 117.313787)
+ (xy 151.080999 117.244162)
+ (xy 151.328999 117.244162)
+ (xy 151.349001 117.312283)
+ (xy 151.402657 117.358776)
+ (xy 151.474712 117.36861)
+ (xy 151.50141 117.364381)
+ (xy 151.5389 117.3522)
+ (xy 151.653195 117.293964)
+ (xy 151.685087 117.270792)
+ (xy 151.775792 117.180087)
+ (xy 151.798964 117.148195)
+ (xy 151.8572 117.0339)
+ (xy 151.869382 116.996408)
+ (xy 151.884449 116.901279)
+ (xy 151.886 116.881568)
+ (xy 151.886 116.391269)
+ (xy 160.219383 116.391269)
+ (xy 160.2235 116.434822)
+ (xy 160.2235 116.639579)
+ (xy 160.223669 116.642266)
+ (xy 160.223669 116.682156)
+ (xy 160.227627 116.713491)
+ (xy 160.235913 116.745765)
+ (xy 160.240088 116.778809)
+ (xy 160.247942 116.809399)
+ (xy 160.260207 116.840377)
+ (xy 160.268491 116.872641)
+ (xy 160.280117 116.902007)
+ (xy 160.296167 116.931201)
+ (xy 160.308429 116.962173)
+ (xy 160.323644 116.98985)
+ (xy 160.343226 117.016803)
+ (xy 160.359275 117.045995)
+ (xy 160.377839 117.071546)
+ (xy 160.400643 117.09583)
+ (xy 160.420226 117.122783)
+ (xy 160.441847 117.145806)
+ (xy 160.467515 117.16704)
+ (xy 160.490319 117.191324)
+ (xy 160.514654 117.211456)
+ (xy 160.542785 117.229309)
+ (xy 160.568452 117.250542)
+ (xy 160.595118 117.267465)
+ (xy 160.625263 117.281651)
+ (xy 160.653388 117.299499)
+ (xy 160.681967 117.312947)
+ (xy 160.713642 117.323239)
+ (xy 160.743791 117.337426)
+ (xy 160.773829 117.347186)
+ (xy 160.806562 117.35343)
+ (xy 160.838237 117.363722)
+ (xy 160.869261 117.36964)
+ (xy 160.9025 117.371731)
+ (xy 160.93523 117.377975)
+ (xy 160.966755 117.379958)
+ (xy 161 117.377866)
+ (xy 161.033246 117.379958)
+ (xy 161.06477 117.377975)
+ (xy 161.097501 117.371731)
+ (xy 161.130739 117.36964)
+ (xy 161.161764 117.363722)
+ (xy 161.193441 117.35343)
+ (xy 161.226171 117.347186)
+ (xy 161.256211 117.337426)
+ (xy 161.286364 117.323236)
+ (xy 161.318033 117.312947)
+ (xy 161.346612 117.2995)
+ (xy 161.37474 117.28165)
+ (xy 161.404883 117.267465)
+ (xy 161.43155 117.250541)
+ (xy 161.457216 117.229308)
+ (xy 161.485345 117.211457)
+ (xy 161.50968 117.191325)
+ (xy 161.532483 117.167043)
+ (xy 161.558155 117.145805)
+ (xy 161.579776 117.122781)
+ (xy 161.599358 117.095827)
+ (xy 161.62216 117.071547)
+ (xy 161.640726 117.045993)
+ (xy 161.656773 117.016804)
+ (xy 161.676355 116.989851)
+ (xy 161.691571 116.962173)
+ (xy 161.703835 116.931198)
+ (xy 161.719883 116.902006)
+ (xy 161.73151 116.872639)
+ (xy 161.739795 116.840372)
+ (xy 161.752057 116.809401)
+ (xy 161.759912 116.778808)
+ (xy 161.764087 116.745756)
+ (xy 161.768132 116.730003)
+ (xy 166.893069 111.605067)
+ (xy 166.909681 111.591324)
+ (xy 166.953899 111.544237)
+ (xy 166.977054 111.521082)
+ (xy 166.987519 111.509213)
+ (xy 166.990984 111.504746)
+ (xy 167.022161 111.471546)
+ (xy 167.040726 111.445993)
+ (xy 167.050871 111.427538)
+ (xy 167.063775 111.410903)
+ (xy 167.079852 111.383717)
+ (xy 167.097936 111.341928)
+ (xy 167.119882 111.302008)
+ (xy 167.13151 111.27264)
+ (xy 167.136748 111.25224)
+ (xy 167.145108 111.232921)
+ (xy 167.153921 111.20259)
+ (xy 167.161047 111.1576)
+ (xy 167.172372 111.113491)
+ (xy 167.176082 111.090068)
+ (xy 167.176251 111.087382)
+ (xy 167.1765 111.07947)
+ (xy 167.1765 111.060031)
+ (xy 167.179625 111.040301)
+ (xy 167.180617 111.008732)
+ (xy 167.1765 110.965181)
+ (xy 167.1765 104.647503)
+ (xy 167.180506 104.615792)
+ (xy 167.180506 104.584208)
+ (xy 167.159912 104.421192)
+ (xy 167.152058 104.390601)
+ (xy 167.091571 104.237827)
+ (xy 167.076355 104.210149)
+ (xy 166.979775 104.077218)
+ (xy 166.958154 104.054194)
+ (xy 166.831549 103.949458)
+ (xy 166.804883 103.932535)
+ (xy 166.65621 103.862574)
+ (xy 166.626171 103.852814)
+ (xy 166.464769 103.822025)
+ (xy 166.441159 103.819793)
+ (xy 166.441158 103.819793)
+ (xy 166.433246 103.820042)
+ (xy 166.26926 103.83036)
+ (xy 166.238236 103.836278)
+ (xy 166.081966 103.887053)
+ (xy 166.053388 103.900501)
+ (xy 165.914655 103.988544)
+ (xy 165.890319 104.008676)
+ (xy 165.777839 104.128454)
+ (xy 165.759274 104.154006)
+ (xy 165.680117 104.297993)
+ (xy 165.668491 104.327359)
+ (xy 165.636017 104.453836)
+ (xy 165.636016 104.453837)
+ (xy 165.627627 104.486511)
+ (xy 165.623918 104.509933)
+ (xy 165.623749 104.512619)
+ (xy 165.6235 104.520531)
+ (xy 165.623501 110.678363)
+ (xy 160.506933 115.794932)
+ (xy 160.490319 115.808676)
+ (xy 160.446092 115.855773)
+ (xy 160.422946 115.878919)
+ (xy 160.41248 115.890789)
+ (xy 160.409015 115.895256)
+ (xy 160.377839 115.928455)
+ (xy 160.359274 115.954007)
+ (xy 160.349129 115.972461)
+ (xy 160.336224 115.989098)
+ (xy 160.320147 116.016283)
+ (xy 160.302058 116.058084)
+ (xy 160.280117 116.097995)
+ (xy 160.268491 116.12736)
+ (xy 160.263255 116.147753)
+ (xy 160.254891 116.167081)
+ (xy 160.246079 116.197411)
+ (xy 160.238953 116.242401)
+ (xy 160.227628 116.28651)
+ (xy 160.223918 116.309933)
+ (xy 160.223749 116.312619)
+ (xy 160.2235 116.320531)
+ (xy 160.2235 116.33997)
+ (xy 160.220375 116.3597)
+ (xy 160.219383 116.391269)
+ (xy 151.886 116.391269)
+ (xy 151.886 116.375)
+ (xy 151.865998 116.306879)
+ (xy 151.812342 116.260386)
+ (xy 151.76 116.249)
+ (xy 151.455 116.249)
+ (xy 151.386879 116.269002)
+ (xy 151.340386 116.322658)
+ (xy 151.329 116.375)
+ (xy 151.328999 117.244162)
+ (xy 151.080999 117.244162)
+ (xy 151.081 117.244161)
+ (xy 151.081001 116.374999)
+ (xy 151.081 116.374996)
+ (xy 151.081 115.875001)
+ (xy 151.328999 115.875001)
+ (xy 151.349001 115.943122)
+ (xy 151.402657 115.989615)
+ (xy 151.454999 116.001001)
+ (xy 151.76 116.001001)
+ (xy 151.828121 115.980999)
+ (xy 151.874614 115.927343)
+ (xy 151.886 115.875001)
+ (xy 151.886 115.368432)
+ (xy 151.884449 115.348721)
+ (xy 151.869382 115.253592)
+ (xy 151.8572 115.2161)
+ (xy 151.798964 115.101805)
+ (xy 151.775792 115.069913)
+ (xy 151.685087 114.979208)
+ (xy 151.653195 114.956036)
+ (xy 151.5389 114.8978)
+ (xy 151.501406 114.885618)
+ (xy 151.474709 114.88139)
+ (xy 151.404298 114.89049)
+ (xy 151.349985 114.936213)
+ (xy 151.329 115.005839)
+ (xy 151.328999 115.875001)
+ (xy 151.081 115.875001)
+ (xy 151.081001 115.005838)
+ (xy 151.060999 114.937717)
+ (xy 151.007343 114.891224)
+ (xy 150.935288 114.88139)
+ (xy 150.90859 114.885619)
+ (xy 150.8711 114.8978)
+ (xy 150.756805 114.956036)
+ (xy 150.724913 114.979208)
+ (xy 150.634208 115.069913)
+ (xy 150.611036 115.101805)
+ (xy 150.57 115.182343)
+ (xy 150.528964 115.101805)
+ (xy 150.505792 115.069913)
+ (xy 150.415087 114.979208)
+ (xy 150.383195 114.956036)
+ (xy 150.2689 114.8978)
+ (xy 150.231408 114.885618)
+ (xy 150.136279 114.870551)
+ (xy 150.116568 114.869)
+ (xy 149.753432 114.869)
+ (xy 149.733721 114.870551)
+ (xy 149.638592 114.885618)
+ (xy 149.6011 114.8978)
+ (xy 149.486805 114.956036)
+ (xy 149.454913 114.979208)
+ (xy 149.364208 115.069913)
+ (xy 149.3415 115.101166)
+ (xy 149.3415 114.478259)
+ (xy 149.380221 114.393471)
+ (xy 149.390324 114.359061)
+ (xy 149.404717 114.258957)
+ (xy 149.406 114.241025)
+ (xy 149.406 113.670766)
+ (xy 149.40312 113.643983)
+ (xy 149.364856 113.468087)
+ (xy 149.347734 113.42675)
+ (xy 149.274063 113.312115)
+ (xy 149.250577 113.28501)
+ (xy 149.147594 113.195775)
+ (xy 149.117424 113.176386)
+ (xy 148.993471 113.119779)
+ (xy 148.959061 113.109676)
+ (xy 148.858957 113.095283)
+ (xy 148.841025 113.094)
+ (xy 148.345766 113.094)
+ (xy 148.318983 113.09688)
+ (xy 148.143087 113.135144)
+ (xy 148.10175 113.152266)
+ (xy 147.987115 113.225937)
+ (xy 147.96001 113.249423)
+ (xy 147.870775 113.352406)
+ (xy 147.851386 113.382576)
+ (xy 147.797109 113.501427)
+ (xy 147.789856 113.468087)
+ (xy 147.772734 113.42675)
+ (xy 147.699063 113.312115)
+ (xy 147.675577 113.28501)
+ (xy 147.572594 113.195775)
+ (xy 147.542424 113.176386)
+ (xy 147.418471 113.119779)
+ (xy 147.384061 113.109676)
+ (xy 147.283957 113.095283)
+ (xy 147.266025 113.094)
+ (xy 147.2625 113.094)
+ (xy 147.194379 113.114002)
+ (xy 147.147886 113.167658)
+ (xy 147.1365 113.22)
+ (xy 147.136499 113.700001)
+ (xy 147.1365 113.700004)
+ (xy 147.136499 114.678202)
+ (xy 147.156501 114.746323)
+ (xy 147.210157 114.792816)
+ (xy 147.289282 114.801322)
+ (xy 147.456913 114.764856)
+ (xy 147.49825 114.747734)
+ (xy 147.612885 114.674063)
+ (xy 147.63999 114.650577)
+ (xy 147.729225 114.547594)
+ (xy 147.748614 114.517424)
+ (xy 147.802891 114.398573)
+ (xy 147.810144 114.431913)
+ (xy 147.827266 114.47325)
+ (xy 147.900937 114.587885)
+ (xy 147.924423 114.61499)
+ (xy 147.988501 114.670514)
+ (xy 147.9885 115.101167)
+ (xy 147.965792 115.069913)
+ (xy 147.875087 114.979208)
+ (xy 147.843195 114.956036)
+ (xy 147.7289 114.8978)
+ (xy 147.691406 114.885618)
+ (xy 147.664709 114.88139)
+ (xy 147.594298 114.89049)
+ (xy 147.539985 114.936213)
+ (xy 147.519 115.005839)
+ (xy 147.518999 115.875001)
+ (xy 147.519 115.875004)
+ (xy 147.518999 116.249)
+ (xy 146.84 116.248999)
+ (xy 146.771879 116.269001)
+ (xy 146.725386 116.322657)
+ (xy 146.714 116.374999)
+ (xy 146.714 116.881568)
+ (xy 146.715551 116.901279)
+ (xy 146.730618 116.996408)
+ (xy 146.7428 117.0339)
+ (xy 146.757395 117.062545)
+ (xy 146.681966 117.087053)
+ (xy 146.653388 117.100501)
+ (xy 146.514655 117.188544)
+ (xy 146.490319 117.208676)
+ (xy 146.377839 117.328454)
+ (xy 146.359274 117.354006)
+ (xy 146.280117 117.497993)
+ (xy 146.268491 117.527359)
+ (xy 146.227628 117.686509)
+ (xy 146.223669 117.717844)
+ (xy 144.6265 117.717844)
+ (xy 144.6265 115.368432)
+ (xy 146.714 115.368432)
+ (xy 146.714 115.875)
+ (xy 146.734002 115.943121)
+ (xy 146.787658 115.989614)
+ (xy 146.84 116.001)
+ (xy 147.145 116.001)
+ (xy 147.213121 115.980998)
+ (xy 147.259614 115.927342)
+ (xy 147.271 115.875)
+ (xy 147.271001 115.005838)
+ (xy 147.250999 114.937717)
+ (xy 147.197343 114.891224)
+ (xy 147.125288 114.88139)
+ (xy 147.09859 114.885619)
+ (xy 147.0611 114.8978)
+ (xy 146.946805 114.956036)
+ (xy 146.914913 114.979208)
+ (xy 146.824208 115.069913)
+ (xy 146.801036 115.101805)
+ (xy 146.7428 115.2161)
+ (xy 146.730618 115.253592)
+ (xy 146.715551 115.348721)
+ (xy 146.714 115.368432)
+ (xy 144.6265 115.368432)
+ (xy 144.6265 114.199999)
+ (xy 146.194 114.199999)
+ (xy 146.194 114.229234)
+ (xy 146.19688 114.256017)
+ (xy 146.235144 114.431913)
+ (xy 146.252266 114.47325)
+ (xy 146.325937 114.587885)
+ (xy 146.349423 114.61499)
+ (xy 146.452406 114.704225)
+ (xy 146.482576 114.723614)
+ (xy 146.606529 114.780221)
+ (xy 146.640939 114.790324)
+ (xy 146.741043 114.804717)
+ (xy 146.758975 114.806)
+ (xy 146.7625 114.806)
+ (xy 146.830621 114.785998)
+ (xy 146.877114 114.732342)
+ (xy 146.8885 114.68)
+ (xy 146.888501 114.199999)
+ (xy 146.868499 114.131878)
+ (xy 146.814844 114.085385)
+ (xy 146.762501 114.073999)
+ (xy 146.32 114.073999)
+ (xy 146.251879 114.094001)
+ (xy 146.205386 114.147657)
+ (xy 146.194 114.199999)
+ (xy 144.6265 114.199999)
+ (xy 144.6265 113.658975)
+ (xy 146.194 113.658975)
+ (xy 146.194 113.7)
+ (xy 146.214002 113.768121)
+ (xy 146.267658 113.814614)
+ (xy 146.32 113.826)
+ (xy 146.7625 113.826)
+ (xy 146.830621 113.805998)
+ (xy 146.877114 113.752342)
+ (xy 146.8885 113.7)
+ (xy 146.888501 113.221798)
+ (xy 146.868499 113.153677)
+ (xy 146.814844 113.107184)
+ (xy 146.735718 113.098678)
+ (xy 146.568087 113.135144)
+ (xy 146.52675 113.152266)
+ (xy 146.412115 113.225937)
+ (xy 146.38501 113.249423)
+ (xy 146.295775 113.352406)
+ (xy 146.276386 113.382576)
+ (xy 146.219779 113.506529)
+ (xy 146.209676 113.540939)
+ (xy 146.195283 113.641043)
+ (xy 146.194 113.658975)
+ (xy 144.6265 113.658975)
+ (xy 144.6265 110.114711)
+ (xy 144.627592 110.107038)
+ (xy 144.628817 110.086427)
+ (xy 144.6265 109.984319)
+ (xy 144.6265 109.939185)
+ (xy 144.626076 109.928861)
+ (xy 144.624923 109.914842)
+ (xy 144.62322 109.839774)
+ (xy 144.621061 109.819238)
+ (xy 144.613984 109.781783)
+ (xy 144.61086 109.743788)
+ (xy 144.607488 109.723418)
+ (xy 144.589196 109.650592)
+ (xy 144.575254 109.576809)
+ (xy 144.569771 109.556902)
+ (xy 144.556669 109.5211)
+ (xy 144.547384 109.484134)
+ (xy 144.54073 109.464587)
+ (xy 144.51079 109.39573)
+ (xy 144.484984 109.325212)
+ (xy 144.476323 109.306469)
+ (xy 144.457551 109.27329)
+ (xy 144.442351 109.238332)
+ (xy 144.432594 109.220135)
+ (xy 144.391807 109.157088)
+ (xy 144.354834 109.091738)
+ (xy 144.343227 109.074659)
+ (xy 144.319294 109.044999)
+ (xy 144.298585 109.012987)
+ (xy 144.285985 108.996626)
+ (xy 144.23545 108.941089)
+ (xy 144.215192 108.915983)
+ (xy 144.206228 108.906011)
+ (xy 144.176286 108.876069)
+ (xy 144.119941 108.814147)
+ (xy 144.10484 108.800065)
+ (xy 144.083161 108.782944)
+ (xy 143.290331 107.990114)
+ (xy 148.268888 107.990114)
+ (xy 148.268888 108.009887)
+ (xy 148.276918 108.111908)
+ (xy 148.288654 108.261041)
+ (xy 148.291747 108.28057)
+ (xy 148.35056 108.525541)
+ (xy 148.35667 108.544345)
+ (xy 148.45308 108.7771)
+ (xy 148.462056 108.794717)
+ (xy 148.59369 109.009524)
+ (xy 148.605312 109.025519)
+ (xy 148.768929 109.21709)
+ (xy 148.78291 109.231071)
+ (xy 148.974481 109.394688)
+ (xy 148.990476 109.40631)
+ (xy 149.205283 109.537944)
+ (xy 149.2229 109.54692)
+ (xy 149.455655 109.64333)
+ (xy 149.474459 109.64944)
+ (xy 149.71943 109.708253)
+ (xy 149.738958 109.711346)
+ (xy 149.990114 109.731112)
+ (xy 150.009886 109.731112)
+ (xy 150.261042 109.711346)
+ (xy 150.28057 109.708253)
+ (xy 150.525541 109.64944)
+ (xy 150.544345 109.64333)
+ (xy 150.7771 109.54692)
+ (xy 150.794717 109.537944)
+ (xy 151.009524 109.40631)
+ (xy 151.025519 109.394688)
+ (xy 151.21709 109.231071)
+ (xy 151.231071 109.21709)
+ (xy 151.394688 109.025519)
+ (xy 151.40631 109.009524)
+ (xy 151.537944 108.794717)
+ (xy 151.54692 108.7771)
+ (xy 151.64333 108.544345)
+ (xy 151.64944 108.525541)
+ (xy 151.708253 108.28057)
+ (xy 151.711346 108.261042)
+ (xy 151.731112 108.009886)
+ (xy 151.731112 107.990114)
+ (xy 151.711346 107.738958)
+ (xy 151.708253 107.71943)
+ (xy 151.64944 107.474459)
+ (xy 151.64333 107.455655)
+ (xy 151.54692 107.2229)
+ (xy 151.537944 107.205283)
+ (xy 151.40631 106.990476)
+ (xy 151.394688 106.974481)
+ (xy 151.231071 106.78291)
+ (xy 151.21709 106.768929)
+ (xy 151.025519 106.605312)
+ (xy 151.009524 106.59369)
+ (xy 150.794717 106.462056)
+ (xy 150.7771 106.45308)
+ (xy 150.544345 106.35667)
+ (xy 150.525541 106.35056)
+ (xy 150.28057 106.291747)
+ (xy 150.261042 106.288654)
+ (xy 150.009886 106.268888)
+ (xy 149.990114 106.268888)
+ (xy 149.738958 106.288654)
+ (xy 149.71943 106.291747)
+ (xy 149.474459 106.35056)
+ (xy 149.455655 106.35667)
+ (xy 149.2229 106.45308)
+ (xy 149.205283 106.462056)
+ (xy 148.990476 106.59369)
+ (xy 148.974481 106.605312)
+ (xy 148.78291 106.768929)
+ (xy 148.768929 106.78291)
+ (xy 148.605312 106.974481)
+ (xy 148.59369 106.990476)
+ (xy 148.462056 107.205283)
+ (xy 148.45308 107.2229)
+ (xy 148.35667 107.455655)
+ (xy 148.35056 107.474459)
+ (xy 148.291747 107.71943)
+ (xy 148.288654 107.738958)
+ (xy 148.268888 107.990114)
+ (xy 143.290331 107.990114)
+ (xy 138.6265 103.326283)
+ (xy 138.6265 99.990114)
+ (xy 140.268888 99.990114)
+ (xy 140.268888 100.009887)
+ (xy 140.276918 100.111908)
+ (xy 140.288654 100.261041)
+ (xy 140.291747 100.28057)
+ (xy 140.35056 100.525541)
+ (xy 140.35667 100.544345)
+ (xy 140.45308 100.7771)
+ (xy 140.462056 100.794717)
+ (xy 140.59369 101.009524)
+ (xy 140.605312 101.025519)
+ (xy 140.768929 101.21709)
+ (xy 140.78291 101.231071)
+ (xy 140.974481 101.394688)
+ (xy 140.990476 101.40631)
+ (xy 141.205283 101.537944)
+ (xy 141.2229 101.54692)
+ (xy 141.455655 101.64333)
+ (xy 141.474459 101.64944)
+ (xy 141.71943 101.708253)
+ (xy 141.738958 101.711346)
+ (xy 141.990114 101.731112)
+ (xy 142.009886 101.731112)
+ (xy 142.261042 101.711346)
+ (xy 142.28057 101.708253)
+ (xy 142.525541 101.64944)
+ (xy 142.544345 101.64333)
+ (xy 142.7771 101.54692)
+ (xy 142.794717 101.537944)
+ (xy 143.009524 101.40631)
+ (xy 143.025519 101.394688)
+ (xy 143.21709 101.231071)
+ (xy 143.231071 101.21709)
+ (xy 143.394688 101.025519)
+ (xy 143.40631 101.009524)
+ (xy 143.537944 100.794717)
+ (xy 143.54692 100.7771)
+ (xy 143.64333 100.544345)
+ (xy 143.64944 100.525541)
+ (xy 143.708253 100.28057)
+ (xy 143.711346 100.261042)
+ (xy 143.731112 100.009886)
+ (xy 143.731112 99.992478)
+ (xy 146.018663 99.992478)
+ (xy 146.02675 100.163955)
+ (xy 146.037041 100.382167)
+ (xy 146.038285 100.394855)
+ (xy 146.095948 100.780692)
+ (xy 146.098468 100.79319)
+ (xy 146.194828 101.171224)
+ (xy 146.198598 101.183403)
+ (xy 146.332668 101.549765)
+ (xy 146.33765 101.561501)
+ (xy 146.508056 101.912438)
+ (xy 146.514198 101.92361)
+ (xy 146.719196 102.255531)
+ (xy 146.726436 102.266026)
+ (xy 146.963927 102.57553)
+ (xy 146.97219 102.585239)
+ (xy 147.239743 102.86916)
+ (xy 147.248945 102.877985)
+ (xy 147.54382 103.133413)
+ (xy 147.553866 103.141262)
+ (xy 147.873045 103.365585)
+ (xy 147.883834 103.372379)
+ (xy 148.224047 103.563298)
+ (xy 148.235467 103.568967)
+ (xy 148.593233 103.724528)
+ (xy 148.605167 103.729013)
+ (xy 148.976821 103.847623)
+ (xy 148.989148 103.85088)
+ (xy 149.370886 103.931324)
+ (xy 149.383478 103.933319)
+ (xy 149.771391 103.974775)
+ (xy 149.78412 103.975486)
+ (xy 150.174237 103.977529)
+ (xy 150.186974 103.976951)
+ (xy 150.5753 103.939559)
+ (xy 150.587912 103.937697)
+ (xy 150.970472 103.861255)
+ (xy 150.982832 103.858127)
+ (xy 151.355708 103.743415)
+ (xy 151.367689 103.739054)
+ (xy 151.727064 103.587248)
+ (xy 151.738542 103.581699)
+ (xy 152.080736 103.394353)
+ (xy 152.091595 103.387673)
+ (xy 152.413105 103.166706)
+ (xy 152.423234 103.158962)
+ (xy 152.720767 102.906635)
+ (xy 152.73006 102.897908)
+ (xy 153.000572 102.616805)
+ (xy 153.008937 102.607182)
+ (xy 153.249656 102.300181)
+ (xy 153.257005 102.289763)
+ (xy 153.465468 101.960007)
+ (xy 153.471727 101.9489)
+ (xy 153.645799 101.599767)
+ (xy 153.650903 101.588084)
+ (xy 153.788801 101.223146)
+ (xy 153.792698 101.211007)
+ (xy 153.893011 100.834003)
+ (xy 153.895662 100.821532)
+ (xy 153.957363 100.43632)
+ (xy 153.95874 100.423645)
+ (xy 153.981197 100.034169)
+ (xy 153.981405 100.027356)
+ (xy 153.981499 100.00044)
+ (xy 153.981339 99.993625)
+ (xy 153.981162 99.990114)
+ (xy 156.268888 99.990114)
+ (xy 156.268888 100.009887)
+ (xy 156.276918 100.111908)
+ (xy 156.288654 100.261041)
+ (xy 156.291747 100.28057)
+ (xy 156.35056 100.525541)
+ (xy 156.35667 100.544345)
+ (xy 156.45308 100.7771)
+ (xy 156.462056 100.794717)
+ (xy 156.59369 101.009524)
+ (xy 156.605312 101.025519)
+ (xy 156.768929 101.21709)
+ (xy 156.78291 101.231071)
+ (xy 156.974481 101.394688)
+ (xy 156.990476 101.40631)
+ (xy 157.205283 101.537944)
+ (xy 157.2229 101.54692)
+ (xy 157.455655 101.64333)
+ (xy 157.474459 101.64944)
+ (xy 157.71943 101.708253)
+ (xy 157.738958 101.711346)
+ (xy 157.990114 101.731112)
+ (xy 158.009886 101.731112)
+ (xy 158.261042 101.711346)
+ (xy 158.28057 101.708253)
+ (xy 158.525541 101.64944)
+ (xy 158.544345 101.64333)
+ (xy 158.7771 101.54692)
+ (xy 158.794717 101.537944)
+ (xy 159.009524 101.40631)
+ (xy 159.025519 101.394688)
+ (xy 159.21709 101.231071)
+ (xy 159.231071 101.21709)
+ (xy 159.394688 101.025519)
+ (xy 159.40631 101.009524)
+ (xy 159.537944 100.794717)
+ (xy 159.54692 100.7771)
+ (xy 159.64333 100.544345)
+ (xy 159.64944 100.525541)
+ (xy 159.708253 100.28057)
+ (xy 159.711346 100.261042)
+ (xy 159.731112 100.009886)
+ (xy 159.731112 99.990114)
+ (xy 159.72875 99.960091)
+ (xy 193.119247 99.960091)
+ (xy 193.125238 100.084816)
+ (xy 193.13173 100.219987)
+ (xy 193.134006 100.238524)
+ (xy 193.184768 100.493719)
+ (xy 193.189759 100.511714)
+ (xy 193.277683 100.756603)
+ (xy 193.285279 100.773664)
+ (xy 193.408434 101.002867)
+ (xy 193.418468 101.018618)
+ (xy 193.574149 101.227101)
+ (xy 193.586401 101.241195)
+ (xy 193.771188 101.424376)
+ (xy 193.785389 101.436505)
+ (xy 193.995222 101.59036)
+ (xy 194.011059 101.600256)
+ (xy 194.241328 101.721407)
+ (xy 194.258455 101.728854)
+ (xy 194.504102 101.814638)
+ (xy 194.522141 101.819472)
+ (xy 194.77777 101.868004)
+ (xy 194.796325 101.870118)
+ (xy 195.056319 101.880334)
+ (xy 195.074983 101.879682)
+ (xy 195.333631 101.851355)
+ (xy 195.351994 101.847952)
+ (xy 195.603615 101.781706)
+ (xy 195.621273 101.775626)
+ (xy 195.860338 101.672916)
+ (xy 195.876903 101.664292)
+ (xy 196.09816 101.527373)
+ (xy 196.113269 101.516396)
+ (xy 196.311858 101.348278)
+ (xy 196.325178 101.335189)
+ (xy 196.496737 101.139564)
+ (xy 196.507976 101.124649)
+ (xy 196.648734 100.905815)
+ (xy 196.657645 100.889403)
+ (xy 196.764512 100.652167)
+ (xy 196.770899 100.634618)
+ (xy 196.841527 100.384192)
+ (xy 196.845251 100.365891)
+ (xy 196.878087 100.107777)
+ (xy 196.879051 100.095174)
+ (xy 196.881457 100.003298)
+ (xy 196.881154 99.990662)
+ (xy 196.861871 99.731183)
+ (xy 196.85911 99.712713)
+ (xy 196.801686 99.458933)
+ (xy 196.796226 99.441073)
+ (xy 196.701921 99.19857)
+ (xy 196.693881 99.181715)
+ (xy 196.564768 98.955814)
+ (xy 196.554325 98.940331)
+ (xy 196.39324 98.735995)
+ (xy 196.380623 98.722226)
+ (xy 196.191105 98.543945)
+ (xy 196.176592 98.532192)
+ (xy 195.962804 98.383882)
+ (xy 195.946712 98.374404)
+ (xy 195.71335 98.259323)
+ (xy 195.696035 98.252327)
+ (xy 195.448226 98.173003)
+ (xy 195.430067 98.168644)
+ (xy 195.173256 98.126819)
+ (xy 195.154651 98.125191)
+ (xy 194.894478 98.121785)
+ (xy 194.875838 98.122925)
+ (xy 194.61802 98.158013)
+ (xy 194.599753 98.161896)
+ (xy 194.349953 98.234706)
+ (xy 194.33246 98.241246)
+ (xy 194.096166 98.350179)
+ (xy 194.079832 98.359233)
+ (xy 193.862234 98.501896)
+ (xy 193.847418 98.513265)
+ (xy 193.653298 98.686524)
+ (xy 193.640325 98.699958)
+ (xy 193.473946 98.900007)
+ (xy 193.463101 98.915211)
+ (xy 193.328119 99.137655)
+ (xy 193.319641 99.154295)
+ (xy 193.219021 99.394247)
+ (xy 193.213095 99.411957)
+ (xy 193.149047 99.664146)
+ (xy 193.145804 99.682537)
+ (xy 193.119736 99.941422)
+ (xy 193.119247 99.960091)
+ (xy 159.72875 99.960091)
+ (xy 159.711346 99.738958)
+ (xy 159.708253 99.71943)
+ (xy 159.64944 99.474459)
+ (xy 159.64333 99.455655)
+ (xy 159.54692 99.2229)
+ (xy 159.537944 99.205283)
+ (xy 159.40631 98.990476)
+ (xy 159.394688 98.974481)
+ (xy 159.231071 98.78291)
+ (xy 159.21709 98.768929)
+ (xy 159.025519 98.605312)
+ (xy 159.009524 98.59369)
+ (xy 158.794717 98.462056)
+ (xy 158.7771 98.45308)
+ (xy 158.544345 98.35667)
+ (xy 158.525541 98.35056)
+ (xy 158.28057 98.291747)
+ (xy 158.261042 98.288654)
+ (xy 158.009886 98.268888)
+ (xy 157.990114 98.268888)
+ (xy 157.738958 98.288654)
+ (xy 157.71943 98.291747)
+ (xy 157.474459 98.35056)
+ (xy 157.455655 98.35667)
+ (xy 157.2229 98.45308)
+ (xy 157.205283 98.462056)
+ (xy 156.990476 98.59369)
+ (xy 156.974481 98.605312)
+ (xy 156.78291 98.768929)
+ (xy 156.768929 98.78291)
+ (xy 156.605312 98.974481)
+ (xy 156.59369 98.990476)
+ (xy 156.462056 99.205283)
+ (xy 156.45308 99.2229)
+ (xy 156.35667 99.455655)
+ (xy 156.35056 99.474459)
+ (xy 156.291747 99.71943)
+ (xy 156.288654 99.738958)
+ (xy 156.268888 99.990114)
+ (xy 153.981162 99.990114)
+ (xy 153.961602 99.604002)
+ (xy 153.960313 99.591318)
+ (xy 153.901302 99.205685)
+ (xy 153.898739 99.193196)
+ (xy 153.801061 98.8155)
+ (xy 153.797248 98.803334)
+ (xy 153.6619 98.437443)
+ (xy 153.656877 98.425725)
+ (xy 153.485247 98.075385)
+ (xy 153.479067 98.064234)
+ (xy 153.272912 97.733031)
+ (xy 153.265635 97.722562)
+ (xy 153.027065 97.413888)
+ (xy 153.018768 97.404207)
+ (xy 152.750225 97.121222)
+ (xy 152.740992 97.11243)
+ (xy 152.445227 96.858032)
+ (xy 152.435153 96.850218)
+ (xy 152.115194 96.627012)
+ (xy 152.104382 96.620256)
+ (xy 151.763504 96.430525)
+ (xy 151.752065 96.424896)
+ (xy 151.393759 96.270585)
+ (xy 151.381809 96.266141)
+ (xy 151.009742 96.148829)
+ (xy 150.997404 96.145615)
+ (xy 150.615388 96.066503)
+ (xy 150.602789 96.064552)
+ (xy 150.214733 96.024451)
+ (xy 150.202001 96.023784)
+ (xy 149.81188 96.023103)
+ (xy 149.799146 96.023726)
+ (xy 149.410952 96.062473)
+ (xy 149.398346 96.06438)
+ (xy 149.016056 96.142158)
+ (xy 149.003707 96.145328)
+ (xy 148.631234 96.26134)
+ (xy 148.619268 96.265743)
+ (xy 148.260425 96.418803)
+ (xy 148.248966 96.424391)
+ (xy 147.907428 96.61293)
+ (xy 147.896593 96.619649)
+ (xy 147.575856 96.841737)
+ (xy 147.565755 96.849516)
+ (xy 147.269104 97.10288)
+ (xy 147.25984 97.11164)
+ (xy 146.990312 97.393686)
+ (xy 146.981982 97.403337)
+ (xy 146.742335 97.711176)
+ (xy 146.735022 97.72162)
+ (xy 146.527712 98.052101)
+ (xy 146.521492 98.06323)
+ (xy 146.34864 98.412969)
+ (xy 146.343576 98.42467)
+ (xy 146.206952 98.790087)
+ (xy 146.203097 98.80224)
+ (xy 146.104101 99.179592)
+ (xy 146.101494 99.192072)
+ (xy 146.041138 99.577498)
+ (xy 146.039805 99.590177)
+ (xy 146.018707 99.979728)
+ (xy 146.018663 99.992478)
+ (xy 143.731112 99.992478)
+ (xy 143.731112 99.990114)
+ (xy 143.711346 99.738958)
+ (xy 143.708253 99.71943)
+ (xy 143.64944 99.474459)
+ (xy 143.64333 99.455655)
+ (xy 143.54692 99.2229)
+ (xy 143.537944 99.205283)
+ (xy 143.40631 98.990476)
+ (xy 143.394688 98.974481)
+ (xy 143.231071 98.78291)
+ (xy 143.21709 98.768929)
+ (xy 143.025519 98.605312)
+ (xy 143.009524 98.59369)
+ (xy 142.794717 98.462056)
+ (xy 142.7771 98.45308)
+ (xy 142.544345 98.35667)
+ (xy 142.525541 98.35056)
+ (xy 142.28057 98.291747)
+ (xy 142.261042 98.288654)
+ (xy 142.009886 98.268888)
+ (xy 141.990114 98.268888)
+ (xy 141.738958 98.288654)
+ (xy 141.71943 98.291747)
+ (xy 141.474459 98.35056)
+ (xy 141.455655 98.35667)
+ (xy 141.2229 98.45308)
+ (xy 141.205283 98.462056)
+ (xy 140.990476 98.59369)
+ (xy 140.974481 98.605312)
+ (xy 140.78291 98.768929)
+ (xy 140.768929 98.78291)
+ (xy 140.605312 98.974481)
+ (xy 140.59369 98.990476)
+ (xy 140.462056 99.205283)
+ (xy 140.45308 99.2229)
+ (xy 140.35667 99.455655)
+ (xy 140.35056 99.474459)
+ (xy 140.291747 99.71943)
+ (xy 140.288654 99.738958)
+ (xy 140.268888 99.990114)
+ (xy 138.6265 99.990114)
+ (xy 138.6265 97.673716)
+ (xy 144.310102 91.990114)
+ (xy 148.268888 91.990114)
+ (xy 148.268888 92.009887)
+ (xy 148.276918 92.111908)
+ (xy 148.288654 92.261041)
+ (xy 148.291747 92.28057)
+ (xy 148.35056 92.525541)
+ (xy 148.35667 92.544345)
+ (xy 148.45308 92.7771)
+ (xy 148.462056 92.794717)
+ (xy 148.59369 93.009524)
+ (xy 148.605312 93.025519)
+ (xy 148.768929 93.21709)
+ (xy 148.78291 93.231071)
+ (xy 148.974481 93.394688)
+ (xy 148.990476 93.40631)
+ (xy 149.205283 93.537944)
+ (xy 149.2229 93.54692)
+ (xy 149.455655 93.64333)
+ (xy 149.474459 93.64944)
+ (xy 149.71943 93.708253)
+ (xy 149.738958 93.711346)
+ (xy 149.990114 93.731112)
+ (xy 150.009886 93.731112)
+ (xy 150.261042 93.711346)
+ (xy 150.28057 93.708253)
+ (xy 150.525541 93.64944)
+ (xy 150.544345 93.64333)
+ (xy 150.7771 93.54692)
+ (xy 150.794717 93.537944)
+ (xy 151.009524 93.40631)
+ (xy 151.025519 93.394688)
+ (xy 151.21709 93.231071)
+ (xy 151.231071 93.21709)
+ (xy 151.394688 93.025519)
+ (xy 151.40631 93.009524)
+ (xy 151.537944 92.794717)
+ (xy 151.54692 92.7771)
+ (xy 151.64333 92.544345)
+ (xy 151.64944 92.525541)
+ (xy 151.708253 92.28057)
+ (xy 151.711346 92.261042)
+ (xy 151.731112 92.009886)
+ (xy 151.731112 91.990114)
+ (xy 151.711346 91.738958)
+ (xy 151.708253 91.71943)
+ (xy 151.64944 91.474459)
+ (xy 151.64333 91.455655)
+ (xy 151.54692 91.2229)
+ (xy 151.537944 91.205283)
+ (xy 151.40631 90.990476)
+ (xy 151.394688 90.974481)
+ (xy 151.231071 90.78291)
+ (xy 151.21709 90.768929)
+ (xy 151.025519 90.605312)
+ (xy 151.009524 90.59369)
+ (xy 150.794717 90.462056)
+ (xy 150.7771 90.45308)
+ (xy 150.544345 90.35667)
+ (xy 150.525541 90.35056)
+ (xy 150.28057 90.291747)
+ (xy 150.261042 90.288654)
+ (xy 150.009886 90.268888)
+ (xy 149.990114 90.268888)
+ (xy 149.738958 90.288654)
+ (xy 149.71943 90.291747)
+ (xy 149.474459 90.35056)
+ (xy 149.455655 90.35667)
+ (xy 149.2229 90.45308)
+ (xy 149.205283 90.462056)
+ (xy 148.990476 90.59369)
+ (xy 148.974481 90.605312)
+ (xy 148.78291 90.768929)
+ (xy 148.768929 90.78291)
+ (xy 148.605312 90.974481)
+ (xy 148.59369 90.990476)
+ (xy 148.462056 91.205283)
+ (xy 148.45308 91.2229)
+ (xy 148.35667 91.455655)
+ (xy 148.35056 91.474459)
+ (xy 148.291747 91.71943)
+ (xy 148.288654 91.738958)
+ (xy 148.268888 91.990114)
+ (xy 144.310102 91.990114)
+ (xy 152.068986 84.231231)
+ (xy 152.075194 84.22657)
+ (xy 152.090635 84.212861)
+ (xy 152.16124 84.138977)
+ (xy 152.193111 84.107106)
+ (xy 152.200114 84.099505)
+ (xy 152.209211 84.088778)
+ (xy 152.261087 84.034493)
+ (xy 152.274082 84.018445)
+ (xy 152.295569 83.986945)
+ (xy 152.320217 83.957882)
+ (xy 152.332238 83.941092)
+ (xy 152.370787 83.87668)
+ (xy 152.413113 83.814634)
+ (xy 152.423313 83.796679)
+ (xy 152.439364 83.762099)
+ (xy 152.458938 83.729394)
+ (xy 152.468055 83.710866)
+ (xy 152.495574 83.641005)
+ (xy 152.527189 83.572896)
+ (xy 152.534318 83.553519)
+ (xy 152.544505 83.516786)
+ (xy 152.558477 83.481316)
+ (xy 152.564445 83.46155)
+ (xy 152.580188 83.388116)
+ (xy 152.60025 83.315775)
+ (xy 152.60412 83.295492)
+ (xy 152.608171 83.257583)
+ (xy 152.616161 83.220315)
+ (xy 152.618821 83.199837)
+ (xy 152.622358 83.12483)
+ (xy 152.625786 83.092757)
+ (xy 152.6265 83.079366)
+ (xy 152.6265 83.037005)
+ (xy 152.630443 82.953395)
+ (xy 152.629723 82.93276)
+ (xy 152.6265 82.905324)
+ (xy 152.6265 78.681739)
+ (xy 164.523724 78.681739)
+ (xy 164.525935 78.705571)
+ (xy 164.565086 78.911787)
+ (xy 164.571764 78.934771)
+ (xy 164.649204 79.129863)
+ (xy 164.660107 79.151169)
+ (xy 164.77304 79.328098)
+ (xy 164.787775 79.346959)
+ (xy 164.932127 79.499341)
+ (xy 164.950163 79.515075)
+ (xy 165.120726 79.637411)
+ (xy 165.141412 79.64945)
+ (xy 165.332031 79.737326)
+ (xy 165.35462 79.745237)
+ (xy 165.558416 79.795483)
+ (xy 165.582094 79.798979)
+ (xy 165.791715 79.809781)
+ (xy 165.815626 79.808737)
+ (xy 166.023508 79.779706)
+ (xy 166.04679 79.774159)
+ (xy 166.245432 79.706343)
+ (xy 166.267246 79.696494)
+ (xy 166.449481 79.592338)
+ (xy 166.469039 79.578542)
+ (xy 166.62829 79.441806)
+ (xy 166.644886 79.42456)
+ (xy 166.775408 79.260177)
+ (xy 166.788444 79.240104)
+ (xy 166.885527 79.054006)
+ (xy 166.894531 79.03183)
+ (xy 166.950072 78.84611)
+ (xy 197.070851 78.84611)
+ (xy 197.087161 78.897133)
+ (xy 197.412161 79.46005)
+ (xy 197.426548 79.480127)
+ (xy 197.49215 79.554932)
+ (xy 197.531153 79.584861)
+ (xy 197.620388 79.628867)
+ (xy 197.667877 79.641591)
+ (xy 197.76716 79.648098)
+ (xy 197.815901 79.641681)
+ (xy 197.910117 79.609699)
+ (xy 197.932616 79.599505)
+ (xy 199.015147 78.974505)
+ (xy 199.06414 78.923123)
+ (xy 199.077576 78.853409)
+ (xy 199.061266 78.802386)
+ (xy 198.608766 78.018633)
+ (xy 198.557384 77.96964)
+ (xy 198.48767 77.956204)
+ (xy 198.436647 77.972514)
+ (xy 197.13328 78.725014)
+ (xy 197.084287 78.776397)
+ (xy 197.070851 78.84611)
+ (xy 166.950072 78.84611)
+ (xy 166.954672 78.830731)
+ (xy 166.959321 78.807253)
+ (xy 166.980351 78.59841)
+ (xy 166.980985 78.586113)
+ (xy 166.981 78.580327)
+ (xy 166.98043 78.568033)
+ (xy 166.960494 78.359083)
+ (xy 166.955969 78.335581)
+ (xy 166.896882 78.13417)
+ (xy 166.887993 78.111947)
+ (xy 166.791885 77.925342)
+ (xy 166.778955 77.905201)
+ (xy 166.649296 77.740137)
+ (xy 166.63279 77.722805)
+ (xy 166.474257 77.585237)
+ (xy 166.454772 77.571338)
+ (xy 166.273085 77.46623)
+ (xy 166.251323 77.456266)
+ (xy 166.053039 77.38741)
+ (xy 166.029786 77.381742)
+ (xy 165.822059 77.351623)
+ (xy 165.798153 77.350454)
+ (xy 165.588478 77.360159)
+ (xy 165.564783 77.363531)
+ (xy 165.360726 77.412709)
+ (xy 165.338096 77.420501)
+ (xy 165.14702 77.507378)
+ (xy 165.126271 77.519309)
+ (xy 164.95507 77.64075)
+ (xy 164.936952 77.656389)
+ (xy 164.791804 77.808013)
+ (xy 164.77697 77.826796)
+ (xy 164.663112 78.003131)
+ (xy 164.652098 78.02438)
+ (xy 164.573638 78.219064)
+ (xy 164.56684 78.242013)
+ (xy 164.52661 78.448021)
+ (xy 164.524274 78.471841)
+ (xy 164.523724 78.681739)
+ (xy 152.6265 78.681739)
+ (xy 152.6265 76.313503)
+ (xy 164.55402 76.313503)
+ (xy 164.565086 76.371788)
+ (xy 164.571764 76.394771)
+ (xy 164.649204 76.589863)
+ (xy 164.660107 76.611169)
+ (xy 164.77304 76.788098)
+ (xy 164.787775 76.806959)
+ (xy 164.932127 76.959341)
+ (xy 164.950163 76.975075)
+ (xy 165.120726 77.097411)
+ (xy 165.141412 77.10945)
+ (xy 165.332031 77.197326)
+ (xy 165.35462 77.205237)
+ (xy 165.469838 77.233644)
+ (xy 165.540766 77.23053)
+ (xy 165.598752 77.189564)
+ (xy 165.626 77.111307)
+ (xy 165.626 76.29)
+ (xy 165.874 76.29)
+ (xy 165.874 77.115766)
+ (xy 165.894002 77.183887)
+ (xy 165.947658 77.23038)
+ (xy 166.017423 77.240556)
+ (xy 166.023504 77.239707)
+ (xy 166.04679 77.234159)
+ (xy 166.245432 77.166343)
+ (xy 166.267246 77.156494)
+ (xy 166.449481 77.052338)
+ (xy 166.469039 77.038542)
+ (xy 166.62829 76.901806)
+ (xy 166.644886 76.88456)
+ (xy 166.775408 76.720177)
+ (xy 166.788444 76.700104)
+ (xy 166.885527 76.514006)
+ (xy 166.894531 76.49183)
+ (xy 166.944094 76.326102)
+ (xy 166.944449 76.255106)
+ (xy 166.906364 76.195189)
+ (xy 166.823377 76.164)
+ (xy 166 76.164)
+ (xy 165.931879 76.184002)
+ (xy 165.885386 76.237658)
+ (xy 165.874 76.29)
+ (xy 165.626 76.29)
+ (xy 165.605998 76.221879)
+ (xy 165.552342 76.175386)
+ (xy 165.5 76.164)
+ (xy 164.677809 76.164)
+ (xy 164.609688 76.184002)
+ (xy 164.563195 76.237658)
+ (xy 164.55402 76.313503)
+ (xy 152.6265 76.313503)
+ (xy 152.6265 75.765851)
+ (xy 164.554374 75.765851)
+ (xy 164.560949 75.836542)
+ (xy 164.604699 75.892457)
+ (xy 164.678038 75.916)
+ (xy 165.5 75.916)
+ (xy 165.568121 75.895998)
+ (xy 165.614614 75.842342)
+ (xy 165.626 75.79)
+ (xy 165.626 74.968751)
+ (xy 165.624823 74.964741)
+ (xy 165.874 74.964741)
+ (xy 165.874 75.79)
+ (xy 165.894002 75.858121)
+ (xy 165.947658 75.904614)
+ (xy 166 75.916)
+ (xy 166.823021 75.916)
+ (xy 166.891142 75.895998)
+ (xy 166.937635 75.842342)
+ (xy 166.943926 75.754531)
+ (xy 166.896882 75.59417)
+ (xy 166.887993 75.571947)
+ (xy 166.791885 75.385342)
+ (xy 166.778955 75.365201)
+ (xy 166.649296 75.200137)
+ (xy 166.63279 75.182805)
+ (xy 166.474257 75.045237)
+ (xy 166.454772 75.031338)
+ (xy 166.273085 74.92623)
+ (xy 166.251323 74.916266)
+ (xy 166.053039 74.84741)
+ (xy 166.029783 74.841741)
+ (xy 166.018077 74.840044)
+ (xy 165.947791 74.850066)
+ (xy 165.894082 74.896497)
+ (xy 165.874 74.964741)
+ (xy 165.624823 74.964741)
+ (xy 165.605998 74.90063)
+ (xy 165.552342 74.854137)
+ (xy 165.470479 74.846258)
+ (xy 165.360726 74.872709)
+ (xy 165.338096 74.880501)
+ (xy 165.14702 74.967378)
+ (xy 165.126271 74.979309)
+ (xy 164.95507 75.10075)
+ (xy 164.936952 75.116389)
+ (xy 164.791804 75.268013)
+ (xy 164.77697 75.286796)
+ (xy 164.663112 75.463131)
+ (xy 164.652098 75.48438)
+ (xy 164.573638 75.679064)
+ (xy 164.56684 75.702014)
+ (xy 164.554374 75.765851)
+ (xy 152.6265 75.765851)
+ (xy 152.6265 67.833056)
+ (xy 159.319848 67.833056)
+ (xy 159.321426 67.862195)
+ (xy 159.351058 68.034647)
+ (xy 159.359298 68.062643)
+ (xy 159.427809 68.223653)
+ (xy 159.442267 68.249001)
+ (xy 159.54598 68.389932)
+ (xy 159.565883 68.411275)
+ (xy 159.699236 68.524566)
+ (xy 159.723514 68.540758)
+ (xy 159.879353 68.620334)
+ (xy 159.906706 68.630506)
+ (xy 160.018241 68.657798)
+ (xy 164.519 73.158557)
+ (xy 164.519 74.35)
+ (xy 164.521421 74.374582)
+ (xy 164.540832 74.472166)
+ (xy 164.559646 74.517586)
+ (xy 164.614923 74.600314)
+ (xy 164.649686 74.635077)
+ (xy 164.732414 74.690354)
+ (xy 164.777834 74.709168)
+ (xy 164.875418 74.728579)
+ (xy 164.9 74.731)
+ (xy 166.6 74.731)
+ (xy 166.624582 74.728579)
+ (xy 166.722166 74.709168)
+ (xy 166.767586 74.690354)
+ (xy 166.850314 74.635077)
+ (xy 166.885077 74.600314)
+ (xy 166.940354 74.517586)
+ (xy 166.959168 74.472166)
+ (xy 166.978579 74.374582)
+ (xy 166.981 74.35)
+ (xy 166.981 72.65)
+ (xy 166.978579 72.625418)
+ (xy 166.959168 72.527834)
+ (xy 166.940354 72.482414)
+ (xy 166.885077 72.399686)
+ (xy 166.850314 72.364923)
+ (xy 166.767586 72.309646)
+ (xy 166.722166 72.290832)
+ (xy 166.624582 72.271421)
+ (xy 166.6 72.269)
+ (xy 166.108557 72.269)
+ (xy 161.06627 67.226714)
+ (xy 161.05402 67.210068)
+ (xy 161.034117 67.188725)
+ (xy 160.995325 67.155769)
+ (xy 160.989452 67.149896)
+ (xy 160.978535 67.140177)
+ (xy 160.95579 67.122182)
+ (xy 160.900764 67.075434)
+ (xy 160.876487 67.059243)
+ (xy 160.875788 67.058886)
+ (xy 160.875171 67.058398)
+ (xy 160.850343 67.043064)
+ (xy 160.784952 67.012502)
+ (xy 160.720647 66.979666)
+ (xy 160.69329 66.969493)
+ (xy 160.692532 66.969308)
+ (xy 160.691827 66.968978)
+ (xy 160.664131 66.959764)
+ (xy 160.593463 66.945065)
+ (xy 160.523329 66.927904)
+ (xy 160.501182 66.924535)
+ (xy 160.488557 66.923752)
+ (xy 160.463752 66.921538)
+ (xy 160.391228 66.9235)
+ (xy 160.157113 66.9235)
+ (xy 160.142522 66.924348)
+ (xy 160.011606 66.939611)
+ (xy 159.983206 66.946324)
+ (xy 159.818727 67.006027)
+ (xy 159.792633 67.019094)
+ (xy 159.6463 67.115035)
+ (xy 159.623912 67.133754)
+ (xy 159.503574 67.260785)
+ (xy 159.486093 67.284153)
+ (xy 159.398207 67.43546)
+ (xy 159.386571 67.462222)
+ (xy 159.33585 67.629689)
+ (xy 159.330682 67.658411)
+ (xy 159.319848 67.833056)
+ (xy 152.6265 67.833056)
+ (xy 152.6265 65.939185)
+ (xy 152.626076 65.928861)
+ (xy 152.61086 65.743789)
+ (xy 152.607488 65.723418)
+ (xy 152.547384 65.484134)
+ (xy 152.54073 65.464587)
+ (xy 152.442352 65.238333)
+ (xy 152.432594 65.220135)
+ (xy 152.298584 65.012986)
+ (xy 152.285985 64.996626)
+ (xy 152.119941 64.814147)
+ (xy 152.10484 64.800065)
+ (xy 151.911222 64.647155)
+ (xy 151.894024 64.635728)
+ (xy 151.678032 64.516494)
+ (xy 151.659198 64.50803)
+ (xy 151.426633 64.425674)
+ (xy 151.406669 64.4204)
+ (xy 151.163775 64.377134)
+ (xy 151.143218 64.37519)
+ (xy 150.896521 64.372176)
+ (xy 150.875923 64.373617)
+ (xy 150.632045 64.410936)
+ (xy 150.611959 64.415721)
+ (xy 150.37745 64.49237)
+ (xy 150.358415 64.500372)
+ (xy 150.139575 64.614293)
+ (xy 150.122102 64.625296)
+ (xy 149.924806 64.77343)
+ (xy 149.909365 64.787139)
+ (xy 149.738913 64.965508)
+ (xy 149.725919 64.981555)
+ (xy 149.586887 65.185368)
+ (xy 149.576687 65.203322)
+ (xy 149.472811 65.427105)
+ (xy 149.465682 65.446483)
+ (xy 149.39975 65.684226)
+ (xy 149.39588 65.704508)
+ (xy 149.374213 65.907245)
+ (xy 149.3735 65.920635)
+ (xy 149.373501 81.728756)
+ (xy 149.373268 81.726909)
+ (xy 149.36964 81.669259)
+ (xy 149.363722 81.638235)
+ (xy 149.361012 81.629896)
+ (xy 149.359913 81.621193)
+ (xy 149.352058 81.590601)
+ (xy 149.330794 81.536894)
+ (xy 149.312947 81.481966)
+ (xy 149.299498 81.453385)
+ (xy 149.2948 81.445982)
+ (xy 149.291572 81.437829)
+ (xy 149.276355 81.410148)
+ (xy 149.242399 81.363412)
+ (xy 149.211457 81.314655)
+ (xy 149.191326 81.290321)
+ (xy 149.184933 81.284317)
+ (xy 149.179774 81.277217)
+ (xy 149.158154 81.254194)
+ (xy 149.113648 81.217376)
+ (xy 149.071546 81.177839)
+ (xy 149.045993 81.159274)
+ (xy 149.038306 81.155048)
+ (xy 149.03155 81.149459)
+ (xy 149.004883 81.132535)
+ (xy 148.952621 81.107942)
+ (xy 148.902007 81.080117)
+ (xy 148.872639 81.06849)
+ (xy 148.864148 81.06631)
+ (xy 148.856211 81.062575)
+ (xy 148.826171 81.052814)
+ (xy 148.76942 81.041988)
+ (xy 148.713491 81.027628)
+ (xy 148.690068 81.023918)
+ (xy 148.687382 81.023749)
+ (xy 148.67947 81.0235)
+ (xy 148.672501 81.0235)
+ (xy 148.664769 81.022025)
+ (xy 148.633247 81.020042)
+ (xy 148.578288 81.0235)
+ (xy 148.27918 81.0235)
+ (xy 148.257731 81.021472)
+ (xy 148.193184 81.0235)
+ (xy 148.160421 81.0235)
+ (xy 148.144629 81.024493)
+ (xy 148.139018 81.025202)
+ (xy 148.0935 81.026632)
+ (xy 148.062304 81.031573)
+ (xy 148.042078 81.037449)
+ (xy 148.021191 81.040088)
+ (xy 147.990601 81.047942)
+ (xy 147.948258 81.064706)
+ (xy 147.904516 81.077415)
+ (xy 147.875529 81.089959)
+ (xy 147.857408 81.100676)
+ (xy 147.837828 81.108428)
+ (xy 147.810149 81.123645)
+ (xy 147.773301 81.150417)
+ (xy 147.7341 81.1736)
+ (xy 147.714917 81.187538)
+ (xy 147.712899 81.189317)
+ (xy 147.707126 81.194738)
+ (xy 147.693385 81.208479)
+ (xy 147.677218 81.220225)
+ (xy 147.654194 81.241846)
+ (xy 147.626301 81.275563)
+ (xy 133.678365 95.2235)
+ (xy 130.897511 95.2235)
+ (xy 130.889779 95.222025)
+ (xy 130.858257 95.220042)
+ (xy 130.803298 95.2235)
+ (xy 130.785431 95.2235)
+ (xy 130.769641 95.224493)
+ (xy 130.751907 95.226733)
+ (xy 130.694269 95.23036)
+ (xy 130.663245 95.236278)
+ (xy 130.654906 95.238988)
+ (xy 130.646203 95.240087)
+ (xy 130.615611 95.247942)
+ (xy 130.561904 95.269206)
+ (xy 130.506976 95.287053)
+ (xy 130.478395 95.300502)
+ (xy 130.470992 95.3052)
+ (xy 130.462839 95.308428)
+ (xy 130.435158 95.323645)
+ (xy 130.388422 95.357601)
+ (xy 130.339665 95.388543)
+ (xy 130.315331 95.408674)
+ (xy 130.309327 95.415067)
+ (xy 130.302227 95.420226)
+ (xy 130.279204 95.441846)
+ (xy 130.242386 95.486352)
+ (xy 130.202849 95.528454)
+ (xy 130.184284 95.554007)
+ (xy 130.180058 95.561694)
+ (xy 130.174469 95.56845)
+ (xy 130.157545 95.595117)
+ (xy 130.132952 95.647379)
+ (xy 130.105127 95.697993)
+ (xy 130.0935 95.727361)
+ (xy 130.09132 95.735852)
+ (xy 130.087585 95.743789)
+ (xy 130.077824 95.773829)
+ (xy 130.066998 95.83058)
+ (xy 130.052638 95.886509)
+ (xy 130.048679 95.917844)
+ (xy 130.048679 95.926613)
+ (xy 130.047035 95.935231)
+ (xy 130.045052 95.966753)
+ (xy 130.048679 96.024398)
+ (xy 130.048679 96.082156)
+ (xy 130.052637 96.113489)
+ (xy 130.054819 96.121988)
+ (xy 130.05537 96.130742)
+ (xy 130.061288 96.161764)
+ (xy 130.079133 96.216685)
+ (xy 130.0935 96.272641)
+ (xy 130.105127 96.302006)
+ (xy 130.109352 96.309691)
+ (xy 130.112063 96.318035)
+ (xy 130.125511 96.346612)
+ (xy 130.15645 96.395364)
+ (xy 130.184284 96.445994)
+ (xy 130.202849 96.471546)
+ (xy 130.208855 96.477942)
+ (xy 130.213554 96.485346)
+ (xy 130.233686 96.509681)
+ (xy 130.275791 96.549219)
+ (xy 130.315329 96.591324)
+ (xy 130.339664 96.611456)
+ (xy 130.347068 96.616155)
+ (xy 130.353464 96.622161)
+ (xy 130.379016 96.640726)
+ (xy 130.429646 96.66856)
+ (xy 130.478398 96.699499)
+ (xy 130.506975 96.712947)
+ (xy 130.515319 96.715658)
+ (xy 130.523004 96.719883)
+ (xy 130.552369 96.73151)
+ (xy 130.608325 96.745877)
+ (xy 130.663246 96.763722)
+ (xy 130.694268 96.76964)
+ (xy 130.703022 96.770191)
+ (xy 130.711521 96.772373)
+ (xy 130.734942 96.776082)
+ (xy 130.737628 96.776251)
+ (xy 130.74554 96.7765)
+ (xy 130.803298 96.7765)
+ (xy 130.858257 96.779958)
+ (xy 130.889779 96.777975)
+ (xy 130.897511 96.7765)
+ (xy 133.920822 96.7765)
+ (xy 133.942271 96.778528)
+ (xy 134.006805 96.7765)
+ (xy 134.039579 96.7765)
+ (xy 134.05537 96.775507)
+ (xy 134.060988 96.774797)
+ (xy 134.106501 96.773367)
+ (xy 134.137698 96.768426)
+ (xy 134.157916 96.762552)
+ (xy 134.178807 96.759913)
+ (xy 134.209399 96.752058)
+ (xy 134.251749 96.735291)
+ (xy 134.295482 96.722585)
+ (xy 134.32447 96.71004)
+ (xy 134.342586 96.699326)
+ (xy 134.362171 96.691572)
+ (xy 134.389851 96.676355)
+ (xy 134.426703 96.64958)
+ (xy 134.465899 96.6264)
+ (xy 134.485086 96.61246)
+ (xy 134.487105 96.61068)
+ (xy 134.492874 96.605261)
+ (xy 134.506611 96.591524)
+ (xy 134.522782 96.579775)
+ (xy 134.545806 96.558154)
+ (xy 134.573703 96.524432)
+ (xy 148.521636 82.5765)
+ (xy 148.578288 82.5765)
+ (xy 148.633247 82.579958)
+ (xy 148.66477 82.577975)
+ (xy 148.721521 82.567149)
+ (xy 148.778808 82.559912)
+ (xy 148.809399 82.552058)
+ (xy 148.817552 82.54883)
+ (xy 148.826171 82.547186)
+ (xy 148.85621 82.537426)
+ (xy 148.908479 82.51283)
+ (xy 148.962173 82.491571)
+ (xy 148.98985 82.476356)
+ (xy 148.996946 82.4712)
+ (xy 149.004884 82.467465)
+ (xy 149.031549 82.450542)
+ (xy 149.076051 82.413727)
+ (xy 149.122782 82.379775)
+ (xy 149.145804 82.358156)
+ (xy 149.151396 82.351396)
+ (xy 149.158156 82.345804)
+ (xy 149.179775 82.322782)
+ (xy 149.213727 82.276051)
+ (xy 149.250542 82.231549)
+ (xy 149.267465 82.204884)
+ (xy 149.2712 82.196946)
+ (xy 149.276356 82.18985)
+ (xy 149.291571 82.162173)
+ (xy 149.31283 82.108479)
+ (xy 149.337426 82.05621)
+ (xy 149.347186 82.026171)
+ (xy 149.34883 82.017552)
+ (xy 149.352058 82.009399)
+ (xy 149.359912 81.978808)
+ (xy 149.367149 81.921519)
+ (xy 149.373501 81.888223)
+ (xy 149.373501 82.326281)
+ (xy 135.931014 95.768769)
+ (xy 135.924806 95.77343)
+ (xy 135.909365 95.787139)
+ (xy 135.838769 95.861014)
+ (xy 135.806889 95.892894)
+ (xy 135.799886 95.900496)
+ (xy 135.790791 95.911221)
+ (xy 135.738913 95.965508)
+ (xy 135.725919 95.981554)
+ (xy 135.704433 96.01305)
+ (xy 135.679781 96.04212)
+ (xy 135.667762 96.058908)
+ (xy 135.629204 96.123334)
+ (xy 135.586887 96.185368)
+ (xy 135.576687 96.203321)
+ (xy 135.560632 96.237909)
+ (xy 135.541062 96.270608)
+ (xy 135.531945 96.289135)
+ (xy 135.504426 96.358996)
+ (xy 135.472811 96.427105)
+ (xy 135.465682 96.446482)
+ (xy 135.455495 96.483215)
+ (xy 135.441523 96.518685)
+ (xy 135.435555 96.538451)
+ (xy 135.419812 96.611885)
+ (xy 135.39975 96.684226)
+ (xy 135.39588 96.704509)
+ (xy 135.391829 96.742418)
+ (xy 135.383839 96.779686)
+ (xy 135.381179 96.800164)
+ (xy 135.377642 96.875171)
+ (xy 135.374214 96.907244)
+ (xy 135.3735 96.920635)
+ (xy 135.3735 96.962995)
+ (xy 135.369557 97.046605)
+ (xy 135.370277 97.06724)
+ (xy 135.3735 97.094676)
+ (xy 135.373501 103.885274)
+ (xy 135.372407 103.892961)
+ (xy 135.371182 103.913574)
+ (xy 135.373501 104.015751)
+ (xy 135.373501 104.060815)
+ (xy 135.373925 104.071138)
+ (xy 135.375076 104.085134)
+ (xy 135.37678 104.160226)
+ (xy 135.378939 104.180761)
+ (xy 135.386018 104.218226)
+ (xy 135.389141 104.256211)
+ (xy 135.392513 104.276582)
+ (xy 135.410803 104.349398)
+ (xy 135.424746 104.423189)
+ (xy 135.430229 104.443095)
+ (xy 135.44333 104.478895)
+ (xy 135.452617 104.515868)
+ (xy 135.459271 104.535413)
+ (xy 135.489207 104.604261)
+ (xy 135.515016 104.674787)
+ (xy 135.523677 104.69353)
+ (xy 135.542449 104.72671)
+ (xy 135.55765 104.761669)
+ (xy 135.567407 104.779865)
+ (xy 135.608189 104.842904)
+ (xy 135.645166 104.908261)
+ (xy 135.656771 104.925337)
+ (xy 135.680705 104.954999)
+ (xy 135.701417 104.987015)
+ (xy 135.714015 105.003373)
+ (xy 135.764554 105.058914)
+ (xy 135.784808 105.084016)
+ (xy 135.793772 105.093988)
+ (xy 135.823697 105.123913)
+ (xy 135.880058 105.185853)
+ (xy 135.89516 105.199935)
+ (xy 135.916843 105.217059)
+ (xy 141.3735 110.673718)
+ (xy 141.373501 120.060815)
+ (xy 141.373925 120.071139)
+ (xy 141.389141 120.256211)
+ (xy 141.392513 120.276582)
+ (xy 141.452617 120.515866)
+ (xy 141.459271 120.535413)
+ (xy 141.557649 120.761667)
+ (xy 141.567407 120.779865)
+ (xy 141.701417 120.987014)
+ (xy 141.714015 121.003374)
+ (xy 141.880058 121.185853)
+ (xy 141.89516 121.199935)
+ (xy 142.088778 121.352845)
+ (xy 142.105976 121.364272)
+ (xy 142.321968 121.483506)
+ (xy 142.340802 121.49197)
+ (xy 142.573367 121.574326)
+ (xy 142.593331 121.5796)
+ (xy 142.836225 121.622866)
+ (xy 142.856782 121.62481)
+ (xy 143.103479 121.627824)
+ (xy 143.124077 121.626383)
+ (xy 143.367955 121.589064)
+ (xy 143.388041 121.584279)
+ (xy 143.62255 121.50763)
+ (xy 143.641585 121.499628)
+ (xy 143.860425 121.385707)
+ (xy 143.877898 121.374704)
+ (xy 144.075194 121.22657)
+ (xy 144.090635 121.212861)
+ (xy 144.261087 121.034493)
+ (xy 144.274081 121.018446)
+ (xy 144.413113 120.814633)
+ (xy 144.423313 120.796679)
+ (xy 144.509904 120.610134)
+ (xy 144.575937 120.712885)
+ (xy 144.599423 120.73999)
+ (xy 144.702406 120.829225)
+ (xy 144.732576 120.848614)
+ (xy 144.851427 120.902891)
+ (xy 144.818087 120.910144)
+ (xy 144.77675 120.927266)
+ (xy 144.662115 121.000937)
+ (xy 144.63501 121.024423)
+ (xy 144.545775 121.127406)
+ (xy 144.526386 121.157576)
+ (xy 144.469779 121.281529)
+ (xy 144.459676 121.315939)
+ (xy 144.445283 121.416043)
+ (xy 144.444 121.433975)
+ (xy 144.444 121.929234)
+ (xy 144.44688 121.956017)
+ (xy 144.485144 122.131913)
+ (xy 144.502266 122.17325)
+ (xy 144.575937 122.287885)
+ (xy 144.599423 122.31499)
+ (xy 144.702406 122.404225)
+ (xy 144.732576 122.423614)
+ (xy 144.856529 122.480221)
+ (xy 144.890939 122.490324)
+ (xy 144.991043 122.504717)
+ (xy 145.008975 122.506)
+ (xy 145.579234 122.506)
+ (xy 145.606017 122.50312)
+ (xy 145.781913 122.464856)
+ (xy 145.82325 122.447734)
+ (xy 145.937885 122.374063)
+ (xy 145.949499 122.364)
+ (xy 146.123501 122.364)
+ (xy 146.123501 124.599726)
+ (xy 145.986644 124.550454)
+ (xy 145.953192 124.543343)
+ (xy 145.861974 124.536644)
+ (xy 145.782888 124.457558)
+ (xy 145.842525 124.417029)
+ (xy 145.86794 124.394145)
+ (xy 145.965262 124.27898)
+ (xy 145.983588 124.250103)
+ (xy 146.046355 124.113008)
+ (xy 146.05624 124.080268)
+ (xy 146.079827 123.931345)
+ (xy 146.081371 123.912955)
+ (xy 146.081493 123.901321)
+ (xy 146.080334 123.8829)
+ (xy 146.059871 123.733515)
+ (xy 146.050674 123.700574)
+ (xy 145.990792 123.562195)
+ (xy 145.973075 123.532942)
+ (xy 145.878186 123.415764)
+ (xy 145.853255 123.392352)
+ (xy 145.73035 123.305008)
+ (xy 145.700042 123.289163)
+ (xy 145.558176 123.238088)
+ (xy 145.524723 123.230977)
+ (xy 145.374348 123.219934)
+ (xy 145.340215 123.222082)
+ (xy 145.19241 123.251885)
+ (xy 145.160112 123.263132)
+ (xy 145.025766 123.331585)
+ (xy 144.997683 123.351103)
+ (xy 144.946139 123.3985)
+ (xy 140.716185 123.3985)
+ (xy 140.708033 123.396745)
+ (xy 140.666704 123.394796)
+ (xy 140.635409 123.3985)
+ (xy 140.633551 123.3985)
+ (xy 140.612861 123.40021)
+ (xy 140.592921 123.403529)
+ (xy 140.542624 123.409482)
+ (xy 140.523124 123.415147)
+ (xy 140.519647 123.415726)
+ (xy 140.511333 123.418573)
+ (xy 140.502895 123.421024)
+ (xy 140.499718 123.422549)
+ (xy 140.480507 123.429127)
+ (xy 140.435912 123.45319)
+ (xy 140.390258 123.475112)
+ (xy 140.363734 123.492236)
+ (xy 140.357825 123.497202)
+ (xy 140.349878 123.504491)
+ (xy 140.337855 123.513817)
+ (xy 140.304966 123.549396)
+ (xy 135.254508 128.599855)
+ (xy 135.259912 128.578808)
+ (xy 135.280506 128.415792)
+ (xy 135.280506 128.384208)
+ (xy 135.259912 128.221192)
+ (xy 135.252058 128.190601)
+ (xy 135.191571 128.037827)
+ (xy 135.176355 128.010149)
+ (xy 135.079775 127.877218)
+ (xy 135.078098 127.875432)
+ (xy 135.226171 127.847186)
+ (xy 135.25621 127.837426)
+ (xy 135.404883 127.767465)
+ (xy 135.431549 127.750542)
+ (xy 135.558154 127.645806)
+ (xy 135.579775 127.622782)
+ (xy 135.676355 127.489851)
+ (xy 135.691571 127.462173)
+ (xy 135.752058 127.309399)
+ (xy 135.759912 127.278808)
+ (xy 135.780506 127.115792)
+ (xy 135.780506 127.084208)
+ (xy 135.759912 126.921192)
+ (xy 135.752058 126.890601)
+ (xy 135.691571 126.737827)
+ (xy 135.676355 126.710149)
+ (xy 135.579775 126.577218)
+ (xy 135.558154 126.554194)
+ (xy 135.431549 126.449458)
+ (xy 135.404883 126.432535)
+ (xy 135.25621 126.362574)
+ (xy 135.226171 126.352814)
+ (xy 135.064769 126.322025)
+ (xy 135.033246 126.320042)
+ (xy 135.0015 126.32204)
+ (xy 135.0015 125.352595)
+ (xy 135.003255 125.344443)
+ (xy 135.005204 125.303114)
+ (xy 135.0015 125.271819)
+ (xy 135.0015 125.269961)
+ (xy 134.99979 125.249271)
+ (xy 134.996471 125.229331)
+ (xy 134.990518 125.179034)
+ (xy 134.984853 125.159534)
+ (xy 134.984274 125.156057)
+ (xy 134.981427 125.147743)
+ (xy 134.978976 125.139305)
+ (xy 134.977451 125.136128)
+ (xy 134.970873 125.116917)
+ (xy 134.94681 125.072322)
+ (xy 134.924888 125.026668)
+ (xy 134.907756 125.000135)
+ (xy 134.902789 124.994226)
+ (xy 134.895516 124.986296)
+ (xy 134.886183 124.974264)
+ (xy 134.850578 124.941351)
+ (xy 130.745455 120.836229)
+ (xy 130.745434 120.836206)
+ (xy 130.591809 120.682582)
+ (xy 130.587282 120.675571)
+ (xy 130.559436 120.644969)
+ (xy 130.534675 120.625449)
+ (xy 130.533372 120.624146)
+ (xy 130.517533 120.610725)
+ (xy 130.501113 120.598992)
+ (xy 130.461314 120.567616)
+ (xy 130.44352 120.557834)
+ (xy 130.44066 120.55579)
+ (xy 130.432772 120.551926)
+ (xy 130.425049 120.54768)
+ (xy 130.42172 120.546511)
+ (xy 130.403498 120.537584)
+ (xy 130.354995 120.523079)
+ (xy 130.307168 120.506283)
+ (xy 130.276291 120.499636)
+ (xy 130.268601 120.49897)
+ (xy 130.257834 120.498505)
+ (xy 130.242743 120.496598)
+ (xy 130.194331 120.4985)
+ (xy 128.607728 120.4985)
+ (xy 127.874283 119.765055)
+ (xy 127.880506 119.715792)
+ (xy 127.880506 119.684208)
+ (xy 127.859912 119.521192)
+ (xy 127.852058 119.490601)
+ (xy 127.791571 119.337827)
+ (xy 127.776355 119.310149)
+ (xy 127.679775 119.177218)
+ (xy 127.658154 119.154194)
+ (xy 127.531549 119.049458)
+ (xy 127.504883 119.032535)
+ (xy 127.35621 118.962574)
+ (xy 127.326171 118.952814)
+ (xy 127.164769 118.922025)
+ (xy 127.133247 118.920042)
+ (xy 126.96926 118.93036)
+ (xy 126.938236 118.936278)
+ (xy 126.781966 118.987053)
+ (xy 126.753388 119.000501)
+ (xy 126.614655 119.088544)
+ (xy 126.59032 119.108675)
+ (xy 126.580722 119.118896)
+ (xy 126.578186 119.115764)
+ (xy 126.553255 119.092352)
+ (xy 126.43035 119.005008)
+ (xy 126.400042 118.989163)
+ (xy 126.258176 118.938088)
+ (xy 126.224723 118.930977)
+ (xy 126.074348 118.919934)
+ (xy 126.040215 118.922082)
+ (xy 125.89241 118.951885)
+ (xy 125.860112 118.963132)
+ (xy 125.725766 119.031585)
+ (xy 125.697683 119.051104)
+ (xy 125.586694 119.153163)
+ (xy 125.564894 119.179514)
+ (xy 125.485439 119.307661)
+ (xy 125.471528 119.338905)
+ (xy 125.429462 119.483698)
+ (xy 125.424466 119.517532)
+ (xy 125.422887 119.668304)
+ (xy 125.427173 119.702234)
+ (xy 125.466198 119.847876)
+ (xy 125.479451 119.879404)
+ (xy 125.556204 120.009187)
+ (xy 125.577448 120.03599)
+ (xy 125.598501 120.056179)
+ (xy 125.5985 120.720407)
+ (xy 125.596745 120.728559)
+ (xy 125.594796 120.769888)
+ (xy 125.5985 120.801183)
+ (xy 125.5985 120.80304)
+ (xy 125.60021 120.823725)
+ (xy 125.603529 120.843666)
+ (xy 125.609483 120.893969)
+ (xy 125.615147 120.913466)
+ (xy 125.615726 120.916944)
+ (xy 125.618573 120.92526)
+ (xy 125.621024 120.933696)
+ (xy 125.622549 120.936873)
+ (xy 125.629127 120.956084)
+ (xy 125.65319 121.000679)
+ (xy 125.675112 121.046333)
+ (xy 125.692236 121.072857)
+ (xy 125.697202 121.078766)
+ (xy 125.704487 121.086709)
+ (xy 125.713816 121.098736)
+ (xy 125.749413 121.131642)
+ (xy 127.131294 122.513523)
+ (xy 126.981966 122.562043)
+ (xy 126.953388 122.575491)
+ (xy 126.814655 122.663534)
+ (xy 126.790319 122.683666)
+ (xy 126.677839 122.803444)
+ (xy 126.659274 122.828996)
+ (xy 126.580117 122.972983)
+ (xy 126.568491 123.002349)
+ (xy 126.527628 123.161499)
+ (xy 126.523669 123.192834)
+ (xy 126.523669 123.220645)
+ (xy 126.36926 123.23036)
+ (xy 126.338236 123.236278)
+ (xy 126.181966 123.287053)
+ (xy 126.153388 123.300501)
+ (xy 126.014655 123.388544)
+ (xy 125.990319 123.408676)
+ (xy 125.877839 123.528454)
+ (xy 125.859274 123.554006)
+ (xy 125.780117 123.697993)
+ (xy 125.768491 123.727359)
+ (xy 125.727628 123.886509)
+ (xy 125.723669 123.917844)
+ (xy 125.723669 124.082156)
+ (xy 125.727628 124.113491)
+ (xy 125.768491 124.272641)
+ (xy 125.780117 124.302007)
+ (xy 125.859274 124.445994)
+ (xy 125.877839 124.471546)
+ (xy 125.990319 124.591324)
+ (xy 126.014655 124.611456)
+ (xy 126.153388 124.699499)
+ (xy 126.181966 124.712947)
+ (xy 126.338236 124.763722)
+ (xy 126.36926 124.76964)
+ (xy 126.533247 124.779958)
+ (xy 126.564769 124.777975)
+ (xy 126.726171 124.747186)
+ (xy 126.75621 124.737426)
+ (xy 126.904883 124.667465)
+ (xy 126.931549 124.650542)
+ (xy 126.99162 124.600847)
+ (xy 127.715298 125.324525)
+ (xy 127.67438 125.3271)
+ (xy 127.643356 125.333018)
+ (xy 127.487086 125.383793)
+ (xy 127.458508 125.397241)
+ (xy 127.319775 125.485284)
+ (xy 127.295439 125.505416)
+ (xy 127.182959 125.625194)
+ (xy 127.164394 125.650746)
+ (xy 127.085237 125.794733)
+ (xy 127.073611 125.824099)
+ (xy 127.045293 125.93439)
+ (xy 125.007056 123.896154)
+ (xy 125.017115 123.885442)
+ (xy 125.113695 123.752511)
+ (xy 125.128911 123.724833)
+ (xy 125.189398 123.572059)
+ (xy 125.197252 123.541468)
+ (xy 125.217846 123.378452)
+ (xy 125.217846 123.346868)
+ (xy 125.197252 123.183852)
+ (xy 125.189398 123.153261)
+ (xy 125.128911 123.000487)
+ (xy 125.113695 122.972809)
+ (xy 125.017115 122.839878)
+ (xy 124.995494 122.816854)
+ (xy 124.868889 122.712118)
+ (xy 124.842223 122.695195)
+ (xy 124.69355 122.625234)
+ (xy 124.663511 122.615474)
+ (xy 124.502109 122.584685)
+ (xy 124.470587 122.582702)
+ (xy 124.3066 122.59302)
+ (xy 124.275576 122.598938)
+ (xy 124.119306 122.649713)
+ (xy 124.090728 122.663161)
+ (xy 123.951995 122.751204)
+ (xy 123.927659 122.771336)
+ (xy 123.815179 122.891114)
+ (xy 123.796614 122.916666)
+ (xy 123.717457 123.060653)
+ (xy 123.705831 123.090019)
+ (xy 123.664968 123.249169)
+ (xy 123.661009 123.280504)
+ (xy 123.661009 123.444816)
+ (xy 123.664968 123.476151)
+ (xy 123.705831 123.635301)
+ (xy 123.717457 123.664667)
+ (xy 123.796614 123.808654)
+ (xy 123.815179 123.834206)
+ (xy 123.927659 123.953984)
+ (xy 123.951994 123.974116)
+ (xy 123.9985 124.00363)
+ (xy 123.9985 124.045729)
+ (xy 123.996745 124.053881)
+ (xy 123.994796 124.09521)
+ (xy 123.9985 124.126505)
+ (xy 123.9985 124.128362)
+ (xy 124.00021 124.149047)
+ (xy 124.003529 124.168988)
+ (xy 124.009483 124.219291)
+ (xy 124.015147 124.238788)
+ (xy 124.015726 124.242266)
+ (xy 124.018573 124.250582)
+ (xy 124.021024 124.259018)
+ (xy 124.022549 124.262195)
+ (xy 124.029127 124.281406)
+ (xy 124.05319 124.326001)
+ (xy 124.075112 124.371655)
+ (xy 124.092236 124.398179)
+ (xy 124.097202 124.404088)
+ (xy 124.104487 124.412031)
+ (xy 124.113816 124.424058)
+ (xy 124.149413 124.456964)
+ (xy 124.190949 124.4985)
+ (xy 123.995237 124.4985)
+ (xy 123.979775 124.477218)
+ (xy 123.958154 124.454194)
+ (xy 123.831549 124.349458)
+ (xy 123.804883 124.332535)
+ (xy 123.65621 124.262574)
+ (xy 123.626171 124.252814)
+ (xy 123.464769 124.222025)
+ (xy 123.433247 124.220042)
+ (xy 123.26926 124.23036)
+ (xy 123.238236 124.236278)
+ (xy 123.081966 124.287053)
+ (xy 123.053388 124.300501)
+ (xy 122.914655 124.388544)
+ (xy 122.890319 124.408676)
+ (xy 122.777839 124.528454)
+ (xy 122.759274 124.554006)
+ (xy 122.680117 124.697993)
+ (xy 122.668491 124.727359)
+ (xy 122.627628 124.886509)
+ (xy 122.623669 124.917844)
+ (xy 122.623669 125.082156)
+ (xy 122.627628 125.113491)
+ (xy 122.668491 125.272641)
+ (xy 122.680117 125.302007)
+ (xy 122.759274 125.445994)
+ (xy 122.777839 125.471546)
+ (xy 122.890319 125.591324)
+ (xy 122.914655 125.611456)
+ (xy 123.053388 125.699499)
+ (xy 123.081966 125.712947)
+ (xy 123.238236 125.763722)
+ (xy 123.26926 125.76964)
+ (xy 123.433247 125.779958)
+ (xy 123.464769 125.777975)
+ (xy 123.626171 125.747186)
+ (xy 123.65621 125.737426)
+ (xy 123.804883 125.667465)
+ (xy 123.831549 125.650542)
+ (xy 123.958154 125.545806)
+ (xy 123.979775 125.522782)
+ (xy 123.995237 125.5015)
+ (xy 124.492273 125.5015)
+ (xy 127.7735 128.782727)
+ (xy 127.773499 129.222404)
+ (xy 127.771744 129.230556)
+ (xy 127.769795 129.271885)
+ (xy 127.773499 129.30318)
+ (xy 127.773499 129.305037)
+ (xy 127.775209 129.325722)
+ (xy 127.778528 129.345663)
+ (xy 127.784482 129.395966)
+ (xy 127.790146 129.415463)
+ (xy 127.790725 129.418941)
+ (xy 127.793572 129.427257)
+ (xy 127.796023 129.435693)
+ (xy 127.797548 129.43887)
+ (xy 127.804126 129.458081)
+ (xy 127.828189 129.502676)
+ (xy 127.850111 129.54833)
+ (xy 127.867235 129.574854)
+ (xy 127.872201 129.580763)
+ (xy 127.879486 129.588706)
+ (xy 127.888815 129.600733)
+ (xy 127.924412 129.633639)
+ (xy 128.123669 129.832896)
+ (xy 128.123669 129.982156)
+ (xy 128.127628 130.013491)
+ (xy 128.168491 130.172641)
+ (xy 128.180117 130.202007)
+ (xy 128.259274 130.345994)
+ (xy 128.277839 130.371546)
+ (xy 128.390319 130.491324)
+ (xy 128.414655 130.511456)
+ (xy 128.553388 130.599499)
+ (xy 128.581966 130.612947)
+ (xy 128.738236 130.663722)
+ (xy 128.76926 130.66964)
+ (xy 128.933247 130.679958)
+ (xy 128.964769 130.677975)
+ (xy 129.126171 130.647186)
+ (xy 129.151968 130.638804)
+ (xy 128.745034 131.045739)
+ (xy 128.734108 131.045052)
+ (xy 128.570121 131.05537)
+ (xy 128.539097 131.061288)
+ (xy 128.382827 131.112063)
+ (xy 128.354249 131.125511)
+ (xy 128.215516 131.213554)
+ (xy 128.19118 131.233686)
+ (xy 128.0787 131.353464)
+ (xy 128.060135 131.379016)
+ (xy 127.980978 131.523003)
+ (xy 127.969352 131.552369)
+ (xy 127.928489 131.711519)
+ (xy 127.92453 131.742854)
+ (xy 127.92453 131.907166)
+ (xy 127.928489 131.938501)
+ (xy 127.969352 132.097651)
+ (xy 127.980978 132.127017)
+ (xy 128.060135 132.271004)
+ (xy 128.0787 132.296556)
+ (xy 128.19118 132.416334)
+ (xy 128.215516 132.436466)
+ (xy 128.354249 132.524509)
+ (xy 128.382827 132.537957)
+ (xy 128.539097 132.588732)
+ (xy 128.570121 132.59465)
+ (xy 128.734108 132.604968)
+ (xy 128.76563 132.602985)
+ (xy 128.927032 132.572196)
+ (xy 128.957071 132.562436)
+ (xy 129.105744 132.492475)
+ (xy 129.13241 132.475552)
+ (xy 129.259015 132.370816)
+ (xy 129.280636 132.347792)
+ (xy 129.377216 132.214861)
+ (xy 129.392432 132.187183)
+ (xy 129.452919 132.034409)
+ (xy 129.460773 132.003818)
+ (xy 129.481367 131.840802)
+ (xy 129.481367 131.809217)
+ (xy 129.472242 131.736985)
+ (xy 130.117423 131.091804)
+ (xy 130.124428 131.087281)
+ (xy 130.155029 131.059436)
+ (xy 130.174539 131.034688)
+ (xy 130.175854 131.033373)
+ (xy 130.189274 131.017536)
+ (xy 130.201032 131.001082)
+ (xy 130.232384 130.961313)
+ (xy 130.242169 130.943516)
+ (xy 130.244214 130.940654)
+ (xy 130.248071 130.93278)
+ (xy 130.252317 130.925058)
+ (xy 130.253488 130.921722)
+ (xy 130.262416 130.903499)
+ (xy 130.276925 130.854983)
+ (xy 130.293717 130.807167)
+ (xy 130.300364 130.776291)
+ (xy 130.30103 130.768601)
+ (xy 130.301495 130.757835)
+ (xy 130.303402 130.742744)
+ (xy 130.3015 130.694331)
+ (xy 130.3015 128.144215)
+ (xy 130.303255 128.136063)
+ (xy 130.305204 128.094734)
+ (xy 130.3015 128.063439)
+ (xy 130.3015 128.061581)
+ (xy 130.29979 128.040891)
+ (xy 130.296471 128.020951)
+ (xy 130.290518 127.970654)
+ (xy 130.284853 127.951154)
+ (xy 130.284274 127.947677)
+ (xy 130.281427 127.939363)
+ (xy 130.278976 127.930925)
+ (xy 130.277451 127.927748)
+ (xy 130.270873 127.908537)
+ (xy 130.24681 127.863942)
+ (xy 130.224888 127.818288)
+ (xy 130.207764 127.791764)
+ (xy 130.202798 127.785855)
+ (xy 130.195508 127.777907)
+ (xy 130.186182 127.765884)
+ (xy 130.150613 127.733005)
+ (xy 129.845999 127.428392)
+ (xy 129.841472 127.421381)
+ (xy 129.813626 127.390779)
+ (xy 129.788865 127.371259)
+ (xy 129.787562 127.369956)
+ (xy 129.771723 127.356535)
+ (xy 129.755303 127.344802)
+ (xy 129.715504 127.313426)
+ (xy 129.69771 127.303644)
+ (xy 129.69485 127.3016)
+ (xy 129.686962 127.297736)
+ (xy 129.679239 127.29349)
+ (xy 129.67591 127.292321)
+ (xy 129.657688 127.283394)
+ (xy 129.609185 127.268889)
+ (xy 129.561358 127.252093)
+ (xy 129.530481 127.245446)
+ (xy 129.522791 127.24478)
+ (xy 129.512024 127.244315)
+ (xy 129.496933 127.242408)
+ (xy 129.448521 127.24431)
+ (xy 128.991624 127.24431)
+ (xy 128.376149 126.628836)
+ (xy 128.384895 126.619522)
+ (xy 128.481475 126.486591)
+ (xy 128.496691 126.458913)
+ (xy 128.557178 126.306139)
+ (xy 128.565032 126.275548)
+ (xy 128.585626 126.112532)
+ (xy 128.585626 126.080948)
+ (xy 128.565032 125.917932)
+ (xy 128.557178 125.887341)
+ (xy 128.496691 125.734567)
+ (xy 128.481475 125.706889)
+ (xy 128.404905 125.6015)
+ (xy 130.892273 125.6015)
+ (xy 131.923669 126.632896)
+ (xy 131.923669 126.782156)
+ (xy 131.927628 126.813491)
+ (xy 131.968491 126.972641)
+ (xy 131.980117 127.002007)
+ (xy 132.059274 127.145994)
+ (xy 132.077839 127.171546)
+ (xy 132.149696 127.248066)
+ (xy 132.144626 127.249713)
+ (xy 132.116048 127.263161)
+ (xy 131.977315 127.351204)
+ (xy 131.952979 127.371336)
+ (xy 131.840499 127.491114)
+ (xy 131.821934 127.516666)
+ (xy 131.742777 127.660653)
+ (xy 131.731151 127.690019)
+ (xy 131.690288 127.849169)
+ (xy 131.686329 127.880504)
+ (xy 131.686329 128.044816)
+ (xy 131.690288 128.076151)
+ (xy 131.731151 128.235301)
+ (xy 131.742777 128.264667)
+ (xy 131.821934 128.408654)
+ (xy 131.840499 128.434206)
+ (xy 131.952979 128.553984)
+ (xy 131.977315 128.574116)
+ (xy 132.116048 128.662159)
+ (xy 132.144626 128.675607)
+ (xy 132.300896 128.726382)
+ (xy 132.33192 128.7323)
+ (xy 132.495907 128.742618)
+ (xy 132.527429 128.740635)
+ (xy 132.688831 128.709846)
+ (xy 132.71887 128.700086)
+ (xy 132.867543 128.630125)
+ (xy 132.894209 128.613202)
+ (xy 133.020814 128.508466)
+ (xy 133.042435 128.485442)
+ (xy 133.118024 128.381403)
+ (xy 133.126385 128.378974)
+ (xy 133.129561 128.377449)
+ (xy 133.148768 128.370873)
+ (xy 133.193371 128.346806)
+ (xy 133.239016 128.324888)
+ (xy 133.26554 128.307764)
+ (xy 133.271449 128.302798)
+ (xy 133.279389 128.295515)
+ (xy 133.291421 128.286183)
+ (xy 133.324321 128.250592)
+ (xy 133.519492 128.055421)
+ (xy 133.521024 128.060694)
+ (xy 133.522549 128.063871)
+ (xy 133.529127 128.083082)
+ (xy 133.55319 128.127677)
+ (xy 133.575112 128.173331)
+ (xy 133.592236 128.199855)
+ (xy 133.597202 128.205764)
+ (xy 133.604487 128.213707)
+ (xy 133.613816 128.225734)
+ (xy 133.649413 128.25864)
+ (xy 133.723669 128.332896)
+ (xy 133.723669 128.482156)
+ (xy 133.727628 128.513491)
+ (xy 133.768491 128.672641)
+ (xy 133.780117 128.702007)
+ (xy 133.859274 128.845994)
+ (xy 133.877839 128.871546)
+ (xy 133.990319 128.991324)
+ (xy 134.014655 129.011456)
+ (xy 134.153388 129.099499)
+ (xy 134.181966 129.112947)
+ (xy 134.338236 129.163722)
+ (xy 134.36926 129.16964)
+ (xy 134.533247 129.179958)
+ (xy 134.564769 129.177975)
+ (xy 134.7027 129.151663)
+ (xy 131.782581 132.071783)
+ (xy 131.775573 132.076308)
+ (xy 131.74497 132.104154)
+ (xy 131.725454 132.12891)
+ (xy 131.724146 132.130218)
+ (xy 131.710727 132.146054)
+ (xy 131.698983 132.162488)
+ (xy 131.667616 132.202277)
+ (xy 131.657837 132.220066)
+ (xy 131.655789 132.222932)
+ (xy 131.651914 132.230841)
+ (xy 131.647682 132.23854)
+ (xy 131.646518 132.241856)
+ (xy 131.637584 132.260091)
+ (xy 131.623069 132.308627)
+ (xy 131.606284 132.356423)
+ (xy 131.599637 132.387299)
+ (xy 131.598971 132.394989)
+ (xy 131.598506 132.405742)
+ (xy 131.596598 132.420848)
+ (xy 131.598501 132.469284)
+ (xy 131.5985 132.942306)
+ (xy 131.586693 132.953163)
+ (xy 131.564894 132.979514)
+ (xy 131.485439 133.107661)
+ (xy 131.471528 133.138905)
+ (xy 131.429462 133.283698)
+ (xy 131.424466 133.317532)
+ (xy 131.422887 133.468304)
+ (xy 131.427173 133.502234)
+ (xy 131.466198 133.647876)
+ (xy 131.479451 133.679404)
+ (xy 131.556204 133.809187)
+ (xy 131.577448 133.83599)
+ (xy 131.686275 133.940351)
+ (xy 131.713944 133.960453)
+ (xy 131.846827 134.031704)
+ (xy 131.878882 134.043625)
+ (xy 132.026031 134.076517)
+ (xy 132.060111 134.079379)
+ (xy 132.122703 134.076099)
+ (xy 132.141199 134.145127)
+ (xy 132.154452 134.176655)
+ (xy 132.231205 134.306438)
+ (xy 132.252449 134.333241)
+ (xy 132.361276 134.437602)
+ (xy 132.388945 134.457704)
+ (xy 132.521828 134.528955)
+ (xy 132.553883 134.540876)
+ (xy 132.701032 134.573768)
+ (xy 132.735112 134.57663)
+ (xy 132.885685 134.568739)
+ (xy 132.91928 134.562331)
+ (xy 133.057598 134.515782)
+ (xy 133.066198 134.547876)
+ (xy 133.079451 134.579404)
+ (xy 133.156204 134.709187)
+ (xy 133.177448 134.73599)
+ (xy 133.286275 134.840351)
+ (xy 133.313944 134.860453)
+ (xy 133.446827 134.931704)
+ (xy 133.478882 134.943625)
+ (xy 133.626031 134.976517)
+ (xy 133.660111 134.979379)
+ (xy 133.810684 134.971488)
+ (xy 133.844279 134.96508)
+ (xy 133.987184 134.916987)
+ (xy 134.017818 134.90178)
+ (xy 134.142525 134.817029)
+ (xy 134.16794 134.794145)
+ (xy 134.265262 134.67898)
+ (xy 134.283588 134.650103)
+ (xy 134.346355 134.513008)
+ (xy 134.35624 134.480268)
+ (xy 134.379827 134.331345)
+ (xy 134.381371 134.312955)
+ (xy 134.381493 134.301321)
+ (xy 134.380334 134.2829)
+ (xy 134.365398 134.173861)
+ (xy 134.410684 134.171488)
+ (xy 134.444279 134.16508)
+ (xy 134.587184 134.116987)
+ (xy 134.617818 134.10178)
+ (xy 134.742525 134.017029)
+ (xy 134.76794 133.994145)
+ (xy 134.865262 133.87898)
+ (xy 134.883588 133.850103)
+ (xy 134.946355 133.713008)
+ (xy 134.95624 133.680268)
+ (xy 134.979827 133.531345)
+ (xy 134.981371 133.512955)
+ (xy 134.981493 133.501321)
+ (xy 134.980334 133.4829)
+ (xy 134.959871 133.333515)
+ (xy 134.950674 133.300574)
+ (xy 134.890792 133.162195)
+ (xy 134.873075 133.132942)
+ (xy 134.8015 133.044554)
+ (xy 134.8015 132.380547)
+ (xy 140.15642 127.025627)
+ (xy 140.168491 127.072641)
+ (xy 140.180117 127.102007)
+ (xy 140.259274 127.245994)
+ (xy 140.27784 127.271547)
+ (xy 140.28025 127.274113)
+ (xy 138.482585 129.071779)
+ (xy 138.475571 129.076308)
+ (xy 138.444969 129.104155)
+ (xy 138.425454 129.12891)
+ (xy 138.424146 129.130218)
+ (xy 138.410727 129.146054)
+ (xy 138.39898 129.162492)
+ (xy 138.367615 129.202279)
+ (xy 138.35783 129.220076)
+ (xy 138.355786 129.222937)
+ (xy 138.35193 129.230809)
+ (xy 138.347683 129.238533)
+ (xy 138.346512 129.241869)
+ (xy 138.337584 129.260092)
+ (xy 138.323075 129.308608)
+ (xy 138.306283 129.356424)
+ (xy 138.299636 129.3873)
+ (xy 138.29897 129.39499)
+ (xy 138.298505 129.405756)
+ (xy 138.296598 129.420847)
+ (xy 138.2985 129.469261)
+ (xy 138.298501 130.542271)
+ (xy 138.2985 132.047405)
+ (xy 138.296745 132.055557)
+ (xy 138.294796 132.096886)
+ (xy 138.2985 132.12818)
+ (xy 138.2985 132.130038)
+ (xy 138.30021 132.150723)
+ (xy 138.303529 132.170664)
+ (xy 138.309483 132.220967)
+ (xy 138.315147 132.240464)
+ (xy 138.315726 132.243942)
+ (xy 138.318573 132.252258)
+ (xy 138.321024 132.260694)
+ (xy 138.322549 132.263871)
+ (xy 138.329127 132.283082)
+ (xy 138.35319 132.327677)
+ (xy 138.375112 132.373331)
+ (xy 138.392236 132.399855)
+ (xy 138.397202 132.405764)
+ (xy 138.404487 132.413707)
+ (xy 138.413816 132.425734)
+ (xy 138.449413 132.45864)
+ (xy 139.498501 133.507729)
+ (xy 139.4985 137.083815)
+ (xy 139.496745 137.091967)
+ (xy 139.494796 137.133296)
+ (xy 139.4985 137.16459)
+ (xy 139.4985 137.166448)
+ (xy 139.50021 137.187133)
+ (xy 139.503529 137.207074)
+ (xy 139.509483 137.257377)
+ (xy 139.515147 137.276874)
+ (xy 139.515726 137.280352)
+ (xy 139.518573 137.288668)
+ (xy 139.521024 137.297104)
+ (xy 139.522549 137.300281)
+ (xy 139.529127 137.319492)
+ (xy 139.55319 137.364087)
+ (xy 139.575112 137.409741)
+ (xy 139.592236 137.436265)
+ (xy 139.597202 137.442174)
+ (xy 139.604487 137.450117)
+ (xy 139.613816 137.462144)
+ (xy 139.649413 137.49505)
+ (xy 141.052863 138.8985)
+ (xy 140.095237 138.8985)
+ (xy 140.079775 138.877218)
+ (xy 140.058154 138.854194)
+ (xy 139.931549 138.749458)
+ (xy 139.904883 138.732535)
+ (xy 139.75621 138.662574)
+ (xy 139.726171 138.652814)
+ (xy 139.564769 138.622025)
+ (xy 139.533247 138.620042)
+ (xy 139.36926 138.63036)
+ (xy 139.338236 138.636278)
+ (xy 139.181966 138.687053)
+ (xy 139.153388 138.700501)
+ (xy 139.014655 138.788544)
+ (xy 138.990319 138.808676)
+ (xy 138.877839 138.928454)
+ (xy 138.859274 138.954006)
+ (xy 138.780117 139.097993)
+ (xy 138.768491 139.127359)
+ (xy 138.727628 139.286509)
+ (xy 138.723669 139.317844)
+ (xy 138.723669 139.482156)
+ (xy 138.727628 139.513491)
+ (xy 138.768491 139.672641)
+ (xy 138.780117 139.702007)
+ (xy 138.859274 139.845994)
+ (xy 138.877839 139.871546)
+ (xy 138.990319 139.991324)
+ (xy 139.014655 140.011456)
+ (xy 139.153388 140.099499)
+ (xy 139.181966 140.112947)
+ (xy 139.338236 140.163722)
+ (xy 139.36926 140.16964)
+ (xy 139.533247 140.179958)
+ (xy 139.564769 140.177975)
+ (xy 139.726171 140.147186)
+ (xy 139.75621 140.137426)
+ (xy 139.904883 140.067465)
+ (xy 139.931549 140.050542)
+ (xy 140.058154 139.945806)
+ (xy 140.079775 139.922782)
+ (xy 140.095237 139.9015)
+ (xy 141.392273 139.9015)
+ (xy 150.9735 149.482727)
+ (xy 150.973499 150.695406)
+ (xy 150.971744 150.703558)
+ (xy 150.969795 150.744887)
+ (xy 150.973499 150.776181)
+ (xy 150.973499 150.778039)
+ (xy 150.975209 150.798724)
+ (xy 150.978528 150.818665)
+ (xy 150.984482 150.868968)
+ (xy 150.990146 150.888465)
+ (xy 150.990725 150.891943)
+ (xy 150.993572 150.900259)
+ (xy 150.996023 150.908695)
+ (xy 150.997548 150.911872)
+ (xy 151.004126 150.931083)
+ (xy 151.028189 150.975678)
+ (xy 151.050111 151.021332)
+ (xy 151.067235 151.047856)
+ (xy 151.072201 151.053765)
+ (xy 151.079486 151.061708)
+ (xy 151.088815 151.073735)
+ (xy 151.124412 151.106641)
+ (xy 151.38544 151.367669)
+ (xy 151.329686 151.404923)
+ (xy 151.294923 151.439686)
+ (xy 151.239646 151.522414)
+ (xy 151.23 151.545701)
+ (xy 151.220354 151.522414)
+ (xy 151.165077 151.439686)
+ (xy 151.130314 151.404923)
+ (xy 151.047586 151.349646)
+ (xy 151.002166 151.330832)
+ (xy 150.904582 151.311421)
+ (xy 150.88 151.309)
+ (xy 150.739338 151.309)
+ (xy 150.748746 151.299189)
+ (xy 150.765351 151.277626)
+ (xy 150.861627 151.119901)
+ (xy 150.873215 151.095275)
+ (xy 150.933375 150.920556)
+ (xy 150.939405 150.894017)
+ (xy 150.960644 150.710454)
+ (xy 150.961478 150.696415)
+ (xy 150.961499 150.690443)
+ (xy 150.960763 150.676392)
+ (xy 150.940806 150.492686)
+ (xy 150.934962 150.466105)
+ (xy 150.876023 150.29097)
+ (xy 150.864607 150.266264)
+ (xy 150.769434 150.10787)
+ (xy 150.75298 150.086192)
+ (xy 150.626016 149.951931)
+ (xy 150.60529 149.934292)
+ (xy 150.452456 149.830426)
+ (xy 150.428425 149.817649)
+ (xy 150.256853 149.749025)
+ (xy 150.23064 149.741706)
+ (xy 150.048334 149.711526)
+ (xy 150.021161 149.710007)
+ (xy 149.836628 149.719678)
+ (xy 149.809762 149.724029)
+ (xy 149.631609 149.7731)
+ (xy 149.606304 149.783119)
+ (xy 149.442845 149.869301)
+ (xy 149.420282 149.88452)
+ (xy 149.279143 150.003792)
+ (xy 149.260375 150.023501)
+ (xy 149.14814 150.170299)
+ (xy 149.134041 150.193578)
+ (xy 149.117474 150.229106)
+ (xy 149.079775 150.177218)
+ (xy 149.058154 150.154194)
+ (xy 148.931549 150.049458)
+ (xy 148.904883 150.032535)
+ (xy 148.75621 149.962574)
+ (xy 148.726171 149.952814)
+ (xy 148.564769 149.922025)
+ (xy 148.533247 149.920042)
+ (xy 148.36926 149.93036)
+ (xy 148.338236 149.936278)
+ (xy 148.181966 149.987053)
+ (xy 148.153388 150.000501)
+ (xy 148.014655 150.088544)
+ (xy 147.990319 150.108676)
+ (xy 147.905968 150.1985)
+ (xy 147.852595 150.1985)
+ (xy 147.844443 150.196745)
+ (xy 147.803114 150.194796)
+ (xy 147.771819 150.1985)
+ (xy 147.769961 150.1985)
+ (xy 147.749271 150.20021)
+ (xy 147.729331 150.203529)
+ (xy 147.679034 150.209482)
+ (xy 147.659534 150.215147)
+ (xy 147.656057 150.215726)
+ (xy 147.647743 150.218573)
+ (xy 147.639305 150.221024)
+ (xy 147.636128 150.222549)
+ (xy 147.616917 150.229127)
+ (xy 147.572322 150.25319)
+ (xy 147.526668 150.275112)
+ (xy 147.500135 150.292244)
+ (xy 147.494226 150.297211)
+ (xy 147.486295 150.304485)
+ (xy 147.474263 150.313818)
+ (xy 147.441348 150.349426)
+ (xy 147.162585 150.628189)
+ (xy 147.155571 150.632718)
+ (xy 147.124969 150.660565)
+ (xy 147.105454 150.68532)
+ (xy 147.104146 150.686628)
+ (xy 147.090727 150.702464)
+ (xy 147.07898 150.718902)
+ (xy 147.047615 150.758689)
+ (xy 147.03783 150.776486)
+ (xy 147.035786 150.779347)
+ (xy 147.03193 150.787219)
+ (xy 147.027683 150.794943)
+ (xy 147.026512 150.798279)
+ (xy 147.017584 150.816502)
+ (xy 147.003075 150.865018)
+ (xy 146.986283 150.912834)
+ (xy 146.979636 150.94371)
+ (xy 146.97897 150.9514)
+ (xy 146.978505 150.962166)
+ (xy 146.976598 150.977257)
+ (xy 146.9785 151.02567)
+ (xy 146.9785 151.309)
+ (xy 146.58 151.309)
+ (xy 146.555418 151.311421)
+ (xy 146.475519 151.327314)
+ (xy 146.479775 151.322782)
+ (xy 146.576355 151.189851)
+ (xy 146.591571 151.162173)
+ (xy 146.652058 151.009399)
+ (xy 146.659912 150.978808)
+ (xy 146.680506 150.815792)
+ (xy 146.680506 150.784208)
+ (xy 146.659912 150.621192)
+ (xy 146.652058 150.590601)
+ (xy 146.591571 150.437827)
+ (xy 146.576355 150.410149)
+ (xy 146.479775 150.277218)
+ (xy 146.458154 150.254194)
+ (xy 146.331549 150.149458)
+ (xy 146.304883 150.132535)
+ (xy 146.15621 150.062574)
+ (xy 146.126171 150.052814)
+ (xy 145.964769 150.022025)
+ (xy 145.933247 150.020042)
+ (xy 145.76926 150.03036)
+ (xy 145.738236 150.036278)
+ (xy 145.581966 150.087053)
+ (xy 145.553388 150.100501)
+ (xy 145.414655 150.188544)
+ (xy 145.390319 150.208676)
+ (xy 145.305968 150.2985)
+ (xy 145.252595 150.2985)
+ (xy 145.244443 150.296745)
+ (xy 145.203114 150.294796)
+ (xy 145.171819 150.2985)
+ (xy 145.169961 150.2985)
+ (xy 145.149271 150.30021)
+ (xy 145.129331 150.303529)
+ (xy 145.079034 150.309482)
+ (xy 145.059534 150.315147)
+ (xy 145.056057 150.315726)
+ (xy 145.047743 150.318573)
+ (xy 145.039305 150.321024)
+ (xy 145.036128 150.322549)
+ (xy 145.016917 150.329127)
+ (xy 144.972322 150.35319)
+ (xy 144.926668 150.375112)
+ (xy 144.900136 150.392243)
+ (xy 144.894228 150.397209)
+ (xy 144.886292 150.404488)
+ (xy 144.874265 150.413817)
+ (xy 144.841374 150.449398)
+ (xy 144.662578 150.628195)
+ (xy 144.655573 150.632718)
+ (xy 144.62497 150.660564)
+ (xy 144.605454 150.68532)
+ (xy 144.604146 150.686628)
+ (xy 144.590727 150.702464)
+ (xy 144.578983 150.718898)
+ (xy 144.547616 150.758687)
+ (xy 144.537837 150.776476)
+ (xy 144.535789 150.779342)
+ (xy 144.531914 150.787251)
+ (xy 144.527682 150.79495)
+ (xy 144.526518 150.798266)
+ (xy 144.517584 150.816501)
+ (xy 144.503069 150.865037)
+ (xy 144.486284 150.912833)
+ (xy 144.479637 150.943709)
+ (xy 144.478971 150.951399)
+ (xy 144.478506 150.962151)
+ (xy 144.476598 150.977257)
+ (xy 144.478501 151.025694)
+ (xy 144.478501 151.309)
+ (xy 144.08 151.309)
+ (xy 144.055418 151.311421)
+ (xy 143.957834 151.330832)
+ (xy 143.912414 151.349646)
+ (xy 143.829686 151.404923)
+ (xy 143.794923 151.439686)
+ (xy 143.739646 151.522414)
+ (xy 143.720832 151.567834)
+ (xy 143.701421 151.665418)
+ (xy 143.699 151.69)
+ (xy 143.699 153.8235)
+ (xy 143.217406 153.8235)
+ (xy 143.215723 153.821946)
+ (xy 143.199099 153.81177)
+ (xy 143.17349 153.791054)
+ (xy 143.142603 153.761774)
+ (xy 143.118572 153.746629)
+ (xy 143.116894 153.745272)
+ (xy 143.096252 153.731689)
+ (xy 143.08791 153.727306)
+ (xy 143.07995 153.722289)
+ (xy 143.057858 153.711229)
+ (xy 143.055849 153.710459)
+ (xy 143.030694 153.697241)
+ (xy 142.989888 153.685177)
+ (xy 142.962137 153.674541)
+ (xy 142.923721 153.656241)
+ (xy 142.89618 153.649261)
+ (xy 142.89416 153.648487)
+ (xy 142.870345 153.641951)
+ (xy 142.861051 153.640359)
+ (xy 142.851924 153.638046)
+ (xy 142.827502 153.634355)
+ (xy 142.825341 153.634243)
+ (xy 142.797344 153.629447)
+ (xy 142.754815 153.630582)
+ (xy 142.725132 153.629042)
+ (xy 142.682936 153.623509)
+ (xy 142.654592 153.625381)
+ (xy 142.652428 153.625269)
+ (xy 142.627756 153.626413)
+ (xy 142.618427 153.627771)
+ (xy 142.609035 153.628391)
+ (xy 142.584664 153.632428)
+ (xy 142.582584 153.632987)
+ (xy 142.554467 153.637078)
+ (xy 142.51435 153.651307)
+ (xy 142.485666 153.659009)
+ (xy 142.443824 153.666786)
+ (xy 142.41744 153.677328)
+ (xy 142.415358 153.677887)
+ (xy 142.39224 153.686601)
+ (xy 142.383796 153.69077)
+ (xy 142.37505 153.694265)
+ (xy 142.353119 153.705636)
+ (xy 142.351314 153.70681)
+ (xy 142.325834 153.719391)
+ (xy 142.292087 153.745314)
+ (xy 142.267175 153.76151)
+ (xy 142.229786 153.781835)
+ (xy 142.207948 153.800016)
+ (xy 142.206139 153.801192)
+ (xy 142.186849 153.816621)
+ (xy 142.180105 153.823198)
+ (xy 142.17287 153.829221)
+ (xy 142.155526 153.846811)
+ (xy 142.154167 153.848491)
+ (xy 142.133827 153.868326)
+ (xy 142.109743 153.903408)
+ (xy 142.091055 153.92651)
+ (xy 142.061774 153.957397)
+ (xy 142.046629 153.981428)
+ (xy 142.045272 153.983106)
+ (xy 142.031689 154.003748)
+ (xy 142.027306 154.01209)
+ (xy 142.022289 154.02005)
+ (xy 142.011229 154.042142)
+ (xy 142.010459 154.044151)
+ (xy 141.997241 154.069306)
+ (xy 141.985177 154.110112)
+ (xy 141.974541 154.137863)
+ (xy 141.956241 154.176279)
+ (xy 141.949261 154.20382)
+ (xy 141.948487 154.20584)
+ (xy 141.941951 154.229655)
+ (xy 141.940359 154.238949)
+ (xy 141.938046 154.248076)
+ (xy 141.934355 154.272498)
+ (xy 141.934243 154.274659)
+ (xy 141.929447 154.302656)
+ (xy 141.930582 154.345185)
+ (xy 141.929042 154.374868)
+ (xy 141.923509 154.417064)
+ (xy 141.925381 154.445408)
+ (xy 141.925269 154.447572)
+ (xy 141.926413 154.472243)
+ (xy 141.92777 154.481571)
+ (xy 141.928391 154.490965)
+ (xy 141.932429 154.515338)
+ (xy 141.932987 154.517415)
+ (xy 141.937078 154.545532)
+ (xy 141.951308 154.58565)
+ (xy 141.959007 154.614325)
+ (xy 141.966785 154.656174)
+ (xy 141.977331 154.68257)
+ (xy 141.97789 154.684651)
+ (xy 141.986599 154.707755)
+ (xy 141.990767 154.716197)
+ (xy 141.994266 154.724953)
+ (xy 142.005638 154.746885)
+ (xy 142.006817 154.748698)
+ (xy 142.019391 154.774164)
+ (xy 142.045313 154.807911)
+ (xy 142.061511 154.832827)
+ (xy 142.081838 154.870218)
+ (xy 142.100012 154.892045)
+ (xy 142.101186 154.893852)
+ (xy 142.116617 154.913147)
+ (xy 142.123202 154.9199)
+ (xy 142.123501 154.920259)
+ (xy 142.1235 155.082594)
+ (xy 142.121946 155.084277)
+ (xy 142.11177 155.100901)
+ (xy 142.091054 155.12651)
+ (xy 142.061774 155.157397)
+ (xy 142.046629 155.181428)
+ (xy 142.045272 155.183106)
+ (xy 142.031689 155.203748)
+ (xy 142.027306 155.21209)
+ (xy 142.022289 155.22005)
+ (xy 142.011229 155.242142)
+ (xy 142.010459 155.244151)
+ (xy 141.997241 155.269306)
+ (xy 141.985177 155.310112)
+ (xy 141.974541 155.337863)
+ (xy 141.956241 155.376279)
+ (xy 141.949261 155.40382)
+ (xy 141.948487 155.40584)
+ (xy 141.941951 155.429655)
+ (xy 141.940359 155.438949)
+ (xy 141.938046 155.448076)
+ (xy 141.934355 155.472498)
+ (xy 141.934243 155.474659)
+ (xy 141.929447 155.502656)
+ (xy 141.930582 155.545185)
+ (xy 141.929042 155.574868)
+ (xy 141.923509 155.617064)
+ (xy 141.925381 155.645408)
+ (xy 141.925269 155.647572)
+ (xy 141.926413 155.672243)
+ (xy 141.92777 155.681571)
+ (xy 141.928391 155.690965)
+ (xy 141.932429 155.715338)
+ (xy 141.932987 155.717415)
+ (xy 141.937078 155.745532)
+ (xy 141.951308 155.78565)
+ (xy 141.959006 155.81432)
+ (xy 141.966785 155.856174)
+ (xy 141.977331 155.88257)
+ (xy 141.97789 155.884651)
+ (xy 141.986599 155.907755)
+ (xy 141.990767 155.916197)
+ (xy 141.994266 155.924953)
+ (xy 142.005638 155.946885)
+ (xy 142.006817 155.948698)
+ (xy 142.019391 155.974164)
+ (xy 142.045313 156.007911)
+ (xy 142.061511 156.032827)
+ (xy 142.081838 156.070218)
+ (xy 142.100012 156.092045)
+ (xy 142.101186 156.093852)
+ (xy 142.116617 156.113147)
+ (xy 142.123202 156.1199)
+ (xy 142.129225 156.127134)
+ (xy 142.146812 156.144474)
+ (xy 142.148486 156.145828)
+ (xy 142.168324 156.166172)
+ (xy 142.203419 156.190265)
+ (xy 142.22651 156.208944)
+ (xy 142.257395 156.238224)
+ (xy 142.28143 156.253372)
+ (xy 142.283114 156.254734)
+ (xy 142.303745 156.268309)
+ (xy 142.312089 156.272693)
+ (xy 142.320052 156.277712)
+ (xy 142.341601 156.2885)
+ (xy 142.197728 156.2885)
+ (xy 141.4015 155.492273)
+ (xy 141.4015 153.607727)
+ (xy 142.435424 152.573803)
+ (xy 142.533247 152.579958)
+ (xy 142.564769 152.577975)
+ (xy 142.726171 152.547186)
+ (xy 142.75621 152.537426)
+ (xy 142.904883 152.467465)
+ (xy 142.931549 152.450542)
+ (xy 143.058154 152.345806)
+ (xy 143.079775 152.322782)
+ (xy 143.176355 152.189851)
+ (xy 143.191571 152.162173)
+ (xy 143.252058 152.009399)
+ (xy 143.259912 151.978808)
+ (xy 143.280506 151.815792)
+ (xy 143.280506 151.784208)
+ (xy 143.259912 151.621192)
+ (xy 143.252058 151.590601)
+ (xy 143.191571 151.437827)
+ (xy 143.176355 151.410149)
+ (xy 143.079775 151.277218)
+ (xy 143.058154 151.254194)
+ (xy 142.931549 151.149458)
+ (xy 142.904883 151.132535)
+ (xy 142.75621 151.062574)
+ (xy 142.726171 151.052814)
+ (xy 142.564769 151.022025)
+ (xy 142.533247 151.020042)
+ (xy 142.36926 151.03036)
+ (xy 142.338236 151.036278)
+ (xy 142.181966 151.087053)
+ (xy 142.153388 151.100501)
+ (xy 142.014655 151.188544)
+ (xy 141.990319 151.208676)
+ (xy 141.877839 151.328454)
+ (xy 141.859274 151.354006)
+ (xy 141.780117 151.497993)
+ (xy 141.768491 151.527359)
+ (xy 141.727628 151.686509)
+ (xy 141.723669 151.717844)
+ (xy 141.723669 151.867104)
+ (xy 140.582585 153.008189)
+ (xy 140.575571 153.012718)
+ (xy 140.544969 153.040565)
+ (xy 140.525454 153.06532)
+ (xy 140.524146 153.066628)
+ (xy 140.510727 153.082464)
+ (xy 140.49898 153.098902)
+ (xy 140.467615 153.138689)
+ (xy 140.45783 153.156486)
+ (xy 140.455786 153.159347)
+ (xy 140.45193 153.167219)
+ (xy 140.447683 153.174943)
+ (xy 140.446512 153.178279)
+ (xy 140.437584 153.196502)
+ (xy 140.423075 153.245018)
+ (xy 140.406283 153.292834)
+ (xy 140.399636 153.32371)
+ (xy 140.39897 153.3314)
+ (xy 140.398505 153.342166)
+ (xy 140.396598 153.357257)
+ (xy 140.3985 153.40567)
+ (xy 140.3985 153.929531)
+ (xy 140.25621 153.862574)
+ (xy 140.226171 153.852814)
+ (xy 140.064769 153.822025)
+ (xy 140.033247 153.820042)
+ (xy 139.86926 153.83036)
+ (xy 139.838236 153.836278)
+ (xy 139.681966 153.887053)
+ (xy 139.653388 153.900501)
+ (xy 139.514655 153.988544)
+ (xy 139.490319 154.008676)
+ (xy 139.377839 154.128454)
+ (xy 139.359274 154.154006)
+ (xy 139.280117 154.297993)
+ (xy 139.268491 154.327359)
+ (xy 139.227628 154.486509)
+ (xy 139.223669 154.517844)
+ (xy 139.223669 154.682156)
+ (xy 139.227628 154.713491)
+ (xy 139.268491 154.872641)
+ (xy 139.280117 154.902007)
+ (xy 139.359274 155.045994)
+ (xy 139.377839 155.071546)
+ (xy 139.490319 155.191324)
+ (xy 139.498501 155.198093)
+ (xy 139.4985 155.947405)
+ (xy 139.496745 155.955557)
+ (xy 139.494796 155.996886)
+ (xy 139.4985 156.02818)
+ (xy 139.4985 156.030038)
+ (xy 139.50021 156.050723)
+ (xy 139.503529 156.070664)
+ (xy 139.509483 156.120967)
+ (xy 139.515147 156.140464)
+ (xy 139.515726 156.143942)
+ (xy 139.518573 156.152258)
+ (xy 139.521024 156.160694)
+ (xy 139.522549 156.163871)
+ (xy 139.529127 156.183082)
+ (xy 139.55319 156.227677)
+ (xy 139.575112 156.273331)
+ (xy 139.592236 156.299855)
+ (xy 139.597202 156.305764)
+ (xy 139.604487 156.313707)
+ (xy 139.613816 156.325734)
+ (xy 139.649413 156.35864)
+ (xy 142.433106 159.142333)
+ (xy 141.495261 159.018864)
+ (xy 140.055845 158.793457)
+ (xy 138.622325 158.532943)
+ (xy 137.195662 158.237495)
+ (xy 135.776627 157.907275)
+ (xy 134.366025 157.542469)
+ (xy 132.96485 157.143333)
+ (xy 131.573759 156.710057)
+ (xy 130.193757 156.242952)
+ (xy 128.825517 155.742247)
+ (xy 127.469906 155.208258)
+ (xy 126.127808 154.641334)
+ (xy 124.799911 154.041765)
+ (xy 123.487078 153.409941)
+ (xy 122.190058 152.746223)
+ (xy 120.909656 152.051021)
+ (xy 119.646635 151.324751)
+ (xy 118.4017 150.567819)
+ (xy 117.175701 149.780742)
+ (xy 115.969212 148.963887)
+ (xy 114.78305 148.117806)
+ (xy 113.617972 147.243041)
+ (xy 112.474571 146.340037)
+ (xy 111.353586 145.409378)
+ (xy 111.077238 145.168304)
+ (xy 137.622887 145.168304)
+ (xy 137.627173 145.202234)
+ (xy 137.666198 145.347876)
+ (xy 137.679451 145.379404)
+ (xy 137.756204 145.509187)
+ (xy 137.777448 145.53599)
+ (xy 137.886275 145.640351)
+ (xy 137.913944 145.660453)
+ (xy 138.046827 145.731704)
+ (xy 138.078882 145.743625)
+ (xy 138.226031 145.776517)
+ (xy 138.260111 145.779379)
+ (xy 138.410684 145.771488)
+ (xy 138.444279 145.76508)
+ (xy 138.587184 145.716987)
+ (xy 138.617818 145.70178)
+ (xy 138.742525 145.617029)
+ (xy 138.759771 145.6015)
+ (xy 140.2985 145.6015)
+ (xy 140.298501 145.786759)
+ (xy 140.2985 146.142306)
+ (xy 140.286693 146.153163)
+ (xy 140.264894 146.179514)
+ (xy 140.185439 146.307661)
+ (xy 140.171528 146.338905)
+ (xy 140.129462 146.483698)
+ (xy 140.124466 146.517532)
+ (xy 140.122887 146.668304)
+ (xy 140.127173 146.702234)
+ (xy 140.166198 146.847876)
+ (xy 140.179451 146.879404)
+ (xy 140.256204 147.009187)
+ (xy 140.277448 147.03599)
+ (xy 140.386275 147.140351)
+ (xy 140.413944 147.160453)
+ (xy 140.546827 147.231704)
+ (xy 140.578882 147.243625)
+ (xy 140.726031 147.276517)
+ (xy 140.760111 147.279379)
+ (xy 140.910684 147.271488)
+ (xy 140.944279 147.26508)
+ (xy 141.087184 147.216987)
+ (xy 141.117818 147.20178)
+ (xy 141.242525 147.117029)
+ (xy 141.26794 147.094145)
+ (xy 141.365262 146.97898)
+ (xy 141.383588 146.950103)
+ (xy 141.446355 146.813008)
+ (xy 141.45624 146.780268)
+ (xy 141.479827 146.631345)
+ (xy 141.481371 146.612955)
+ (xy 141.481493 146.601321)
+ (xy 141.480334 146.5829)
+ (xy 141.459871 146.433515)
+ (xy 141.450674 146.400574)
+ (xy 141.390792 146.262195)
+ (xy 141.373075 146.232942)
+ (xy 141.3015 146.144554)
+ (xy 141.3015 145.345823)
+ (xy 141.387184 145.316987)
+ (xy 141.417818 145.30178)
+ (xy 141.542525 145.217029)
+ (xy 141.56794 145.194145)
+ (xy 141.665262 145.07898)
+ (xy 141.683588 145.050103)
+ (xy 141.746355 144.913008)
+ (xy 141.75624 144.880268)
+ (xy 141.779827 144.731345)
+ (xy 141.781371 144.712955)
+ (xy 141.781493 144.701321)
+ (xy 141.780334 144.6829)
+ (xy 141.759871 144.533515)
+ (xy 141.750674 144.500574)
+ (xy 141.690792 144.362195)
+ (xy 141.673075 144.332942)
+ (xy 141.578186 144.215764)
+ (xy 141.553255 144.192352)
+ (xy 141.43035 144.105008)
+ (xy 141.400042 144.089163)
+ (xy 141.258176 144.038088)
+ (xy 141.224723 144.030977)
+ (xy 141.074348 144.019934)
+ (xy 141.040215 144.022082)
+ (xy 140.89241 144.051885)
+ (xy 140.860112 144.063132)
+ (xy 140.725766 144.131585)
+ (xy 140.697683 144.151104)
+ (xy 140.586694 144.253163)
+ (xy 140.564894 144.279514)
+ (xy 140.485439 144.407661)
+ (xy 140.471528 144.438905)
+ (xy 140.429462 144.583698)
+ (xy 140.427276 144.5985)
+ (xy 138.759802 144.5985)
+ (xy 138.753255 144.592352)
+ (xy 138.63035 144.505008)
+ (xy 138.600042 144.489163)
+ (xy 138.458176 144.438088)
+ (xy 138.424723 144.430977)
+ (xy 138.274348 144.419934)
+ (xy 138.240215 144.422082)
+ (xy 138.09241 144.451885)
+ (xy 138.060112 144.463132)
+ (xy 137.925766 144.531585)
+ (xy 137.897683 144.551104)
+ (xy 137.786694 144.653163)
+ (xy 137.764894 144.679514)
+ (xy 137.685439 144.807661)
+ (xy 137.671528 144.838905)
+ (xy 137.629462 144.983698)
+ (xy 137.624466 145.017532)
+ (xy 137.622887 145.168304)
+ (xy 111.077238 145.168304)
+ (xy 110.255674 144.451608)
+ (xy 109.181494 143.467303)
+ (xy 108.131686 142.45705)
+ (xy 107.106833 141.421409)
+ (xy 106.107648 140.361099)
+ (xy 105.134626 139.276649)
+ (xy 104.188424 138.168789)
+ (xy 103.269531 137.038092)
+ (xy 102.378585 135.885342)
+ (xy 101.516016 134.711095)
+ (xy 100.682448 133.516204)
+ (xy 99.878266 132.301218)
+ (xy 99.104052 131.067014)
+ (xy 98.360226 129.81427)
+ (xy 97.647188 128.543651)
+ (xy 96.965458 127.256087)
+ (xy 96.54366 126.410091)
+ (xy 111.713247 126.410091)
+ (xy 111.719238 126.534816)
+ (xy 111.72573 126.669987)
+ (xy 111.728006 126.688524)
+ (xy 111.778768 126.943719)
+ (xy 111.783759 126.961714)
+ (xy 111.871683 127.206603)
+ (xy 111.879279 127.223664)
+ (xy 112.002434 127.452867)
+ (xy 112.012468 127.468618)
+ (xy 112.168149 127.677101)
+ (xy 112.180401 127.691195)
+ (xy 112.365188 127.874376)
+ (xy 112.379389 127.886505)
+ (xy 112.589222 128.04036)
+ (xy 112.605059 128.050256)
+ (xy 112.835328 128.171407)
+ (xy 112.852455 128.178854)
+ (xy 113.098102 128.264638)
+ (xy 113.116141 128.269472)
+ (xy 113.37177 128.318004)
+ (xy 113.390325 128.320118)
+ (xy 113.650319 128.330334)
+ (xy 113.668983 128.329682)
+ (xy 113.927631 128.301355)
+ (xy 113.945994 128.297952)
+ (xy 114.197615 128.231706)
+ (xy 114.215273 128.225626)
+ (xy 114.454338 128.122916)
+ (xy 114.470903 128.114292)
+ (xy 114.69216 127.977373)
+ (xy 114.707269 127.966396)
+ (xy 114.905858 127.798278)
+ (xy 114.919178 127.785189)
+ (xy 115.090737 127.589564)
+ (xy 115.101976 127.574649)
+ (xy 115.242734 127.355815)
+ (xy 115.251645 127.339403)
+ (xy 115.358512 127.102167)
+ (xy 115.364899 127.084618)
+ (xy 115.435527 126.834192)
+ (xy 115.439251 126.815891)
+ (xy 115.472087 126.557777)
+ (xy 115.473051 126.545174)
+ (xy 115.475457 126.453298)
+ (xy 115.475154 126.440662)
+ (xy 115.455871 126.181183)
+ (xy 115.45311 126.162713)
+ (xy 115.395686 125.908933)
+ (xy 115.390226 125.891073)
+ (xy 115.295921 125.64857)
+ (xy 115.287881 125.631715)
+ (xy 115.158768 125.405814)
+ (xy 115.148325 125.390331)
+ (xy 114.98724 125.185995)
+ (xy 114.974623 125.172226)
+ (xy 114.785105 124.993945)
+ (xy 114.770592 124.982192)
+ (xy 114.556804 124.833882)
+ (xy 114.540712 124.824404)
+ (xy 114.30735 124.709323)
+ (xy 114.290035 124.702327)
+ (xy 114.042226 124.623003)
+ (xy 114.024067 124.618644)
+ (xy 113.767256 124.576819)
+ (xy 113.748651 124.575191)
+ (xy 113.488478 124.571785)
+ (xy 113.469838 124.572925)
+ (xy 113.21202 124.608013)
+ (xy 113.193753 124.611896)
+ (xy 112.943953 124.684706)
+ (xy 112.92646 124.691246)
+ (xy 112.690166 124.800179)
+ (xy 112.673832 124.809233)
+ (xy 112.456234 124.951896)
+ (xy 112.441418 124.963265)
+ (xy 112.247298 125.136524)
+ (xy 112.234325 125.149958)
+ (xy 112.067946 125.350007)
+ (xy 112.057101 125.365211)
+ (xy 111.922119 125.587655)
+ (xy 111.913641 125.604295)
+ (xy 111.813021 125.844247)
+ (xy 111.807095 125.861957)
+ (xy 111.743047 126.114146)
+ (xy 111.739804 126.132537)
+ (xy 111.713736 126.391422)
+ (xy 111.713247 126.410091)
+ (xy 96.54366 126.410091)
+ (xy 96.31535 125.952173)
+ (xy 95.697309 124.632796)
+ (xy 95.111677 123.298689)
+ (xy 94.558838 121.950728)
+ (xy 94.039079 120.589612)
+ (xy 93.552729 119.216204)
+ (xy 93.100093 117.831362)
+ (xy 92.681411 116.435821)
+ (xy 92.296962 115.030516)
+ (xy 92.111472 114.280984)
+ (xy 131.542612 114.280984)
+ (xy 131.558922 114.332007)
+ (xy 131.858922 114.851622)
+ (xy 131.87331 114.8717)
+ (xy 131.938913 114.946505)
+ (xy 131.977915 114.976433)
+ (xy 132.06715 115.020439)
+ (xy 132.114639 115.033163)
+ (xy 132.213922 115.03967)
+ (xy 132.262662 115.033254)
+ (xy 132.356878 115.001273)
+ (xy 132.379378 114.991078)
+ (xy 132.898994 114.691078)
+ (xy 132.947987 114.639696)
+ (xy 132.961423 114.569982)
+ (xy 132.945113 114.518959)
+ (xy 132.517613 113.778506)
+ (xy 132.466231 113.729513)
+ (xy 132.396517 113.716077)
+ (xy 132.345494 113.732387)
+ (xy 131.605041 114.159888)
+ (xy 131.556048 114.21127)
+ (xy 131.542612 114.280984)
+ (xy 92.111472 114.280984)
+ (xy 91.946957 113.616211)
+ (xy 91.857773 113.213922)
+ (xy 130.96033 113.213922)
+ (xy 130.966746 113.262662)
+ (xy 130.998727 113.356878)
+ (xy 131.008922 113.379378)
+ (xy 131.308922 113.898994)
+ (xy 131.360304 113.947987)
+ (xy 131.430018 113.961423)
+ (xy 131.481041 113.945113)
+ (xy 132.072762 113.603483)
+ (xy 132.716077 113.603483)
+ (xy 132.732387 113.654506)
+ (xy 133.159888 114.394959)
+ (xy 133.21127 114.443952)
+ (xy 133.280984 114.457388)
+ (xy 133.332007 114.441078)
+ (xy 133.851622 114.141078)
+ (xy 133.8717 114.12669)
+ (xy 133.946505 114.061087)
+ (xy 133.976433 114.022085)
+ (xy 134.020439 113.93285)
+ (xy 134.033163 113.885361)
+ (xy 134.03967 113.786078)
+ (xy 134.033254 113.737338)
+ (xy 134.001273 113.643122)
+ (xy 133.991078 113.620622)
+ (xy 133.691078 113.101006)
+ (xy 133.639696 113.052013)
+ (xy 133.569982 113.038577)
+ (xy 133.518959 113.054887)
+ (xy 132.778506 113.482387)
+ (xy 132.729513 113.533769)
+ (xy 132.716077 113.603483)
+ (xy 132.072762 113.603483)
+ (xy 132.221494 113.517613)
+ (xy 132.270487 113.466231)
+ (xy 132.283923 113.396517)
+ (xy 132.267613 113.345494)
+ (xy 131.840112 112.605041)
+ (xy 131.78873 112.556048)
+ (xy 131.719016 112.542612)
+ (xy 131.667993 112.558922)
+ (xy 131.148378 112.858922)
+ (xy 131.1283 112.87331)
+ (xy 131.053495 112.938913)
+ (xy 131.023567 112.977915)
+ (xy 130.979561 113.06715)
+ (xy 130.966837 113.114639)
+ (xy 130.96033 113.213922)
+ (xy 91.857773 113.213922)
+ (xy 91.63162 112.193812)
+ (xy 91.47628 111.402034)
+ (xy 130.003724 111.402034)
+ (xy 130.005935 111.425866)
+ (xy 130.045086 111.632082)
+ (xy 130.051764 111.655066)
+ (xy 130.129204 111.850158)
+ (xy 130.140107 111.871464)
+ (xy 130.25304 112.048393)
+ (xy 130.267775 112.067254)
+ (xy 130.412127 112.219636)
+ (xy 130.430163 112.23537)
+ (xy 130.600726 112.357706)
+ (xy 130.621412 112.369745)
+ (xy 130.812031 112.457621)
+ (xy 130.83462 112.465532)
+ (xy 131.038416 112.515778)
+ (xy 131.062094 112.519274)
+ (xy 131.271715 112.530076)
+ (xy 131.295626 112.529032)
+ (xy 131.503508 112.500001)
+ (xy 131.52679 112.494454)
+ (xy 131.715531 112.430018)
+ (xy 132.038577 112.430018)
+ (xy 132.054887 112.481041)
+ (xy 132.482387 113.221494)
+ (xy 132.533769 113.270487)
+ (xy 132.603483 113.283923)
+ (xy 132.654506 113.267613)
+ (xy 133.394959 112.840112)
+ (xy 133.443952 112.78873)
+ (xy 133.457388 112.719016)
+ (xy 133.441078 112.667993)
+ (xy 133.141078 112.148378)
+ (xy 133.12669 112.1283)
+ (xy 133.061087 112.053495)
+ (xy 133.022085 112.023567)
+ (xy 132.93285 111.979561)
+ (xy 132.885361 111.966837)
+ (xy 132.786078 111.96033)
+ (xy 132.737338 111.966746)
+ (xy 132.643122 111.998727)
+ (xy 132.620622 112.008922)
+ (xy 132.101006 112.308922)
+ (xy 132.052013 112.360304)
+ (xy 132.038577 112.430018)
+ (xy 131.715531 112.430018)
+ (xy 131.725432 112.426638)
+ (xy 131.747246 112.416789)
+ (xy 131.929481 112.312633)
+ (xy 131.949039 112.298837)
+ (xy 132.10829 112.162101)
+ (xy 132.124886 112.144855)
+ (xy 132.255408 111.980472)
+ (xy 132.268444 111.960399)
+ (xy 132.365527 111.774301)
+ (xy 132.374531 111.752125)
+ (xy 132.434672 111.551026)
+ (xy 132.439321 111.527548)
+ (xy 132.460351 111.318705)
+ (xy 132.460985 111.306408)
+ (xy 132.461 111.300622)
+ (xy 132.46043 111.288328)
+ (xy 132.440494 111.079378)
+ (xy 132.435969 111.055876)
+ (xy 132.376882 110.854465)
+ (xy 132.367993 110.832242)
+ (xy 132.271885 110.645637)
+ (xy 132.258955 110.625496)
+ (xy 132.129296 110.460432)
+ (xy 132.11279 110.4431)
+ (xy 131.954257 110.305532)
+ (xy 131.934772 110.291633)
+ (xy 131.753085 110.186525)
+ (xy 131.731323 110.176561)
+ (xy 131.533039 110.107705)
+ (xy 131.509786 110.102037)
+ (xy 131.302059 110.071918)
+ (xy 131.278153 110.070749)
+ (xy 131.068478 110.080454)
+ (xy 131.044783 110.083826)
+ (xy 130.840726 110.133004)
+ (xy 130.818096 110.140796)
+ (xy 130.62702 110.227673)
+ (xy 130.606271 110.239604)
+ (xy 130.43507 110.361045)
+ (xy 130.416952 110.376684)
+ (xy 130.271804 110.528308)
+ (xy 130.25697 110.547091)
+ (xy 130.143112 110.723426)
+ (xy 130.132098 110.744675)
+ (xy 130.053638 110.939359)
+ (xy 130.04684 110.962308)
+ (xy 130.00661 111.168316)
+ (xy 130.004274 111.192136)
+ (xy 130.003724 111.402034)
+ (xy 91.47628 111.402034)
+ (xy 91.35112 110.764094)
+ (xy 91.105631 109.327925)
+ (xy 91.087309 109.20233)
+ (xy 128.733724 109.20233)
+ (xy 128.735935 109.226162)
+ (xy 128.775086 109.432378)
+ (xy 128.781764 109.455362)
+ (xy 128.859204 109.650454)
+ (xy 128.870107 109.67176)
+ (xy 128.98304 109.848689)
+ (xy 128.997775 109.86755)
+ (xy 129.142127 110.019932)
+ (xy 129.160163 110.035666)
+ (xy 129.330726 110.158002)
+ (xy 129.351412 110.170041)
+ (xy 129.542031 110.257917)
+ (xy 129.56462 110.265828)
+ (xy 129.768416 110.316074)
+ (xy 129.792094 110.31957)
+ (xy 130.001715 110.330372)
+ (xy 130.025626 110.329328)
+ (xy 130.233508 110.300297)
+ (xy 130.25679 110.29475)
+ (xy 130.455432 110.226934)
+ (xy 130.477246 110.217085)
+ (xy 130.659481 110.112929)
+ (xy 130.679039 110.099133)
+ (xy 130.83829 109.962397)
+ (xy 130.854886 109.945151)
+ (xy 130.985408 109.780768)
+ (xy 130.998444 109.760695)
+ (xy 131.095527 109.574597)
+ (xy 131.104531 109.552421)
+ (xy 131.164672 109.351322)
+ (xy 131.169321 109.327844)
+ (xy 131.190351 109.119001)
+ (xy 131.190985 109.106704)
+ (xy 131.191 109.100918)
+ (xy 131.19043 109.088624)
+ (xy 131.170494 108.879674)
+ (xy 131.165969 108.856172)
+ (xy 131.106882 108.654761)
+ (xy 131.097993 108.632538)
+ (xy 131.001885 108.445933)
+ (xy 130.988955 108.425792)
+ (xy 130.859296 108.260728)
+ (xy 130.84279 108.243396)
+ (xy 130.684257 108.105828)
+ (xy 130.664772 108.091929)
+ (xy 130.483085 107.986821)
+ (xy 130.461323 107.976857)
+ (xy 130.263039 107.908001)
+ (xy 130.239786 107.902333)
+ (xy 130.032059 107.872214)
+ (xy 130.008153 107.871045)
+ (xy 129.798478 107.88075)
+ (xy 129.774783 107.884122)
+ (xy 129.570726 107.9333)
+ (xy 129.548096 107.941092)
+ (xy 129.35702 108.027969)
+ (xy 129.336271 108.0399)
+ (xy 129.16507 108.161341)
+ (xy 129.146952 108.17698)
+ (xy 129.001804 108.328604)
+ (xy 128.98697 108.347387)
+ (xy 128.873112 108.523722)
+ (xy 128.862098 108.544971)
+ (xy 128.783638 108.739655)
+ (xy 128.77684 108.762604)
+ (xy 128.73661 108.968612)
+ (xy 128.734274 108.992432)
+ (xy 128.733724 109.20233)
+ (xy 91.087309 109.20233)
+ (xy 90.895312 107.88624)
+ (xy 90.788383 107.002625)
+ (xy 127.463724 107.002625)
+ (xy 127.465935 107.026457)
+ (xy 127.505086 107.232673)
+ (xy 127.511764 107.255657)
+ (xy 127.589204 107.450749)
+ (xy 127.600107 107.472055)
+ (xy 127.71304 107.648984)
+ (xy 127.727775 107.667845)
+ (xy 127.872127 107.820227)
+ (xy 127.890163 107.835961)
+ (xy 128.060726 107.958297)
+ (xy 128.081412 107.970336)
+ (xy 128.272031 108.058212)
+ (xy 128.29462 108.066123)
+ (xy 128.498416 108.116369)
+ (xy 128.522094 108.119865)
+ (xy 128.731715 108.130667)
+ (xy 128.755626 108.129623)
+ (xy 128.963508 108.100592)
+ (xy 128.98679 108.095045)
+ (xy 129.185432 108.027229)
+ (xy 129.207246 108.01738)
+ (xy 129.389481 107.913224)
+ (xy 129.409039 107.899428)
+ (xy 129.56829 107.762692)
+ (xy 129.584886 107.745446)
+ (xy 129.715408 107.581063)
+ (xy 129.728444 107.56099)
+ (xy 129.825527 107.374892)
+ (xy 129.834531 107.352716)
+ (xy 129.894672 107.151617)
+ (xy 129.899321 107.128139)
+ (xy 129.920351 106.919296)
+ (xy 129.920985 106.906999)
+ (xy 129.921 106.901213)
+ (xy 129.92043 106.888919)
+ (xy 129.900494 106.679969)
+ (xy 129.895969 106.656467)
+ (xy 129.836882 106.455056)
+ (xy 129.827993 106.432833)
+ (xy 129.731885 106.246228)
+ (xy 129.718955 106.226087)
+ (xy 129.589296 106.061023)
+ (xy 129.57279 106.043691)
+ (xy 129.414257 105.906123)
+ (xy 129.394772 105.892224)
+ (xy 129.213085 105.787116)
+ (xy 129.191323 105.777152)
+ (xy 128.993039 105.708296)
+ (xy 128.969786 105.702628)
+ (xy 128.762059 105.672509)
+ (xy 128.738153 105.67134)
+ (xy 128.528478 105.681045)
+ (xy 128.504783 105.684417)
+ (xy 128.300726 105.733595)
+ (xy 128.278096 105.741387)
+ (xy 128.08702 105.828264)
+ (xy 128.066271 105.840195)
+ (xy 127.89507 105.961636)
+ (xy 127.876952 105.977275)
+ (xy 127.731804 106.128899)
+ (xy 127.71697 106.147682)
+ (xy 127.603112 106.324017)
+ (xy 127.592098 106.345266)
+ (xy 127.513638 106.53995)
+ (xy 127.50684 106.562899)
+ (xy 127.46661 106.768907)
+ (xy 127.464274 106.792727)
+ (xy 127.463724 107.002625)
+ (xy 90.788383 107.002625)
+ (xy 90.720281 106.439862)
+ (xy 90.580636 104.989599)
+ (xy 90.476464 103.536324)
+ (xy 90.407835 102.081028)
+ (xy 90.374779 100.624406)
+ (xy 90.377322 99.167455)
+ (xy 90.41546 97.711002)
+ (xy 90.489175 96.255867)
+ (xy 90.598413 94.803047)
+ (xy 90.743118 93.353286)
+ (xy 90.923203 91.907477)
+ (xy 91.138553 90.466535)
+ (xy 91.389054 89.031231)
+ (xy 91.674533 87.602549)
+ (xy 91.99484 86.181233)
+ (xy 92.349785 84.768137)
+ (xy 92.739128 83.364211)
+ (xy 93.162679 81.970141)
+ (xy 93.620163 80.586838)
+ (xy 94.111285 79.215186)
+ (xy 94.269863 78.804223)
+ (xy 94.269863 78.921855)
+ (xy 94.273822 78.95319)
+ (xy 94.314685 79.11234)
+ (xy 94.326311 79.141706)
+ (xy 94.405468 79.285693)
+ (xy 94.424033 79.311245)
+ (xy 94.536513 79.431023)
+ (xy 94.560849 79.451155)
+ (xy 94.699582 79.539198)
+ (xy 94.72816 79.552646)
+ (xy 94.88443 79.603421)
+ (xy 94.915454 79.609339)
+ (xy 95.079441 79.619657)
+ (xy 95.110963 79.617674)
+ (xy 95.272365 79.586885)
+ (xy 95.302404 79.577125)
+ (xy 95.451077 79.507164)
+ (xy 95.477743 79.490241)
+ (xy 95.604348 79.385505)
+ (xy 95.625969 79.362481)
+ (xy 95.722549 79.22955)
+ (xy 95.737765 79.201872)
+ (xy 95.777505 79.1015)
+ (xy 96.020407 79.1015)
+ (xy 96.028559 79.103255)
+ (xy 96.069888 79.105204)
+ (xy 96.101182 79.1015)
+ (xy 96.103041 79.1015)
+ (xy 96.12373 79.09979)
+ (xy 96.143666 79.096472)
+ (xy 96.193968 79.090518)
+ (xy 96.213466 79.084853)
+ (xy 96.21694 79.084275)
+ (xy 96.225257 79.081428)
+ (xy 96.233702 79.078974)
+ (xy 96.236878 79.077449)
+ (xy 96.256085 79.070873)
+ (xy 96.300688 79.046806)
+ (xy 96.346333 79.024888)
+ (xy 96.372857 79.007764)
+ (xy 96.378766 79.002798)
+ (xy 96.386706 78.995515)
+ (xy 96.398738 78.986183)
+ (xy 96.431651 78.950578)
+ (xy 98.10039 77.281839)
+ (xy 98.212104 77.346337)
+ (xy 97.95871 77.785203)
+ (xy 97.956555 77.785872)
+ (xy 97.939427 77.795172)
+ (xy 97.908573 77.807016)
+ (xy 97.867767 77.819103)
+ (xy 97.842619 77.832334)
+ (xy 97.840605 77.833107)
+ (xy 97.818527 77.844175)
+ (xy 97.810567 77.849198)
+ (xy 97.802222 77.853588)
+ (xy 97.781595 77.867177)
+ (xy 97.779915 77.868538)
+ (xy 97.75589 77.883696)
+ (xy 97.72502 77.912991)
+ (xy 97.701848 77.931755)
+ (xy 97.666773 77.955862)
+ (xy 97.646946 77.976215)
+ (xy 97.645265 77.977576)
+ (xy 97.627688 77.994925)
+ (xy 97.621667 78.002165)
+ (xy 97.615093 78.008913)
+ (xy 97.599673 78.028211)
+ (xy 97.598491 78.030031)
+ (xy 97.580331 78.051866)
+ (xy 97.560025 78.089263)
+ (xy 97.543782 78.114275)
+ (xy 97.517875 78.148038)
+ (xy 97.505308 78.173522)
+ (xy 97.504132 78.175332)
+ (xy 97.492775 78.197264)
+ (xy 97.48928 78.206024)
+ (xy 97.485118 78.214464)
+ (xy 97.476416 78.237584)
+ (xy 97.475857 78.239671)
+ (xy 97.465331 78.266054)
+ (xy 97.457577 78.307891)
+ (xy 97.449855 78.336709)
+ (xy 97.435651 78.376822)
+ (xy 97.431575 78.404932)
+ (xy 97.431016 78.407019)
+ (xy 97.426991 78.431395)
+ (xy 97.426375 78.440791)
+ (xy 97.425023 78.450116)
+ (xy 97.423892 78.474792)
+ (xy 97.424005 78.476948)
+ (xy 97.422147 78.505297)
+ (xy 97.427702 78.547497)
+ (xy 97.429263 78.577272)
+ (xy 97.428149 78.619815)
+ (xy 97.43296 78.647813)
+ (xy 97.433073 78.64997)
+ (xy 97.436778 78.674397)
+ (xy 97.439095 78.683519)
+ (xy 97.44069 78.692803)
+ (xy 97.44724 78.716625)
+ (xy 97.448016 78.718646)
+ (xy 97.455008 78.746177)
+ (xy 97.473329 78.784588)
+ (xy 97.484019 78.812434)
+ (xy 97.496103 78.853231)
+ (xy 97.509331 78.878375)
+ (xy 97.510108 78.880398)
+ (xy 97.521175 78.902473)
+ (xy 97.526198 78.910433)
+ (xy 97.530588 78.918778)
+ (xy 97.54418 78.939408)
+ (xy 97.545538 78.941085)
+ (xy 97.560696 78.965109)
+ (xy 97.589987 78.995977)
+ (xy 97.608756 79.019154)
+ (xy 97.632863 79.054229)
+ (xy 97.65321 79.07405)
+ (xy 97.654569 79.075728)
+ (xy 97.671924 79.093312)
+ (xy 97.679171 79.099339)
+ (xy 97.685914 79.105908)
+ (xy 97.705212 79.121328)
+ (xy 97.707027 79.122507)
+ (xy 97.728866 79.14067)
+ (xy 97.76627 79.160978)
+ (xy 97.791262 79.177209)
+ (xy 97.825037 79.203124)
+ (xy 97.850512 79.215687)
+ (xy 97.852332 79.216869)
+ (xy 97.874267 79.228226)
+ (xy 97.883007 79.231713)
+ (xy 97.891459 79.235881)
+ (xy 97.914574 79.244581)
+ (xy 97.91667 79.245143)
+ (xy 97.943055 79.255669)
+ (xy 97.984897 79.263424)
+ (xy 98.013704 79.271143)
+ (xy 98.053821 79.285349)
+ (xy 98.081931 79.289425)
+ (xy 98.084021 79.289985)
+ (xy 98.108395 79.294009)
+ (xy 98.117789 79.294625)
+ (xy 98.127115 79.295977)
+ (xy 98.151793 79.297108)
+ (xy 98.153949 79.296995)
+ (xy 98.182297 79.298853)
+ (xy 98.224497 79.293298)
+ (xy 98.254272 79.291737)
+ (xy 98.296815 79.292851)
+ (xy 98.324813 79.28804)
+ (xy 98.32697 79.287927)
+ (xy 98.351397 79.284222)
+ (xy 98.360519 79.281905)
+ (xy 98.362107 79.281632)
+ (xy 98.501134 79.362071)
+ (xy 98.501871 79.364443)
+ (xy 98.511173 79.381574)
+ (xy 98.523017 79.412428)
+ (xy 98.535103 79.453231)
+ (xy 98.548331 79.478375)
+ (xy 98.549108 79.480398)
+ (xy 98.560175 79.502473)
+ (xy 98.565198 79.510433)
+ (xy 98.569588 79.518778)
+ (xy 98.58318 79.539408)
+ (xy 98.584538 79.541085)
+ (xy 98.599696 79.565109)
+ (xy 98.628987 79.595977)
+ (xy 98.647756 79.619154)
+ (xy 98.671863 79.654229)
+ (xy 98.69221 79.67405)
+ (xy 98.693569 79.675728)
+ (xy 98.710924 79.693312)
+ (xy 98.718171 79.699339)
+ (xy 98.724914 79.705908)
+ (xy 98.744212 79.721328)
+ (xy 98.746027 79.722507)
+ (xy 98.767866 79.74067)
+ (xy 98.80527 79.760978)
+ (xy 98.830262 79.777209)
+ (xy 98.864037 79.803124)
+ (xy 98.889512 79.815687)
+ (xy 98.891332 79.816869)
+ (xy 98.913267 79.828226)
+ (xy 98.922007 79.831713)
+ (xy 98.930459 79.835881)
+ (xy 98.953574 79.844581)
+ (xy 98.95567 79.845143)
+ (xy 98.982055 79.855669)
+ (xy 99.023897 79.863424)
+ (xy 99.052704 79.871143)
+ (xy 99.092821 79.885349)
+ (xy 99.120931 79.889425)
+ (xy 99.123021 79.889985)
+ (xy 99.147395 79.894009)
+ (xy 99.156789 79.894625)
+ (xy 99.166115 79.895977)
+ (xy 99.190793 79.897108)
+ (xy 99.192949 79.896995)
+ (xy 99.221297 79.898853)
+ (xy 99.263497 79.893298)
+ (xy 99.293272 79.891737)
+ (xy 99.335815 79.892851)
+ (xy 99.363813 79.88804)
+ (xy 99.36597 79.887927)
+ (xy 99.390397 79.884222)
+ (xy 99.399519 79.881905)
+ (xy 99.408803 79.88031)
+ (xy 99.432625 79.87376)
+ (xy 99.434646 79.872984)
+ (xy 99.462177 79.865992)
+ (xy 99.500588 79.847671)
+ (xy 99.528434 79.836981)
+ (xy 99.569231 79.824897)
+ (xy 99.594375 79.811669)
+ (xy 99.596398 79.810892)
+ (xy 99.618473 79.799825)
+ (xy 99.626433 79.794802)
+ (xy 99.634778 79.790412)
+ (xy 99.655408 79.77682)
+ (xy 99.657085 79.775462)
+ (xy 99.681109 79.760304)
+ (xy 99.711977 79.731013)
+ (xy 99.735154 79.712244)
+ (xy 99.770229 79.688137)
+ (xy 99.79005 79.66779)
+ (xy 99.791728 79.666431)
+ (xy 99.809312 79.649076)
+ (xy 99.815339 79.641829)
+ (xy 99.821908 79.635086)
+ (xy 99.837328 79.615788)
+ (xy 99.838507 79.613973)
+ (xy 99.85667 79.592134)
+ (xy 99.876978 79.55473)
+ (xy 99.893209 79.529738)
+ (xy 99.919124 79.495963)
+ (xy 99.931687 79.470488)
+ (xy 99.932869 79.468668)
+ (xy 99.944226 79.446733)
+ (xy 99.947713 79.437993)
+ (xy 99.951881 79.429541)
+ (xy 99.960581 79.406426)
+ (xy 99.961143 79.40433)
+ (xy 99.971669 79.377945)
+ (xy 99.979424 79.336103)
+ (xy 99.987143 79.307296)
+ (xy 100.001349 79.267179)
+ (xy 100.005425 79.239069)
+ (xy 100.005985 79.236979)
+ (xy 100.010009 79.212605)
+ (xy 100.010625 79.203212)
+ (xy 100.011977 79.193886)
+ (xy 100.013108 79.169209)
+ (xy 100.012995 79.167051)
+ (xy 100.014853 79.138703)
+ (xy 100.009298 79.096503)
+ (xy 100.007737 79.066727)
+ (xy 100.008851 79.024182)
+ (xy 100.004041 78.996194)
+ (xy 100.003928 78.994031)
+ (xy 100.000222 78.969603)
+ (xy 99.997901 78.960464)
+ (xy 99.997816 78.959969)
+ (xy 100.05473 78.861381)
+ (xy 100.951996 78.861381)
+ (xy 100.975216 78.928473)
+ (xy 101.014853 78.964505)
+ (xy 102.097384 79.589505)
+ (xy 102.119883 79.599699)
+ (xy 102.214099 79.631681)
+ (xy 102.26284 79.638098)
+ (xy 102.362123 79.631591)
+ (xy 102.409612 79.618867)
+ (xy 102.498847 79.574861)
+ (xy 102.53785 79.544932)
+ (xy 102.603452 79.470127)
+ (xy 102.617839 79.45005)
+ (xy 102.942839 78.887133)
+ (xy 102.959577 78.818138)
+ (xy 102.936357 78.751046)
+ (xy 102.89672 78.715014)
+ (xy 101.593353 77.962514)
+ (xy 101.524358 77.945776)
+ (xy 101.457266 77.968996)
+ (xy 101.421234 78.008633)
+ (xy 100.968734 78.792386)
+ (xy 100.951996 78.861381)
+ (xy 100.05473 78.861381)
+ (xy 100.250094 78.522972)
+ (xy 100.58184 78.714505)
+ (xy 100.650835 78.731243)
+ (xy 100.717927 78.708023)
+ (xy 100.753959 78.668386)
+ (xy 101.213695 77.872101)
+ (xy 101.393459 77.56074)
+ (xy 103.02072 78.500239)
+ (xy 103.089715 78.516977)
+ (xy 103.156807 78.493757)
+ (xy 103.192839 78.45412)
+ (xy 103.517839 77.891204)
+ (xy 103.528033 77.868705)
+ (xy 103.560015 77.774489)
+ (xy 103.566432 77.725748)
+ (xy 103.559925 77.626465)
+ (xy 103.547201 77.578976)
+ (xy 103.503195 77.489741)
+ (xy 103.487851 77.469745)
+ (xy 103.51284 77.473035)
+ (xy 103.612123 77.466528)
+ (xy 103.659612 77.453804)
+ (xy 103.748847 77.409798)
+ (xy 103.78785 77.379869)
+ (xy 103.853452 77.305064)
+ (xy 103.867839 77.284987)
+ (xy 104.192839 76.72207)
+ (xy 104.209577 76.653075)
+ (xy 104.186357 76.585983)
+ (xy 104.14672 76.549951)
+ (xy 102.519459 75.610451)
+ (xy 102.643459 75.395677)
+ (xy 104.27072 76.335176)
+ (xy 104.339715 76.351914)
+ (xy 104.406807 76.328694)
+ (xy 104.442839 76.289057)
+ (xy 104.767839 75.726141)
+ (xy 104.778033 75.703642)
+ (xy 104.810015 75.609426)
+ (xy 104.816432 75.560685)
+ (xy 104.809925 75.461402)
+ (xy 104.797201 75.413913)
+ (xy 104.753195 75.324678)
+ (xy 104.73785 75.304681)
+ (xy 104.76284 75.307971)
+ (xy 104.862123 75.301464)
+ (xy 104.879058 75.296926)
+ (xy 105.44299 75.860858)
+ (xy 105.442611 75.969562)
+ (xy 105.445456 75.996628)
+ (xy 105.484506 76.177242)
+ (xy 105.493096 76.203066)
+ (xy 105.570019 76.371081)
+ (xy 105.583955 76.394459)
+ (xy 105.695162 76.542036)
+ (xy 105.713792 76.561875)
+ (xy 105.854096 76.68213)
+ (xy 105.876553 76.697506)
+ (xy 106.039406 76.784827)
+ (xy 106.06464 76.795022)
+ (xy 106.242445 76.845336)
+ (xy 106.269279 76.849875)
+ (xy 106.453741 76.860834)
+ (xy 106.480925 76.859505)
+ (xy 106.663437 76.830598)
+ (xy 106.689701 76.823462)
+ (xy 106.861748 76.756037)
+ (xy 106.885866 76.743428)
+ (xy 106.998085 76.668304)
+ (xy 116.822887 76.668304)
+ (xy 116.827173 76.702234)
+ (xy 116.866198 76.847876)
+ (xy 116.879451 76.879404)
+ (xy 116.956204 77.009187)
+ (xy 116.977448 77.03599)
+ (xy 116.998501 77.056179)
+ (xy 116.9985 82.242306)
+ (xy 116.986694 82.253162)
+ (xy 116.964894 82.279514)
+ (xy 116.885439 82.407661)
+ (xy 116.871528 82.438905)
+ (xy 116.829462 82.583698)
+ (xy 116.824466 82.617532)
+ (xy 116.822887 82.768304)
+ (xy 116.827173 82.802234)
+ (xy 116.866198 82.947876)
+ (xy 116.879451 82.979404)
+ (xy 116.956204 83.109187)
+ (xy 116.977448 83.13599)
+ (xy 117.086275 83.240351)
+ (xy 117.113944 83.260453)
+ (xy 117.246827 83.331704)
+ (xy 117.278882 83.343625)
+ (xy 117.426031 83.376517)
+ (xy 117.460111 83.379379)
+ (xy 117.610684 83.371488)
+ (xy 117.644279 83.36508)
+ (xy 117.787184 83.316987)
+ (xy 117.817818 83.30178)
+ (xy 117.942525 83.217029)
+ (xy 117.96794 83.194145)
+ (xy 118.065262 83.07898)
+ (xy 118.083588 83.050103)
+ (xy 118.146355 82.913008)
+ (xy 118.15624 82.880268)
+ (xy 118.179827 82.731345)
+ (xy 118.181371 82.712955)
+ (xy 118.181493 82.701321)
+ (xy 118.180334 82.6829)
+ (xy 118.159871 82.533515)
+ (xy 118.150674 82.500574)
+ (xy 118.090792 82.362195)
+ (xy 118.073075 82.332942)
+ (xy 118.0015 82.244554)
+ (xy 118.0015 82.110727)
+ (xy 118.323262 82.432489)
+ (xy 118.322887 82.468303)
+ (xy 118.327173 82.502234)
+ (xy 118.366198 82.647876)
+ (xy 118.379451 82.679404)
+ (xy 118.456204 82.809187)
+ (xy 118.477448 82.83599)
+ (xy 118.586275 82.940351)
+ (xy 118.613944 82.960453)
+ (xy 118.746827 83.031704)
+ (xy 118.778882 83.043625)
+ (xy 118.926031 83.076517)
+ (xy 118.960111 83.079379)
+ (xy 119.110684 83.071488)
+ (xy 119.144279 83.06508)
+ (xy 119.287184 83.016987)
+ (xy 119.317818 83.00178)
+ (xy 119.442525 82.917029)
+ (xy 119.46794 82.894145)
+ (xy 119.565262 82.77898)
+ (xy 119.583588 82.750103)
+ (xy 119.646355 82.613008)
+ (xy 119.65624 82.580268)
+ (xy 119.679827 82.431345)
+ (xy 119.681371 82.412955)
+ (xy 119.681493 82.401321)
+ (xy 119.680334 82.3829)
+ (xy 119.659871 82.233515)
+ (xy 119.650674 82.200574)
+ (xy 119.590792 82.062195)
+ (xy 119.573075 82.032942)
+ (xy 119.478186 81.915764)
+ (xy 119.453255 81.892352)
+ (xy 119.33035 81.805008)
+ (xy 119.300042 81.789163)
+ (xy 119.158176 81.738088)
+ (xy 119.124723 81.730977)
+ (xy 119.033507 81.724279)
+ (xy 118.45151 81.142283)
+ (xy 118.45151 78.174589)
+ (xy 118.510684 78.171488)
+ (xy 118.544279 78.16508)
+ (xy 118.687184 78.116987)
+ (xy 118.717818 78.10178)
+ (xy 118.842525 78.017029)
+ (xy 118.86794 77.994145)
+ (xy 118.965262 77.87898)
+ (xy 118.983588 77.850103)
+ (xy 119.046355 77.713008)
+ (xy 119.05624 77.680268)
+ (xy 119.079827 77.531345)
+ (xy 119.081371 77.512955)
+ (xy 119.081493 77.501321)
+ (xy 119.080334 77.4829)
+ (xy 119.059871 77.333515)
+ (xy 119.050674 77.300574)
+ (xy 118.990792 77.162195)
+ (xy 118.973075 77.132942)
+ (xy 118.878186 77.015764)
+ (xy 118.853255 76.992352)
+ (xy 118.73035 76.905008)
+ (xy 118.700042 76.889163)
+ (xy 118.558176 76.838088)
+ (xy 118.524723 76.830977)
+ (xy 118.374348 76.819934)
+ (xy 118.340215 76.822082)
+ (xy 118.19241 76.851885)
+ (xy 118.160112 76.863132)
+ (xy 118.112239 76.887525)
+ (xy 118.146355 76.813008)
+ (xy 118.15624 76.780268)
+ (xy 118.179827 76.631345)
+ (xy 118.181371 76.612955)
+ (xy 118.181493 76.601321)
+ (xy 118.180334 76.5829)
+ (xy 118.159871 76.433515)
+ (xy 118.150674 76.400574)
+ (xy 118.090792 76.262195)
+ (xy 118.073075 76.232942)
+ (xy 117.978186 76.115764)
+ (xy 117.953255 76.092352)
+ (xy 117.83035 76.005008)
+ (xy 117.800042 75.989163)
+ (xy 117.658176 75.938088)
+ (xy 117.624723 75.930977)
+ (xy 117.474348 75.919934)
+ (xy 117.440215 75.922082)
+ (xy 117.29241 75.951885)
+ (xy 117.260112 75.963132)
+ (xy 117.125766 76.031585)
+ (xy 117.097683 76.051104)
+ (xy 116.986694 76.153163)
+ (xy 116.964894 76.179514)
+ (xy 116.885439 76.307661)
+ (xy 116.871528 76.338905)
+ (xy 116.829462 76.483698)
+ (xy 116.824466 76.517532)
+ (xy 116.822887 76.668304)
+ (xy 106.998085 76.668304)
+ (xy 107.039421 76.640632)
+ (xy 107.06027 76.623138)
+ (xy 107.188169 76.489766)
+ (xy 107.204774 76.468203)
+ (xy 107.30105 76.310478)
+ (xy 107.312638 76.285852)
+ (xy 107.372798 76.111133)
+ (xy 107.378828 76.084594)
+ (xy 107.400067 75.901031)
+ (xy 107.400901 75.886992)
+ (xy 107.400922 75.88102)
+ (xy 107.400186 75.866969)
+ (xy 107.380229 75.683263)
+ (xy 107.374385 75.656682)
+ (xy 107.315446 75.481547)
+ (xy 107.30403 75.456841)
+ (xy 107.208857 75.298447)
+ (xy 107.192403 75.276769)
+ (xy 107.065439 75.142508)
+ (xy 107.044713 75.124869)
+ (xy 106.891879 75.021003)
+ (xy 106.867848 75.008226)
+ (xy 106.696276 74.939602)
+ (xy 106.670063 74.932283)
+ (xy 106.487757 74.902103)
+ (xy 106.460584 74.900584)
+ (xy 106.399353 74.903793)
+ (xy 105.666014 74.170454)
+ (xy 106.017839 73.561077)
+ (xy 106.028033 73.538578)
+ (xy 106.037703 73.510091)
+ (xy 111.713247 73.510091)
+ (xy 111.719238 73.634816)
+ (xy 111.72573 73.769987)
+ (xy 111.728006 73.788524)
+ (xy 111.778768 74.043719)
+ (xy 111.783759 74.061714)
+ (xy 111.871683 74.306603)
+ (xy 111.879279 74.323664)
+ (xy 112.002434 74.552867)
+ (xy 112.012468 74.568618)
+ (xy 112.168149 74.777101)
+ (xy 112.180401 74.791195)
+ (xy 112.365188 74.974376)
+ (xy 112.379389 74.986505)
+ (xy 112.589222 75.14036)
+ (xy 112.605059 75.150256)
+ (xy 112.835328 75.271407)
+ (xy 112.852455 75.278854)
+ (xy 113.098102 75.364638)
+ (xy 113.116141 75.369472)
+ (xy 113.37177 75.418004)
+ (xy 113.390325 75.420118)
+ (xy 113.650319 75.430334)
+ (xy 113.668983 75.429682)
+ (xy 113.927631 75.401355)
+ (xy 113.945994 75.397952)
+ (xy 114.197615 75.331706)
+ (xy 114.215273 75.325626)
+ (xy 114.454338 75.222916)
+ (xy 114.470903 75.214292)
+ (xy 114.69216 75.077373)
+ (xy 114.707269 75.066396)
+ (xy 114.905858 74.898278)
+ (xy 114.919178 74.885189)
+ (xy 115.090737 74.689564)
+ (xy 115.101976 74.674649)
+ (xy 115.242734 74.455815)
+ (xy 115.251645 74.439403)
+ (xy 115.358512 74.202167)
+ (xy 115.364899 74.184618)
+ (xy 115.435527 73.934192)
+ (xy 115.439251 73.915891)
+ (xy 115.472087 73.657777)
+ (xy 115.473051 73.645174)
+ (xy 115.475457 73.553298)
+ (xy 115.475154 73.540662)
+ (xy 115.455871 73.281183)
+ (xy 115.45311 73.262713)
+ (xy 115.395686 73.008933)
+ (xy 115.390226 72.991073)
+ (xy 115.295921 72.74857)
+ (xy 115.287881 72.731715)
+ (xy 115.158768 72.505814)
+ (xy 115.148325 72.490331)
+ (xy 114.98724 72.285995)
+ (xy 114.974623 72.272226)
+ (xy 114.785105 72.093945)
+ (xy 114.770592 72.082192)
+ (xy 114.556804 71.933882)
+ (xy 114.540712 71.924404)
+ (xy 114.30735 71.809323)
+ (xy 114.290035 71.802327)
+ (xy 114.042226 71.723003)
+ (xy 114.024067 71.718644)
+ (xy 113.767256 71.676819)
+ (xy 113.748651 71.675191)
+ (xy 113.488478 71.671785)
+ (xy 113.469838 71.672925)
+ (xy 113.21202 71.708013)
+ (xy 113.193753 71.711896)
+ (xy 112.943953 71.784706)
+ (xy 112.92646 71.791246)
+ (xy 112.690166 71.900179)
+ (xy 112.673832 71.909233)
+ (xy 112.456234 72.051896)
+ (xy 112.441418 72.063265)
+ (xy 112.247298 72.236524)
+ (xy 112.234325 72.249958)
+ (xy 112.067946 72.450007)
+ (xy 112.057101 72.465211)
+ (xy 111.922119 72.687655)
+ (xy 111.913641 72.704295)
+ (xy 111.813021 72.944247)
+ (xy 111.807095 72.961957)
+ (xy 111.743047 73.214146)
+ (xy 111.739804 73.232537)
+ (xy 111.713736 73.491422)
+ (xy 111.713247 73.510091)
+ (xy 106.037703 73.510091)
+ (xy 106.060015 73.444362)
+ (xy 106.066432 73.395621)
+ (xy 106.059925 73.296338)
+ (xy 106.047201 73.248849)
+ (xy 106.003195 73.159614)
+ (xy 105.98785 73.139617)
+ (xy 106.01284 73.142907)
+ (xy 106.112123 73.1364)
+ (xy 106.159612 73.123676)
+ (xy 106.248847 73.07967)
+ (xy 106.28785 73.049741)
+ (xy 106.353452 72.974936)
+ (xy 106.367839 72.954859)
+ (xy 106.692839 72.391942)
+ (xy 106.709577 72.322947)
+ (xy 106.686357 72.255855)
+ (xy 106.64672 72.219823)
+ (xy 105.019459 71.280323)
+ (xy 105.143459 71.065549)
+ (xy 106.77072 72.005048)
+ (xy 106.839715 72.021786)
+ (xy 106.906807 71.998566)
+ (xy 106.942839 71.958929)
+ (xy 107.267839 71.396013)
+ (xy 107.278033 71.373514)
+ (xy 107.310015 71.279298)
+ (xy 107.316432 71.230557)
+ (xy 107.309925 71.131274)
+ (xy 107.297201 71.083785)
+ (xy 107.253195 70.99455)
+ (xy 107.237851 70.974554)
+ (xy 107.26284 70.977844)
+ (xy 107.362123 70.971337)
+ (xy 107.409612 70.958613)
+ (xy 107.498847 70.914607)
+ (xy 107.53785 70.884678)
+ (xy 107.603452 70.809873)
+ (xy 107.617839 70.789796)
+ (xy 107.942839 70.226879)
+ (xy 107.959577 70.157884)
+ (xy 107.936357 70.090792)
+ (xy 107.89672 70.05476)
+ (xy 106.481601 69.23774)
+ (xy 106.269459 69.11526)
+ (xy 106.345033 68.984361)
+ (xy 106.654495 68.984361)
+ (xy 106.677715 69.051453)
+ (xy 106.717352 69.087485)
+ (xy 108.02072 69.839985)
+ (xy 108.089715 69.856723)
+ (xy 108.156807 69.833503)
+ (xy 108.192839 69.793866)
+ (xy 108.517839 69.23095)
+ (xy 108.528033 69.208451)
+ (xy 108.560015 69.114235)
+ (xy 108.566432 69.065494)
+ (xy 108.559925 68.966211)
+ (xy 108.547201 68.918722)
+ (xy 108.503195 68.829487)
+ (xy 108.473266 68.790484)
+ (xy 108.398461 68.724882)
+ (xy 108.378384 68.710495)
+ (xy 107.295852 68.085495)
+ (xy 107.226857 68.068757)
+ (xy 107.159765 68.091977)
+ (xy 107.123733 68.131614)
+ (xy 106.671233 68.915366)
+ (xy 106.654495 68.984361)
+ (xy 106.345033 68.984361)
+ (xy 106.908958 68.007614)
+ (xy 106.925696 67.938619)
+ (xy 106.902476 67.871527)
+ (xy 106.862839 67.835495)
+ (xy 106.530682 67.643724)
+ (xy 106.778305 67.214793)
+ (xy 106.780443 67.214129)
+ (xy 106.797574 67.204827)
+ (xy 106.828428 67.192983)
+ (xy 106.869231 67.180897)
+ (xy 106.894375 67.167669)
+ (xy 106.896398 67.166892)
+ (xy 106.918473 67.155825)
+ (xy 106.926433 67.150802)
+ (xy 106.934778 67.146412)
+ (xy 106.955408 67.13282)
+ (xy 106.957085 67.131462)
+ (xy 106.981109 67.116304)
+ (xy 107.011977 67.087013)
+ (xy 107.035154 67.068244)
+ (xy 107.070229 67.044137)
+ (xy 107.09005 67.02379)
+ (xy 107.091728 67.022431)
+ (xy 107.109312 67.005076)
+ (xy 107.115339 66.997829)
+ (xy 107.121908 66.991086)
+ (xy 107.137328 66.971788)
+ (xy 107.138507 66.969973)
+ (xy 107.15667 66.948134)
+ (xy 107.176978 66.91073)
+ (xy 107.193209 66.885738)
+ (xy 107.219124 66.851963)
+ (xy 107.231687 66.826488)
+ (xy 107.232869 66.824668)
+ (xy 107.244226 66.802733)
+ (xy 107.247713 66.793993)
+ (xy 107.251881 66.785541)
+ (xy 107.260581 66.762426)
+ (xy 107.261143 66.76033)
+ (xy 107.271669 66.733945)
+ (xy 107.279424 66.692103)
+ (xy 107.287143 66.663296)
+ (xy 107.301349 66.623179)
+ (xy 107.305425 66.595069)
+ (xy 107.305985 66.592979)
+ (xy 107.310009 66.568605)
+ (xy 107.310625 66.559212)
+ (xy 107.311977 66.549886)
+ (xy 107.313108 66.52521)
+ (xy 107.312995 66.523051)
+ (xy 107.314853 66.494703)
+ (xy 107.309298 66.452503)
+ (xy 107.307737 66.422727)
+ (xy 107.308851 66.380182)
+ (xy 107.304041 66.352194)
+ (xy 107.303928 66.350031)
+ (xy 107.300222 66.325603)
+ (xy 107.297901 66.316464)
+ (xy 107.296308 66.307194)
+ (xy 107.289759 66.283375)
+ (xy 107.288986 66.281361)
+ (xy 107.281992 66.253823)
+ (xy 107.263665 66.215399)
+ (xy 107.252986 66.187579)
+ (xy 107.240897 66.146767)
+ (xy 107.227666 66.121619)
+ (xy 107.226893 66.119605)
+ (xy 107.215825 66.097527)
+ (xy 107.210802 66.089567)
+ (xy 107.206412 66.081222)
+ (xy 107.192823 66.060595)
+ (xy 107.191462 66.058915)
+ (xy 107.176304 66.03489)
+ (xy 107.147009 66.00402)
+ (xy 107.128245 65.980848)
+ (xy 107.104138 65.945773)
+ (xy 107.083785 65.925946)
+ (xy 107.082424 65.924265)
+ (xy 107.065075 65.906688)
+ (xy 107.060396 65.902797)
+ (xy 107.081966 65.912947)
+ (xy 107.238236 65.963722)
+ (xy 107.26926 65.96964)
+ (xy 107.433247 65.979958)
+ (xy 107.464769 65.977975)
+ (xy 107.626171 65.947186)
+ (xy 107.65621 65.937426)
+ (xy 107.804883 65.867465)
+ (xy 107.831549 65.850542)
+ (xy 107.958154 65.745806)
+ (xy 107.979775 65.722782)
+ (xy 108.076355 65.589851)
+ (xy 108.091571 65.562173)
+ (xy 108.152058 65.409399)
+ (xy 108.159912 65.378808)
+ (xy 108.180506 65.215792)
+ (xy 108.180506 65.184208)
+ (xy 108.159912 65.021192)
+ (xy 108.152058 64.990601)
+ (xy 108.091571 64.837827)
+ (xy 108.076355 64.810149)
+ (xy 107.979775 64.677218)
+ (xy 107.958154 64.654194)
+ (xy 107.831549 64.549458)
+ (xy 107.804883 64.532535)
+ (xy 107.65621 64.462574)
+ (xy 107.626171 64.452814)
+ (xy 107.464769 64.422025)
+ (xy 107.433246 64.420042)
+ (xy 107.335609 64.426186)
+ (xy 107.334675 64.425449)
+ (xy 107.333372 64.424146)
+ (xy 107.317533 64.410725)
+ (xy 107.301113 64.398992)
+ (xy 107.261314 64.367616)
+ (xy 107.24352 64.357834)
+ (xy 107.24066 64.35579)
+ (xy 107.232772 64.351926)
+ (xy 107.225049 64.34768)
+ (xy 107.22172 64.346511)
+ (xy 107.203498 64.337584)
+ (xy 107.154995 64.323079)
+ (xy 107.107168 64.306283)
+ (xy 107.076291 64.299636)
+ (xy 107.068601 64.29897)
+ (xy 107.057834 64.298505)
+ (xy 107.042743 64.296598)
+ (xy 107.017135 64.297604)
+ (xy 107.052058 64.209399)
+ (xy 107.059912 64.178808)
+ (xy 107.080506 64.015792)
+ (xy 107.080506 63.984208)
+ (xy 107.059912 63.821192)
+ (xy 107.052058 63.790601)
+ (xy 106.991571 63.637827)
+ (xy 106.976355 63.610149)
+ (xy 106.879775 63.477218)
+ (xy 106.858154 63.454194)
+ (xy 106.731549 63.349458)
+ (xy 106.704883 63.332535)
+ (xy 106.55621 63.262574)
+ (xy 106.526171 63.252814)
+ (xy 106.364769 63.222025)
+ (xy 106.333247 63.220042)
+ (xy 106.16926 63.23036)
+ (xy 106.138236 63.236278)
+ (xy 105.981966 63.287053)
+ (xy 105.953388 63.300501)
+ (xy 105.814655 63.388544)
+ (xy 105.790319 63.408676)
+ (xy 105.705968 63.4985)
+ (xy 104.816185 63.4985)
+ (xy 104.808033 63.496745)
+ (xy 104.766704 63.494796)
+ (xy 104.735409 63.4985)
+ (xy 104.733551 63.4985)
+ (xy 104.712861 63.50021)
+ (xy 104.692921 63.503529)
+ (xy 104.642624 63.509482)
+ (xy 104.623124 63.515147)
+ (xy 104.619647 63.515726)
+ (xy 104.611333 63.518573)
+ (xy 104.602895 63.521024)
+ (xy 104.599718 63.522549)
+ (xy 104.580507 63.529127)
+ (xy 104.535912 63.55319)
+ (xy 104.490258 63.575112)
+ (xy 104.463725 63.592244)
+ (xy 104.457816 63.597211)
+ (xy 104.449886 63.604484)
+ (xy 104.437854 63.613817)
+ (xy 104.404941 63.649422)
+ (xy 103.355864 64.6985)
+ (xy 102.352595 64.6985)
+ (xy 102.344443 64.696745)
+ (xy 102.303114 64.694796)
+ (xy 102.271819 64.6985)
+ (xy 102.269961 64.6985)
+ (xy 102.249271 64.70021)
+ (xy 102.229331 64.703529)
+ (xy 102.179034 64.709482)
+ (xy 102.159534 64.715147)
+ (xy 102.156057 64.715726)
+ (xy 102.147743 64.718573)
+ (xy 102.139305 64.721024)
+ (xy 102.136128 64.722549)
+ (xy 102.116917 64.729127)
+ (xy 102.072322 64.75319)
+ (xy 102.026668 64.775112)
+ (xy 102.000144 64.792236)
+ (xy 101.994235 64.797202)
+ (xy 101.986288 64.804491)
+ (xy 101.974265 64.813817)
+ (xy 101.941387 64.849384)
+ (xy 101.558442 65.232329)
+ (xy 101.637499 65.119843)
+ (xy 102.50412 63.948672)
+ (xy 103.39912 62.798994)
+ (xy 104.321934 61.671535)
+ (xy 104.540142 61.417844)
+ (xy 111.123669 61.417844)
+ (xy 111.123669 61.582156)
+ (xy 111.127628 61.613491)
+ (xy 111.168491 61.772641)
+ (xy 111.180117 61.802007)
+ (xy 111.259274 61.945994)
+ (xy 111.277839 61.971546)
+ (xy 111.390319 62.091324)
+ (xy 111.3985 62.098092)
+ (xy 111.398501 63.401907)
+ (xy 111.390319 63.408676)
+ (xy 111.277839 63.528454)
+ (xy 111.259274 63.554006)
+ (xy 111.180117 63.697993)
+ (xy 111.168491 63.727359)
+ (xy 111.127628 63.886509)
+ (xy 111.123669 63.917844)
+ (xy 111.123669 64.082156)
+ (xy 111.127628 64.113491)
+ (xy 111.168491 64.272641)
+ (xy 111.180117 64.302007)
+ (xy 111.259274 64.445994)
+ (xy 111.277839 64.471546)
+ (xy 111.390319 64.591324)
+ (xy 111.414655 64.611456)
+ (xy 111.553388 64.699499)
+ (xy 111.581966 64.712947)
+ (xy 111.738236 64.763722)
+ (xy 111.76926 64.76964)
+ (xy 111.933247 64.779958)
+ (xy 111.964769 64.777975)
+ (xy 112.126171 64.747186)
+ (xy 112.15621 64.737426)
+ (xy 112.304883 64.667465)
+ (xy 112.331549 64.650542)
+ (xy 112.458154 64.545806)
+ (xy 112.479775 64.522782)
+ (xy 112.576355 64.389851)
+ (xy 112.591571 64.362173)
+ (xy 112.652058 64.209399)
+ (xy 112.659912 64.178808)
+ (xy 112.680506 64.015792)
+ (xy 112.680506 63.984208)
+ (xy 112.659912 63.821192)
+ (xy 112.652058 63.790601)
+ (xy 112.591571 63.637827)
+ (xy 112.576355 63.610149)
+ (xy 112.479775 63.477218)
+ (xy 112.458154 63.454194)
+ (xy 112.4015 63.407326)
+ (xy 112.4015 62.092674)
+ (xy 112.458154 62.045806)
+ (xy 112.479775 62.022782)
+ (xy 112.576355 61.889851)
+ (xy 112.591571 61.862173)
+ (xy 112.652058 61.709399)
+ (xy 112.659912 61.678808)
+ (xy 112.680506 61.515792)
+ (xy 112.680506 61.484208)
+ (xy 112.659912 61.321192)
+ (xy 112.652058 61.290601)
+ (xy 112.591571 61.137827)
+ (xy 112.576355 61.110149)
+ (xy 112.479775 60.977218)
+ (xy 112.458154 60.954194)
+ (xy 112.331549 60.849458)
+ (xy 112.304883 60.832535)
+ (xy 112.15621 60.762574)
+ (xy 112.126171 60.752814)
+ (xy 111.964769 60.722025)
+ (xy 111.933247 60.720042)
+ (xy 111.76926 60.73036)
+ (xy 111.738236 60.736278)
+ (xy 111.581966 60.787053)
+ (xy 111.553388 60.800501)
+ (xy 111.414655 60.888544)
+ (xy 111.390319 60.908676)
+ (xy 111.277839 61.028454)
+ (xy 111.259274 61.054006)
+ (xy 111.180117 61.197993)
+ (xy 111.168491 61.227359)
+ (xy 111.127628 61.386509)
+ (xy 111.123669 61.417844)
+ (xy 104.540142 61.417844)
+ (xy 105.271998 60.566984)
+ (xy 106.248799 59.485938)
+ (xy 107.251699 58.429101)
+ (xy 108.28014 57.397064)
+ (xy 108.526024 57.162091)
+ (xy 162.025247 57.162091)
+ (xy 162.031238 57.286816)
+ (xy 162.03773 57.421987)
+ (xy 162.040006 57.440524)
+ (xy 162.090768 57.695719)
+ (xy 162.095759 57.713714)
+ (xy 162.183683 57.958603)
+ (xy 162.191279 57.975664)
+ (xy 162.314434 58.204867)
+ (xy 162.324468 58.220618)
+ (xy 162.480149 58.429101)
+ (xy 162.492401 58.443195)
+ (xy 162.677188 58.626376)
+ (xy 162.691389 58.638505)
+ (xy 162.901222 58.79236)
+ (xy 162.917059 58.802256)
+ (xy 163.147328 58.923407)
+ (xy 163.164455 58.930854)
+ (xy 163.410102 59.016638)
+ (xy 163.428141 59.021472)
+ (xy 163.68377 59.070004)
+ (xy 163.702325 59.072118)
+ (xy 163.962319 59.082334)
+ (xy 163.980983 59.081682)
+ (xy 164.239631 59.053355)
+ (xy 164.257994 59.049952)
+ (xy 164.509615 58.983706)
+ (xy 164.527273 58.977626)
+ (xy 164.766338 58.874916)
+ (xy 164.782903 58.866292)
+ (xy 165.00416 58.729373)
+ (xy 165.019269 58.718396)
+ (xy 165.217858 58.550278)
+ (xy 165.231178 58.537189)
+ (xy 165.402737 58.341564)
+ (xy 165.413976 58.326649)
+ (xy 165.554734 58.107815)
+ (xy 165.563645 58.091403)
+ (xy 165.670512 57.854167)
+ (xy 165.676899 57.836618)
+ (xy 165.747527 57.586192)
+ (xy 165.751251 57.567891)
+ (xy 165.784087 57.309777)
+ (xy 165.785051 57.297174)
+ (xy 165.787457 57.205298)
+ (xy 165.787154 57.192662)
+ (xy 165.767871 56.933183)
+ (xy 165.76511 56.914713)
+ (xy 165.707686 56.660933)
+ (xy 165.702226 56.643073)
+ (xy 165.607921 56.40057)
+ (xy 165.599881 56.383715)
+ (xy 165.470768 56.157814)
+ (xy 165.460325 56.142331)
+ (xy 165.29924 55.937995)
+ (xy 165.286623 55.924226)
+ (xy 165.097105 55.745945)
+ (xy 165.082592 55.734192)
+ (xy 164.868804 55.585882)
+ (xy 164.852712 55.576404)
+ (xy 164.61935 55.461323)
+ (xy 164.602035 55.454327)
+ (xy 164.354226 55.375003)
+ (xy 164.336067 55.370644)
+ (xy 164.079256 55.328819)
+ (xy 164.060651 55.327191)
+ (xy 163.800478 55.323785)
+ (xy 163.781838 55.324925)
+ (xy 163.52402 55.360013)
+ (xy 163.505753 55.363896)
+ (xy 163.255953 55.436706)
+ (xy 163.23846 55.443246)
+ (xy 163.002166 55.552179)
+ (xy 162.985832 55.561233)
+ (xy 162.768234 55.703896)
+ (xy 162.753418 55.715265)
+ (xy 162.559298 55.888524)
+ (xy 162.546325 55.901958)
+ (xy 162.379946 56.102007)
+ (xy 162.369101 56.117211)
+ (xy 162.234119 56.339655)
+ (xy 162.225641 56.356295)
+ (xy 162.125021 56.596247)
+ (xy 162.119095 56.613957)
+ (xy 162.055047 56.866146)
+ (xy 162.051804 56.884537)
+ (xy 162.025736 57.143422)
+ (xy 162.025247 57.162091)
+ (xy 108.526024 57.162091)
+ (xy 109.333447 56.390501)
+ (xy 110.411098 55.409915)
+ (xy 111.512327 54.456001)
+ (xy 112.636557 53.529257)
+ (xy 113.783098 52.630254)
+ (xy 114.951274 51.759522)
+ (xy 116.140309 50.917638)
+ (xy 117.349651 50.104994)
+ (xy 118.57841 49.322189)
+ (xy 119.82596 48.569618)
+ (xy 121.091558 47.847734)
+ (xy 122.37433 47.157032)
+ (xy 123.673691 46.497829)
+ (xy 124.988706 45.870599)
+ (xy 126.318668 45.275678)
+ (xy 127.662777 44.713425)
+ (xy 129.020223 44.184178)
+ (xy 130.390166 43.688266)
+ (xy 131.771852 43.225961)
+ (xy 133.164409 42.797554)
+ (xy 134.567006 42.403302)
+ (xy 135.978834 42.043432)
+ (xy 137.399004 41.71817)
+ (xy 138.826768 41.427687)
+ (xy 140.26111 41.172193)
+ (xy 141.701298 40.951813)
+ (xy 143.146464 40.766683)
+ (xy 144.595717 40.616917)
+ (xy 146.048189 40.502605)
+ (xy 147.503015 40.423814)
+ (xy 148.959369 40.380591)
+ (xy 150.416262 40.372962)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 144.014655 136.611456)
+ (xy 144.153388 136.699499)
+ (xy 144.181966 136.712947)
+ (xy 144.338236 136.763722)
+ (xy 144.36926 136.76964)
+ (xy 144.533247 136.779958)
+ (xy 144.564769 136.777975)
+ (xy 144.623669 136.766739)
+ (xy 144.623669 136.782156)
+ (xy 144.627628 136.813491)
+ (xy 144.668491 136.972641)
+ (xy 144.680117 137.002007)
+ (xy 144.759274 137.145994)
+ (xy 144.777839 137.171546)
+ (xy 144.890319 137.291324)
+ (xy 144.914655 137.311456)
+ (xy 145.024369 137.381083)
+ (xy 147.913961 140.270675)
+ (xy 147.953162 140.313306)
+ (xy 147.979515 140.335107)
+ (xy 148.016487 140.35803)
+ (xy 148.051123 140.384321)
+ (xy 148.080922 140.401112)
+ (xy 148.094888 140.406641)
+ (xy 148.107659 140.41456)
+ (xy 148.138905 140.428472)
+ (xy 148.180673 140.440607)
+ (xy 148.22111 140.456617)
+ (xy 148.254324 140.464775)
+ (xy 148.269268 140.466346)
+ (xy 148.283698 140.470538)
+ (xy 148.309624 140.475203)
+ (xy 148.322684 140.476162)
+ (xy 148.331911 140.4765)
+ (xy 148.365878 140.4765)
+ (xy 148.404277 140.480536)
+ (xy 148.438461 140.479461)
+ (xy 148.455967 140.4765)
+ (xy 151.419788 140.4765)
+ (xy 152.511042 141.567754)
+ (xy 152.550243 141.610385)
+ (xy 152.576596 141.632186)
+ (xy 152.613568 141.655109)
+ (xy 152.648204 141.6814)
+ (xy 152.678003 141.698191)
+ (xy 152.691969 141.70372)
+ (xy 152.70474 141.711639)
+ (xy 152.735986 141.725551)
+ (xy 152.777754 141.737686)
+ (xy 152.818191 141.753696)
+ (xy 152.851405 141.761854)
+ (xy 152.866349 141.763425)
+ (xy 152.880779 141.767617)
+ (xy 152.906705 141.772282)
+ (xy 152.919765 141.773241)
+ (xy 152.928992 141.773579)
+ (xy 152.962959 141.773579)
+ (xy 153.001358 141.777615)
+ (xy 153.035542 141.77654)
+ (xy 153.053048 141.773579)
+ (xy 153.422707 141.773579)
+ (xy 156.197618 144.54849)
+ (xy 154.728135 144.54849)
+ (xy 154.690792 144.462195)
+ (xy 154.673075 144.432942)
+ (xy 154.578186 144.315764)
+ (xy 154.553255 144.292352)
+ (xy 154.43035 144.205008)
+ (xy 154.400042 144.189163)
+ (xy 154.258176 144.138088)
+ (xy 154.224723 144.130977)
+ (xy 154.074348 144.119934)
+ (xy 154.040215 144.122082)
+ (xy 153.89241 144.151885)
+ (xy 153.860112 144.163132)
+ (xy 153.725766 144.231585)
+ (xy 153.697683 144.251104)
+ (xy 153.586694 144.353163)
+ (xy 153.564894 144.379514)
+ (xy 153.485439 144.507661)
+ (xy 153.480538 144.518669)
+ (xy 153.478186 144.515764)
+ (xy 153.453255 144.492352)
+ (xy 153.33035 144.405008)
+ (xy 153.300042 144.389163)
+ (xy 153.158176 144.338088)
+ (xy 153.124723 144.330977)
+ (xy 152.974348 144.319934)
+ (xy 152.940215 144.322082)
+ (xy 152.79241 144.351885)
+ (xy 152.760112 144.363132)
+ (xy 152.625766 144.431585)
+ (xy 152.597683 144.451104)
+ (xy 152.486694 144.553163)
+ (xy 152.464894 144.579514)
+ (xy 152.385439 144.707661)
+ (xy 152.371528 144.738905)
+ (xy 152.329462 144.883698)
+ (xy 152.324466 144.917532)
+ (xy 152.324393 144.924525)
+ (xy 143.995367 136.5955)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 143.8985 133.181681)
+ (xy 143.881966 133.187053)
+ (xy 143.853388 133.200501)
+ (xy 143.714655 133.288544)
+ (xy 143.690319 133.308676)
+ (xy 143.577839 133.428454)
+ (xy 143.559274 133.454006)
+ (xy 143.480117 133.597993)
+ (xy 143.468491 133.627359)
+ (xy 143.427628 133.786509)
+ (xy 143.423669 133.817844)
+ (xy 143.423669 133.982156)
+ (xy 143.427628 134.013491)
+ (xy 143.468491 134.172641)
+ (xy 143.480117 134.202007)
+ (xy 143.559274 134.345994)
+ (xy 143.577839 134.371546)
+ (xy 143.690319 134.491324)
+ (xy 143.714655 134.511456)
+ (xy 143.853388 134.599499)
+ (xy 143.881966 134.612947)
+ (xy 144.038236 134.663722)
+ (xy 144.06926 134.66964)
+ (xy 144.233247 134.679958)
+ (xy 144.264769 134.677975)
+ (xy 144.426171 134.647186)
+ (xy 144.45621 134.637426)
+ (xy 144.481481 134.625534)
+ (xy 144.481481 135.103225)
+ (xy 144.350831 135.233876)
+ (xy 144.338236 135.236278)
+ (xy 144.181966 135.287053)
+ (xy 144.153388 135.300501)
+ (xy 144.014655 135.388544)
+ (xy 143.990319 135.408676)
+ (xy 143.877839 135.528454)
+ (xy 143.859274 135.554006)
+ (xy 143.780117 135.697993)
+ (xy 143.768491 135.727359)
+ (xy 143.727628 135.886509)
+ (xy 143.723669 135.917844)
+ (xy 143.723669 136.082156)
+ (xy 143.727628 136.113491)
+ (xy 143.768491 136.272641)
+ (xy 143.780117 136.302007)
+ (xy 143.859274 136.445994)
+ (xy 143.877839 136.471546)
+ (xy 143.976878 136.577011)
+ (xy 143.351533 135.951666)
+ (xy 143.351533 132.287014)
+ (xy 143.357718 132.278419)
+ (xy 143.364769 132.277975)
+ (xy 143.526171 132.247186)
+ (xy 143.55621 132.237426)
+ (xy 143.704883 132.167465)
+ (xy 143.731549 132.150542)
+ (xy 143.858154 132.045806)
+ (xy 143.879775 132.022782)
+ (xy 143.8985 131.997009)
+ )
+ )
+ (filled_polygon
+ (layer "B.Cu")
+ (pts
+ (xy 146.1235 127.719785)
+ (xy 144.295833 129.547453)
+ (xy 144.284565 129.560368)
+ (xy 144.215678 129.651123)
+ (xy 144.198889 129.680919)
+ (xy 144.143383 129.821111)
+ (xy 144.135225 129.854325)
+ (xy 144.119465 130.004278)
+ (xy 144.12054 130.038461)
+ (xy 144.125136 130.065636)
+ (xy 144.082578 130.108195)
+ (xy 144.075573 130.112718)
+ (xy 144.04497 130.140564)
+ (xy 144.025454 130.16532)
+ (xy 144.024146 130.166628)
+ (xy 144.010727 130.182464)
+ (xy 143.998983 130.198898)
+ (xy 143.967616 130.238687)
+ (xy 143.957837 130.256476)
+ (xy 143.955789 130.259342)
+ (xy 143.951914 130.267251)
+ (xy 143.947682 130.27495)
+ (xy 143.946518 130.278266)
+ (xy 143.937584 130.296501)
+ (xy 143.923069 130.345037)
+ (xy 143.906284 130.392833)
+ (xy 143.899637 130.423709)
+ (xy 143.898971 130.431399)
+ (xy 143.898506 130.442151)
+ (xy 143.896598 130.457257)
+ (xy 143.898501 130.505694)
+ (xy 143.898501 131.002992)
+ (xy 143.879775 130.977218)
+ (xy 143.858154 130.954194)
+ (xy 143.731549 130.849458)
+ (xy 143.704883 130.832535)
+ (xy 143.55621 130.762574)
+ (xy 143.526171 130.752814)
+ (xy 143.364769 130.722025)
+ (xy 143.333247 130.720042)
+ (xy 143.16926 130.73036)
+ (xy 143.138236 130.736278)
+ (xy 142.981966 130.787053)
+ (xy 142.953388 130.800501)
+ (xy 142.901522 130.833416)
+ (xy 142.901522 130.133033)
+ (xy 143.232857 129.801699)
+ (xy 143.23986 129.797177)
+ (xy 143.270462 129.769332)
+ (xy 143.289975 129.74458)
+ (xy 143.291285 129.74327)
+ (xy 143.304705 129.727433)
+ (xy 143.316456 129.710989)
+ (xy 143.347816 129.671209)
+ (xy 143.357603 129.653409)
+ (xy 143.35965 129.650543)
+ (xy 143.363507 129.642669)
+ (xy 143.367746 129.634959)
+ (xy 143.368917 129.631625)
+ (xy 143.377847 129.613395)
+ (xy 143.392356 129.564879)
+ (xy 143.409148 129.517063)
+ (xy 143.415795 129.486187)
+ (xy 143.416461 129.478497)
+ (xy 143.416926 129.467731)
+ (xy 143.418833 129.45264)
+ (xy 143.416931 129.404227)
+ (xy 143.416931 128.079908)
+ (xy 143.458154 128.045806)
+ (xy 143.479775 128.022782)
+ (xy 143.576355 127.889851)
+ (xy 143.591571 127.862173)
+ (xy 143.652058 127.709399)
+ (xy 143.659912 127.678808)
+ (xy 143.680506 127.515792)
+ (xy 143.680506 127.484208)
+ (xy 143.659912 127.321192)
+ (xy 143.652058 127.290601)
+ (xy 143.591571 127.137827)
+ (xy 143.576355 127.110149)
+ (xy 143.479775 126.977218)
+ (xy 143.458154 126.954194)
+ (xy 143.331549 126.849458)
+ (xy 143.304883 126.832535)
+ (xy 143.15621 126.762574)
+ (xy 143.126171 126.752814)
+ (xy 142.967031 126.722456)
+ (xy 142.980506 126.615792)
+ (xy 142.980506 126.584208)
+ (xy 142.959912 126.421192)
+ (xy 142.952058 126.390601)
+ (xy 142.891571 126.237827)
+ (xy 142.876355 126.210149)
+ (xy 142.86282 126.191519)
+ (xy 144.008131 126.191519)
+ (xy 144.020557 126.237894)
+ (xy 144.03381 126.269422)
+ (xy 144.110563 126.399205)
+ (xy 144.131807 126.426008)
+ (xy 144.240634 126.530369)
+ (xy 144.268303 126.550471)
+ (xy 144.401186 126.621722)
+ (xy 144.433241 126.633643)
+ (xy 144.58039 126.666535)
+ (xy 144.61447 126.669397)
+ (xy 144.765043 126.661506)
+ (xy 144.798638 126.655098)
+ (xy 144.941543 126.607005)
+ (xy 144.972177 126.591798)
+ (xy 145.096884 126.507047)
+ (xy 145.105652 126.499152)
+ (xy 145.228253 126.56489)
+ (xy 145.260308 126.576811)
+ (xy 145.407457 126.609703)
+ (xy 145.441537 126.612565)
+ (xy 145.59211 126.604674)
+ (xy 145.625705 126.598266)
+ (xy 145.76861 126.550173)
+ (xy 145.799244 126.534966)
+ (xy 145.923951 126.450215)
+ (xy 145.949366 126.427331)
+ (xy 146.046688 126.312166)
+ (xy 146.065014 126.283289)
+ (xy 146.1235 126.155545)
+ )
+ )
+ )
)
diff --git a/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_prl b/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_prl
index 97be003..3c663fb 100644
--- a/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_prl
+++ b/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_prl
@@ -1,9 +1,17 @@
{
"board": {
- "active_layer": 37,
+ "active_layer": 0,
"active_layer_preset": "",
"hidden_nets": [],
"high_contrast_mode": 0,
+ "net_color_mode": 1,
+ "opacity": {
+ "pads": 1.0,
+ "tracks": 1.0,
+ "vias": 1.0,
+ "zones": 0.30000001192092896
+ },
+ "ratsnest_display_mode": 0,
"selection_filter": {
"dimensions": true,
"footprints": true,
@@ -23,7 +31,6 @@
2,
3,
4,
- 5,
6,
8,
9,
@@ -52,12 +59,17 @@
32,
33,
34,
- 35
+ 35,
+ 36,
+ 37
],
- "visible_layers": "7ffff_ffffffff"
+ "visible_layers": "007ffff_ffffffff"
},
"meta": {
"filename": "rotor_base_pcb.kicad_prl",
- "version": 1
+ "version": 2
+ },
+ "project": {
+ "files": []
}
}
diff --git a/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_pro b/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_pro
index 4c9daf5..294970a 100644
--- a/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_pro
+++ b/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_pro
@@ -12,6 +12,14 @@
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 1,
"dimension_units": 0,
+ "dimensions": {
+ "arrow_length": 1270000,
+ "extension_offset": 500000,
+ "keep_text_aligned": true,
+ "suppress_zeroes": false,
+ "text_position": 0,
+ "units_format": 1
+ },
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
@@ -37,7 +45,7 @@
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
- "min_clearance": 0.508
+ "min_clearance": 0.25
}
},
"diff_pair_dimensions": [
@@ -49,38 +57,45 @@
],
"drc_exclusions": [],
"meta": {
- "version": 0
+ "version": 1
},
"rule_severities": {
+ "annular_width": "error",
"clearance": "error",
"copper_edge_clearance": "error",
"courtyards_overlap": "error",
+ "diff_pair_gap_out_of_range": "error",
+ "diff_pair_uncoupled_length_too_long": "error",
"drill_too_small": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
+ "hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"keepout": "error",
+ "length_out_of_range": "error",
"malformed_courtyard": "error",
"microvia_drill_too_small": "error",
- "microvia_too_small": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
+ "net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
+ "silk_over_copper": "error",
+ "silk_overlap": "error",
+ "skew_out_of_range": "error",
+ "too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
- "via_annulus": "error",
"via_dangling": "warning",
"via_hole_larger_than_pad": "error",
- "via_too_small": "error",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
@@ -93,24 +108,58 @@
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
- "min_through_hole_diameter": 0.3,
+ "min_through_hole_diameter": 0.19999999999999998,
"min_track_width": 0.19999999999999998,
+ "min_via_annular_width": 0.049999999999999996,
"min_via_annulus": 0.049999999999999996,
"min_via_diameter": 0.39999999999999997,
"solder_mask_clearance": 0.0,
"solder_mask_min_width": 0.0,
"solder_paste_clearance": 0.0,
- "solder_paste_margin_ratio": 0.0
+ "solder_paste_margin_ratio": -0.0
},
"track_widths": [
- 0.25
+ 0.125,
+ 0.25,
+ 0.4,
+ 0.6,
+ 0.8,
+ 1.0,
+ 1.2,
+ 1.8,
+ 2.5
],
"via_dimensions": [
{
+ "diameter": 0.4,
+ "drill": 0.4
+ },
+ {
+ "diameter": 0.4,
+ "drill": 0.2
+ },
+ {
+ "diameter": 0.6,
+ "drill": 0.3
+ },
+ {
"diameter": 0.8,
"drill": 0.4
+ },
+ {
+ "diameter": 1.2,
+ "drill": 0.6
+ },
+ {
+ "diameter": 1.8,
+ "drill": 0.9
+ },
+ {
+ "diameter": 2.4,
+ "drill": 1.2
}
],
+ "zones_allow_external_fillets": false,
"zones_use_no_outline": false
},
"layer_presets": []
@@ -279,6 +328,7 @@
"global_label_dangling": "error",
"hier_label_mismatch": "error",
"label_dangling": "error",
+ "lib_symbol_issues": "warning",
"multiple_net_names": "error",
"net_not_bus_member": "error",
"no_connect_connected": "error",
@@ -287,7 +337,8 @@
"pin_not_driven": "error",
"pin_to_pin": "warning",
"similar_labels": "error",
- "unresolved_variable": "error"
+ "unresolved_variable": "error",
+ "wire_dangling": "error"
}
},
"libraries": {
@@ -310,8 +361,10 @@
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
- "track_width": 0.25,
- "via_diameter": 0.8,
+ "pcb_color": "rgba(0, 0, 0, 0.000)",
+ "schematic_color": "rgba(0, 0, 0, 0.000)",
+ "track_width": 0.125,
+ "via_diameter": 0.4,
"via_drill": 0.4,
"wire_width": 6.0
}
@@ -328,7 +381,8 @@
"netlist": "",
"specctra_dsn": "",
"step": "",
- "vmrl": ""
+ "vmrl": "",
+ "vrml": ""
},
"page_layout_descr_file": ""
},
@@ -340,6 +394,10 @@
"default_text_size": 50.0,
"default_wire_thickness": 6.0,
"field_names": [],
+ "intersheets_ref_prefix": "",
+ "intersheets_ref_short": false,
+ "intersheets_ref_show": false,
+ "intersheets_ref_suffix": "",
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.3
},
@@ -358,7 +416,7 @@
},
"sheets": [
[
- "7b4e9662-3bb2-4742-9dde-4578d66ee4f8",
+ "0e4938a9-228e-437a-8dcb-5ae646cec511",
""
]
],
diff --git a/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch b/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch
index c29eb60..f05261c 100644
--- a/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch
+++ b/mech_pcbs/rotor_base_pcb/rotor_base_pcb.kicad_sch
@@ -1,11 +1,284 @@
-(kicad_sch (version 20200714) (host eeschema "(5.99.0-2687-geae739d98)")
+(kicad_sch (version 20200828) (generator eeschema)
(page 1 1)
- (paper "A4")
+ (paper "A3")
(lib_symbols
- (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide)
+ (symbol "Connector_Generic:Conn_01x03" (pin_names hide) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Conn_01x03" (id 1) (at 0 -5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "connector" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Generic connector, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Conn_01x03_1_1"
+ (rectangle (start -1.27 -2.413) (end 0 -2.667)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 0.127) (end 0 -0.127)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 2.667) (end 0 2.413)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 3.81) (end 1.27 -3.81)
+ (stroke (width 0.254)) (fill (type background))
+ )
+ (pin passive line (at -5.08 2.54 0) (length 3.81)
+ (name "Pin_1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 0 0) (length 3.81)
+ (name "Pin_2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -2.54 0) (length 3.81)
+ (name "Pin_3" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Connector_Generic:Conn_01x04" (pin_names hide) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Conn_01x04" (id 1) (at 0 -7.62 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "connector" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Conn_01x04_1_1"
+ (rectangle (start -1.27 -4.953) (end 0 -5.207)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 -2.413) (end 0 -2.667)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 0.127) (end 0 -0.127)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 2.667) (end 0 2.413)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 3.81) (end 1.27 -6.35)
+ (stroke (width 0.254)) (fill (type background))
+ )
+ (pin passive line (at -5.08 2.54 0) (length 3.81)
+ (name "Pin_1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 0 0) (length 3.81)
+ (name "Pin_2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -2.54 0) (length 3.81)
+ (name "Pin_3" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -5.08 0) (length 3.81)
+ (name "Pin_4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Connector_Generic:Conn_02x10_Odd_Even" (pin_names hide) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at 1.27 12.7 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Conn_02x10_Odd_Even" (id 1) (at 1.27 -15.24 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "connector" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Generic connector, double row, 02x10, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Connector*:*_2x??_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Conn_02x10_Odd_Even_1_1"
+ (rectangle (start -1.27 -12.573) (end 0 -12.827)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 -10.033) (end 0 -10.287)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 -7.493) (end 0 -7.747)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 -4.953) (end 0 -5.207)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 -2.413) (end 0 -2.667)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 0.127) (end 0 -0.127)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 2.667) (end 0 2.413)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 5.207) (end 0 4.953)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 7.747) (end 0 7.493)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 10.287) (end 0 10.033)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start -1.27 11.43) (end 3.81 -13.97)
+ (stroke (width 0.254)) (fill (type background))
+ )
+ (rectangle (start 3.81 -12.573) (end 2.54 -12.827)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start 3.81 -10.033) (end 2.54 -10.287)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start 3.81 -7.493) (end 2.54 -7.747)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start 3.81 -4.953) (end 2.54 -5.207)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start 3.81 -2.413) (end 2.54 -2.667)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start 3.81 0.127) (end 2.54 -0.127)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start 3.81 2.667) (end 2.54 2.413)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start 3.81 5.207) (end 2.54 4.953)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start 3.81 7.747) (end 2.54 7.493)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (rectangle (start 3.81 10.287) (end 2.54 10.033)
+ (stroke (width 0.1524)) (fill (type none))
+ )
+ (pin passive line (at -5.08 10.16 0) (length 3.81)
+ (name "Pin_1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 0 180) (length 3.81)
+ (name "Pin_10" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -2.54 0) (length 3.81)
+ (name "Pin_11" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 -2.54 180) (length 3.81)
+ (name "Pin_12" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -5.08 0) (length 3.81)
+ (name "Pin_13" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 -5.08 180) (length 3.81)
+ (name "Pin_14" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -7.62 0) (length 3.81)
+ (name "Pin_15" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 -7.62 180) (length 3.81)
+ (name "Pin_16" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -10.16 0) (length 3.81)
+ (name "Pin_17" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 -10.16 180) (length 3.81)
+ (name "Pin_18" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -12.7 0) (length 3.81)
+ (name "Pin_19" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 10.16 180) (length 3.81)
+ (name "Pin_2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 -12.7 180) (length 3.81)
+ (name "Pin_20" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 7.62 0) (length 3.81)
+ (name "Pin_3" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 7.62 180) (length 3.81)
+ (name "Pin_4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 5.08 0) (length 3.81)
+ (name "Pin_5" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 5.08 180) (length 3.81)
+ (name "Pin_6" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 2.54 0) (length 3.81)
+ (name "Pin_7" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 2.54 180) (length 3.81)
+ (name "Pin_8" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 0 0) (length 3.81)
+ (name "Pin_9" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "C" (id 0) (at 0.254 1.778 0)
(effects (font (size 1.27 1.27)) (justify left))
)
@@ -54,7 +327,326 @@
)
)
)
- (symbol "Device:LED_Small_ALT" (pin_numbers hide) (pin_names (offset 0.254) hide)
+ (symbol "Device:Crystal_GND24_Small" (pin_names hide) (in_bom yes) (on_board yes)
+ (property "Reference" "Y" (id 0) (at 1.27 4.445 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "Crystal_GND24_Small" (id 1) (at 1.27 2.54 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "quartz ceramic resonator oscillator" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Four pin crystal, GND on pins 2 and 4, small symbol" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Crystal*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Crystal_GND24_Small_0_1"
+ (rectangle (start -0.762 -1.524) (end 0.762 1.524)
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 -0.762)
+ (xy -1.27 0.762)
+ )
+ (stroke (width 0.381)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 -0.762)
+ (xy 1.27 0.762)
+ )
+ (stroke (width 0.381)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 -1.27)
+ (xy -1.27 -1.905)
+ (xy 1.27 -1.905)
+ (xy 1.27 -1.27)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 1.27)
+ (xy -1.27 1.905)
+ (xy 1.27 1.905)
+ (xy 1.27 1.27)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ )
+ (symbol "Crystal_GND24_Small_1_1"
+ (pin passive line (at -2.54 0 0) (length 1.27)
+ (name "1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -3.175 90) (length 1.27)
+ (name "2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 2.54 0 180) (length 1.27)
+ (name "3" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 3.175 270) (length 1.27)
+ (name "4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Device:Crystal_Small" (pin_numbers hide) (pin_names hide) (in_bom yes) (on_board yes)
+ (property "Reference" "Y" (id 0) (at 0 2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Crystal_Small" (id 1) (at 0 -2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "quartz ceramic resonator oscillator" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Two pin crystal, small symbol" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Crystal*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Crystal_Small_0_1"
+ (rectangle (start -0.762 -1.524) (end 0.762 1.524)
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 -0.762)
+ (xy -1.27 0.762)
+ )
+ (stroke (width 0.381)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 -0.762)
+ (xy 1.27 0.762)
+ )
+ (stroke (width 0.381)) (fill (type none))
+ )
+ )
+ (symbol "Crystal_Small_1_1"
+ (pin passive line (at -2.54 0 0) (length 1.27)
+ (name "1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 2.54 0 180) (length 1.27)
+ (name "2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Device:D_Schottky_x2_Serial_AKC" (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "D" (id 0) (at 1.27 -2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "D_Schottky_x2_Serial_AKC" (id 1) (at 0 2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "diode" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Dual Schottky diode, anode/cathode/center" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "D_Schottky_x2_Serial_AKC_0_1"
+ (polyline
+ (pts
+ (xy -3.81 0)
+ (xy 3.81 0)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -2.54)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 6.35 0)
+ (xy 7.62 0)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 -1.27)
+ (xy -1.27 1.27)
+ (xy -1.27 1.27)
+ )
+ (stroke (width 0.2032)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 3.81 1.27)
+ (xy 3.81 -1.27)
+ (xy 3.81 -1.27)
+ )
+ (stroke (width 0.2032)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 -1.27)
+ (xy -0.762 -1.27)
+ (xy -0.762 -1.016)
+ (xy -0.762 -1.016)
+ )
+ (stroke (width 0.2032)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 3.81 -1.27)
+ (xy 4.318 -1.27)
+ (xy 4.318 -1.016)
+ (xy 4.318 -1.016)
+ )
+ (stroke (width 0.2032)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 3.81 1.27)
+ (xy 3.302 1.27)
+ (xy 3.302 1.016)
+ (xy 3.302 1.016)
+ )
+ (stroke (width 0.2032)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.778 1.016)
+ (xy -1.778 1.27)
+ (xy -1.27 1.27)
+ (xy -1.27 1.27)
+ (xy -1.27 1.27)
+ )
+ (stroke (width 0.2032)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -3.81 1.27)
+ (xy -1.27 0)
+ (xy -3.81 -1.27)
+ (xy -3.81 1.27)
+ (xy -3.81 1.27)
+ (xy -3.81 1.27)
+ )
+ (stroke (width 0.2032)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 1.27)
+ (xy 3.81 0)
+ (xy 1.27 -1.27)
+ (xy 1.27 1.27)
+ (xy 1.27 1.27)
+ (xy 1.27 1.27)
+ )
+ (stroke (width 0.2032)) (fill (type none))
+ )
+ (pin passive line (at -7.62 0 0) (length 3.81)
+ (name "A" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 0 180) (length 3.81)
+ (name "K" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -5.08 90) (length 2.54)
+ (name "common" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Device:D_Zener_Small_ALT" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "D" (id 0) (at 0 2.286 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "D_Zener_Small_ALT" (id 1) (at 0 -2.286 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "diode" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Zener diode, small symbol, filled shape" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "TO-???* *_Diode_* *SingleDiode* D_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "D_Zener_Small_ALT_0_1"
+ (polyline
+ (pts
+ (xy 0.762 0)
+ (xy -0.762 0)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.254 1.016)
+ (xy -0.762 1.016)
+ (xy -0.762 -1.016)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 1.016)
+ (xy -0.762 0)
+ (xy 0.762 -1.016)
+ (xy 0.762 1.016)
+ )
+ (stroke (width 0)) (fill (type outline))
+ )
+ )
+ (symbol "D_Zener_Small_ALT_1_1"
+ (pin passive line (at -2.54 0 0) (length 1.778)
+ (name "K" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 2.54 0 180) (length 1.778)
+ (name "A" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Device:LED_Small_ALT" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "D" (id 0) (at -1.27 3.175 0)
(effects (font (size 1.27 1.27)) (justify left))
)
@@ -73,7 +665,7 @@
(property "ki_description" "Light emitting diode, small symbol, filled shape" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "ki_fp_filters" "LED*\nLED_SMD:*\nLED_THT:*" (id 6) (at 0 0 0)
+ (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "LED_Small_ALT_0_1"
@@ -132,11 +724,142 @@
)
)
)
- (symbol "Device:Q_PNP_BEC" (pin_names (offset 0) hide)
+ (symbol "Device:L_Core_Ferrite" (pin_numbers hide) (pin_names hide) (in_bom yes) (on_board yes)
+ (property "Reference" "L" (id 0) (at -1.27 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "L_Core_Ferrite" (id 1) (at 2.794 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "inductor choke coil reactor magnetic" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Inductor with ferrite core" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Choke_* *Coil* Inductor_* L_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "L_Core_Ferrite_0_1"
+ (arc (start 0 -2.54) (end 0 -1.27) (radius (at 0 -1.905) (length 0.635) (angles -89.9 89.9))
+ (stroke (width 0)) (fill (type none))
+ )
+ (arc (start 0 -1.27) (end 0 0) (radius (at 0 -0.635) (length 0.635) (angles -89.9 89.9))
+ (stroke (width 0)) (fill (type none))
+ )
+ (arc (start 0 0) (end 0 1.27) (radius (at 0 0.635) (length 0.635) (angles -89.9 89.9))
+ (stroke (width 0)) (fill (type none))
+ )
+ (arc (start 0 1.27) (end 0 2.54) (radius (at 0 1.905) (length 0.635) (angles -89.9 89.9))
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 -2.794)
+ (xy 1.016 -2.286)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 -1.778)
+ (xy 1.016 -1.27)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 -0.762)
+ (xy 1.016 -0.254)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 0.254)
+ (xy 1.016 0.762)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 1.27)
+ (xy 1.016 1.778)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 2.286)
+ (xy 1.016 2.794)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.524 -2.286)
+ (xy 1.524 -2.794)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.524 -1.27)
+ (xy 1.524 -1.778)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.524 -0.254)
+ (xy 1.524 -0.762)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.524 0.762)
+ (xy 1.524 0.254)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.524 1.778)
+ (xy 1.524 1.27)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.524 2.794)
+ (xy 1.524 2.286)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ )
+ (symbol "L_Core_Ferrite_1_1"
+ (pin passive line (at 0 3.81 270) (length 1.27)
+ (name "1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -3.81 90) (length 1.27)
+ (name "2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Device:Q_NPN_BEC" (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
(property "Reference" "Q" (id 0) (at 5.08 1.27 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "Q_PNP_BEC" (id 1) (at 5.08 -1.27 0)
+ (property "Value" "Q_NPN_BEC" (id 1) (at 5.08 -1.27 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 5.08 2.54 0)
@@ -145,13 +868,13 @@
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "ki_keywords" "transistor PNP" (id 4) (at 0 0 0)
+ (property "ki_keywords" "transistor NPN" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "ki_description" "PNP transistor, base/emitter/collector" (id 5) (at 0 0 0)
+ (property "ki_description" "NPN transistor, base/emitter/collector" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
- (symbol "Q_PNP_BEC_0_1"
+ (symbol "Q_NPN_BEC_0_1"
(circle (center 1.27 0) (radius 2.8194) (stroke (width 0.254)) (fill (type none)))
(polyline
(pts
@@ -178,16 +901,16 @@
)
(polyline
(pts
- (xy 2.286 -1.778)
- (xy 1.778 -2.286)
- (xy 1.27 -1.27)
- (xy 2.286 -1.778)
- (xy 2.286 -1.778)
+ (xy 1.27 -1.778)
+ (xy 1.778 -1.27)
+ (xy 2.286 -2.286)
+ (xy 1.27 -1.778)
+ (xy 1.27 -1.778)
)
(stroke (width 0)) (fill (type outline))
)
)
- (symbol "Q_PNP_BEC_1_1"
+ (symbol "Q_NPN_BEC_1_1"
(pin input line (at -5.08 0 0) (length 5.715)
(name "B" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
@@ -202,7 +925,7 @@
)
)
)
- (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide)
+ (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "R" (id 0) (at 0.762 0.508 0)
(effects (font (size 1.27 1.27)) (justify left))
)
@@ -240,7 +963,7 @@
)
)
)
- (symbol "MCU_ST_STM32F0:STM32F030C8Tx" (pin_names (offset 0.508))
+ (symbol "MCU_ST_STM32F0:STM32F030C8Tx" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -12.7 39.37 0)
(effects (font (size 1.27 1.27)) (justify left))
)
@@ -462,7 +1185,49 @@
)
)
)
- (symbol "common_symbols:15mm_junction"
+ (symbol "Regulator_Linear:AZ1117-3.3" (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at -3.81 3.175 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "AZ1117-3.3" (id 1) (at 0 3.175 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 6.35 0)
+ (effects (font (size 1.27 1.27) italic) hide)
+ )
+ (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AZ1117.pdf" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "Fixed Voltage Regulator 1A Positive LDO" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "1A 20V Fixed LDO Linear Regulator, 3.3V, SOT-89/SOT-223/TO-220/TO-252/TO-263" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "SOT?223* SOT?89* TO?220* TO?252* TO?263*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "AZ1117-3.3_0_1"
+ (rectangle (start -5.08 1.905) (end 5.08 -5.08)
+ (stroke (width 0.254)) (fill (type background))
+ )
+ )
+ (symbol "AZ1117-3.3_1_1"
+ (pin power_in line (at 0 -7.62 90) (length 2.54)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_out line (at 7.62 0 180) (length 2.54)
+ (name "VO" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -7.62 0 0) (length 2.54)
+ (name "VI" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "common_symbols:15mm_junction" (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)))
)
@@ -578,7 +1343,7 @@
)
)
)
- (symbol "common_symbols:AIS1120SX"
+ (symbol "common_symbols:AIS1120SX" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 2.54 12.7 0)
(effects (font (size 1.27 1.27)))
)
@@ -631,7 +1396,7 @@
)
)
)
- (symbol "power:+3V3" (power) (pin_names (offset 0))
+ (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
@@ -680,7 +1445,7 @@
)
)
)
- (symbol "power:GND" (power) (pin_names (offset 0))
+ (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
@@ -719,2027 +1484,4141 @@
)
)
)
+ (symbol "power:GND_1" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Power flag, ground" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "GND_1_0_1"
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -1.27)
+ (xy 1.27 -1.27)
+ (xy 0 -2.54)
+ (xy -1.27 -1.27)
+ (xy 0 -1.27)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ )
+ (symbol "GND_1_1_1"
+ (pin power_in line (at 0 0 270) (length 0) hide
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "power:GND_2" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Power flag, ground" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "GND_2_0_1"
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -1.27)
+ (xy 1.27 -1.27)
+ (xy 0 -2.54)
+ (xy -1.27 -1.27)
+ (xy 0 -1.27)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ )
+ (symbol "GND_2_1_1"
+ (pin power_in line (at 0 0 270) (length 0) hide
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "power:GND_3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Power flag, ground" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "GND_3_0_1"
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -1.27)
+ (xy 1.27 -1.27)
+ (xy 0 -2.54)
+ (xy -1.27 -1.27)
+ (xy 0 -1.27)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ )
+ (symbol "GND_3_1_1"
+ (pin power_in line (at 0 0 270) (length 0) hide
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "power:GND_4" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Power flag, ground" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "GND_4_0_1"
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -1.27)
+ (xy 1.27 -1.27)
+ (xy 0 -2.54)
+ (xy -1.27 -1.27)
+ (xy 0 -1.27)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ )
+ (symbol "GND_4_1_1"
+ (pin power_in line (at 0 0 270) (length 0) hide
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "power:GND_5" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Power flag, ground" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "GND_5_0_1"
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -1.27)
+ (xy 1.27 -1.27)
+ (xy 0 -2.54)
+ (xy -1.27 -1.27)
+ (xy 0 -1.27)
+ )
+ (stroke (width 0)) (fill (type none))
+ )
+ )
+ (symbol "GND_5_1_1"
+ (pin power_in line (at 0 0 270) (length 0) hide
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
)
- (junction (at 45.72 45.72) (diameter 1.016) (color 0 0 0 0))
- (junction (at 45.72 48.26) (diameter 1.016) (color 0 0 0 0))
- (junction (at 45.72 50.8) (diameter 1.016) (color 0 0 0 0))
- (junction (at 45.72 52.07) (diameter 1.016) (color 0 0 0 0))
- (junction (at 45.72 53.34) (diameter 1.016) (color 0 0 0 0))
- (junction (at 45.72 55.88) (diameter 1.016) (color 0 0 0 0))
- (junction (at 45.72 58.42) (diameter 1.016) (color 0 0 0 0))
- (junction (at 85.09 81.28) (diameter 1.016) (color 0 0 0 0))
- (junction (at 85.09 167.64) (diameter 1.016) (color 0 0 0 0))
- (junction (at 86.36 81.28) (diameter 1.016) (color 0 0 0 0))
- (junction (at 87.63 81.28) (diameter 1.016) (color 0 0 0 0))
- (junction (at 96.52 45.72) (diameter 1.016) (color 0 0 0 0))
- (junction (at 96.52 48.26) (diameter 1.016) (color 0 0 0 0))
- (junction (at 96.52 50.8) (diameter 1.016) (color 0 0 0 0))
- (junction (at 96.52 52.07) (diameter 1.016) (color 0 0 0 0))
- (junction (at 96.52 53.34) (diameter 1.016) (color 0 0 0 0))
- (junction (at 96.52 55.88) (diameter 1.016) (color 0 0 0 0))
- (junction (at 96.52 58.42) (diameter 1.016) (color 0 0 0 0))
- (junction (at 146.05 101.6) (diameter 1.016) (color 0 0 0 0))
- (junction (at 146.05 146.05) (diameter 1.016) (color 0 0 0 0))
- (junction (at 146.05 173.99) (diameter 1.016) (color 0 0 0 0))
- (junction (at 146.05 181.61) (diameter 1.016) (color 0 0 0 0))
- (junction (at 154.94 95.25) (diameter 1.016) (color 0 0 0 0))
- (junction (at 157.48 95.25) (diameter 1.016) (color 0 0 0 0))
- (junction (at 165.1 80.01) (diameter 1.016) (color 0 0 0 0))
- (junction (at 168.91 95.25) (diameter 1.016) (color 0 0 0 0))
- (junction (at 176.53 80.01) (diameter 1.016) (color 0 0 0 0))
- (junction (at 176.53 110.49) (diameter 1.016) (color 0 0 0 0))
- (junction (at 180.34 95.25) (diameter 1.016) (color 0 0 0 0))
- (junction (at 187.96 80.01) (diameter 1.016) (color 0 0 0 0))
- (junction (at 187.96 110.49) (diameter 1.016) (color 0 0 0 0))
- (junction (at 191.77 95.25) (diameter 1.016) (color 0 0 0 0))
- (junction (at 199.39 80.01) (diameter 1.016) (color 0 0 0 0))
- (junction (at 199.39 110.49) (diameter 1.016) (color 0 0 0 0))
- (junction (at 210.82 80.01) (diameter 1.016) (color 0 0 0 0))
- (junction (at 210.82 110.49) (diameter 1.016) (color 0 0 0 0))
- (junction (at 226.06 90.17) (diameter 1.016) (color 0 0 0 0))
- (junction (at 226.06 100.33) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 46.99 218.44) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 46.99 226.06) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 54.61 218.44) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 54.61 226.06) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 62.23 218.44) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 62.23 226.06) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 62.23 259.08) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 68.58 243.84) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 68.58 259.08) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 69.85 218.44) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 69.85 226.06) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 77.47 218.44) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 77.47 226.06) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 83.82 243.84) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 83.82 259.08) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 99.06 243.84) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 99.06 254) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 105.41 50.8) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 105.41 53.34) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 105.41 55.88) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 105.41 57.15) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 105.41 58.42) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 105.41 60.96) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 105.41 63.5) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 109.22 243.84) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 109.22 254) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 121.92 243.84) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 121.92 254) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 124.46 135.89) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 132.08 254) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 142.24 243.84) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 142.24 254) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 151.13 243.84) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 156.21 50.8) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 156.21 53.34) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 156.21 55.88) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 156.21 57.15) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 156.21 58.42) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 156.21 60.96) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 156.21 63.5) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 158.75 111.76) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 158.75 198.12) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 160.02 111.76) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 161.29 111.76) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 219.71 177.8) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 229.87 167.64) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 229.87 195.58) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 229.87 203.2) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 250.19 110.49) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 250.19 129.54) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 256.54 129.54) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 256.54 177.8) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 261.62 110.49) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 261.62 129.54) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 266.7 167.64) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 266.7 195.58) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 266.7 203.2) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 273.05 110.49) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 293.37 177.8) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 299.72 110.49) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 299.72 120.65) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 299.72 130.81) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 299.72 146.05) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 303.53 167.64) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 303.53 195.58) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 303.53 203.2) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 330.2 177.8) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 340.36 167.64) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 340.36 195.58) (diameter 1.016) (color 0 0 0 0))
+ (junction (at 340.36 203.2) (diameter 1.016) (color 0 0 0 0))
- (wire (pts (xy 22.86 52.07) (xy 45.72 52.07))
+ (wire (pts (xy 39.37 218.44) (xy 46.99 218.44))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 39.37 226.06) (xy 46.99 226.06))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 41.91 248.92) (xy 53.34 248.92))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 41.91 251.46) (xy 53.34 251.46))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 46.99 218.44) (xy 54.61 218.44))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 46.99 226.06) (xy 54.61 226.06))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 53.34 237.49) (xy 76.2 237.49))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 53.34 248.92) (xy 53.34 237.49))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 53.34 251.46) (xy 53.34 259.08))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 53.34 259.08) (xy 62.23 259.08))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 54.61 218.44) (xy 62.23 218.44))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 54.61 226.06) (xy 62.23 226.06))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 55.88 251.46) (xy 55.88 254))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 55.88 254) (xy 41.91 254))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 57.15 251.46) (xy 55.88 251.46))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 62.23 218.44) (xy 69.85 218.44))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 62.23 226.06) (xy 69.85 226.06))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 62.23 243.84) (xy 68.58 243.84))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 68.58 243.84) (xy 83.82 243.84))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 68.58 259.08) (xy 62.23 259.08))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 68.58 259.08) (xy 83.82 259.08))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 69.85 218.44) (xy 77.47 218.44))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 69.85 226.06) (xy 77.47 226.06))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 76.2 237.49) (xy 76.2 251.46))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 76.2 251.46) (xy 73.66 251.46))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 77.47 218.44) (xy 87.63 218.44))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 77.47 226.06) (xy 87.63 226.06))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 82.55 57.15) (xy 105.41 57.15))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 83.82 243.84) (xy 83.82 248.92))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 83.82 243.84) (xy 99.06 243.84))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 83.82 254) (xy 83.82 259.08))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 99.06 243.84) (xy 99.06 246.38))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 99.06 243.84) (xy 109.22 243.84))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 99.06 251.46) (xy 99.06 254))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 99.06 254) (xy 99.06 259.08))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 99.06 254) (xy 109.22 254))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 99.06 259.08) (xy 83.82 259.08))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 48.26) (xy 105.41 50.8))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 50.8) (xy 105.41 53.34))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 50.8) (xy 107.95 50.8))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 53.34) (xy 105.41 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 53.34) (xy 107.95 53.34))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 55.88) (xy 105.41 57.15))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 55.88) (xy 107.95 55.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 57.15) (xy 105.41 58.42))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 58.42) (xy 105.41 60.96))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 58.42) (xy 107.95 58.42))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 60.96) (xy 105.41 63.5))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 60.96) (xy 107.95 60.96))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 63.5) (xy 105.41 66.04))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 63.5) (xy 107.95 63.5))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 105.41 66.04) (xy 107.95 66.04))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 107.95 48.26) (xy 105.41 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 109.22 243.84) (xy 109.22 246.38))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 109.22 243.84) (xy 121.92 243.84))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 109.22 254) (xy 109.22 251.46))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 113.03 48.26) (xy 130.81 48.26))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 113.03 50.8) (xy 130.81 50.8))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 43.18) (xy 45.72 45.72))
+ (wire (pts (xy 113.03 53.34) (xy 130.81 53.34))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 45.72) (xy 45.72 48.26))
+ (wire (pts (xy 113.03 55.88) (xy 130.81 55.88))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 45.72) (xy 48.26 45.72))
+ (wire (pts (xy 113.03 58.42) (xy 130.81 58.42))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 48.26) (xy 45.72 50.8))
+ (wire (pts (xy 113.03 60.96) (xy 130.81 60.96))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 48.26) (xy 48.26 48.26))
+ (wire (pts (xy 113.03 63.5) (xy 130.81 63.5))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 50.8) (xy 45.72 52.07))
+ (wire (pts (xy 113.03 66.04) (xy 130.81 66.04))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 50.8) (xy 48.26 50.8))
+ (wire (pts (xy 117.475 130.81) (xy 117.475 135.89))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 52.07) (xy 45.72 53.34))
+ (wire (pts (xy 120.65 127) (xy 120.65 128.27))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 53.34) (xy 45.72 55.88))
+ (wire (pts (xy 120.65 133.35) (xy 120.65 134.62))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 53.34) (xy 48.26 53.34))
+ (wire (pts (xy 120.65 134.62) (xy 129.54 134.62))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 55.88) (xy 45.72 58.42))
+ (wire (pts (xy 121.92 243.84) (xy 121.92 246.38))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 55.88) (xy 48.26 55.88))
+ (wire (pts (xy 121.92 243.84) (xy 124.46 243.84))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 58.42) (xy 45.72 60.96))
+ (wire (pts (xy 121.92 251.46) (xy 121.92 254))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 58.42) (xy 48.26 58.42))
+ (wire (pts (xy 121.92 254) (xy 109.22 254))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 45.72 60.96) (xy 48.26 60.96))
+ (wire (pts (xy 123.825 130.81) (xy 124.46 130.81))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 48.26 43.18) (xy 45.72 43.18))
+ (wire (pts (xy 124.46 130.81) (xy 124.46 135.89))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 121.92) (xy 69.85 121.92))
+ (wire (pts (xy 124.46 135.89) (xy 117.475 135.89))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 124.46) (xy 69.85 124.46))
+ (wire (pts (xy 124.46 135.89) (xy 124.46 137.16))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 127) (xy 69.85 127))
+ (wire (pts (xy 124.46 152.4) (xy 143.51 152.4))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 129.54) (xy 69.85 129.54))
+ (wire (pts (xy 124.46 154.94) (xy 143.51 154.94))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 132.08) (xy 69.85 132.08))
+ (wire (pts (xy 124.46 157.48) (xy 143.51 157.48))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 134.62) (xy 69.85 134.62))
+ (wire (pts (xy 124.46 160.02) (xy 143.51 160.02))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 137.16) (xy 69.85 137.16))
+ (wire (pts (xy 124.46 162.56) (xy 143.51 162.56))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 139.7) (xy 69.85 139.7))
+ (wire (pts (xy 124.46 165.1) (xy 143.51 165.1))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 142.24) (xy 69.85 142.24))
+ (wire (pts (xy 124.46 167.64) (xy 143.51 167.64))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 144.78) (xy 69.85 144.78))
+ (wire (pts (xy 124.46 170.18) (xy 143.51 170.18))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 147.32) (xy 69.85 147.32))
+ (wire (pts (xy 124.46 172.72) (xy 143.51 172.72))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 149.86) (xy 69.85 149.86))
+ (wire (pts (xy 124.46 175.26) (xy 143.51 175.26))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 152.4) (xy 69.85 152.4))
+ (wire (pts (xy 124.46 177.8) (xy 143.51 177.8))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 154.94) (xy 69.85 154.94))
+ (wire (pts (xy 124.46 180.34) (xy 143.51 180.34))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 157.48) (xy 69.85 157.48))
+ (wire (pts (xy 124.46 182.88) (xy 143.51 182.88))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 50.8 160.02) (xy 69.85 160.02))
+ (wire (pts (xy 124.46 185.42) (xy 143.51 185.42))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 53.34 43.18) (xy 71.12 43.18))
+ (wire (pts (xy 124.46 187.96) (xy 143.51 187.96))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 53.34 45.72) (xy 71.12 45.72))
+ (wire (pts (xy 124.46 190.5) (xy 143.51 190.5))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 53.34 48.26) (xy 71.12 48.26))
+ (wire (pts (xy 129.54 127) (xy 120.65 127))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 53.34 50.8) (xy 71.12 50.8))
+ (wire (pts (xy 129.54 129.54) (xy 129.54 127))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 53.34 53.34) (xy 71.12 53.34))
+ (wire (pts (xy 129.54 132.08) (xy 143.51 132.08))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 53.34 55.88) (xy 71.12 55.88))
+ (wire (pts (xy 129.54 134.62) (xy 129.54 132.08))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 53.34 58.42) (xy 71.12 58.42))
+ (wire (pts (xy 129.54 148.59) (xy 134.62 148.59))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 53.34 60.96) (xy 71.12 60.96))
+ (wire (pts (xy 132.08 251.46) (xy 132.08 254))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 73.66 52.07) (xy 96.52 52.07))
+ (wire (pts (xy 132.08 254) (xy 121.92 254))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 82.55 81.28) (xy 85.09 81.28))
+ (wire (pts (xy 132.08 254) (xy 132.08 256.54))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 82.55 83.82) (xy 82.55 81.28))
+ (wire (pts (xy 132.08 254) (xy 142.24 254))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 82.55 165.1) (xy 82.55 167.64))
+ (wire (pts (xy 133.35 57.15) (xy 156.21 57.15))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 82.55 167.64) (xy 85.09 167.64))
+ (wire (pts (xy 134.62 143.51) (xy 129.54 143.51))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 85.09 81.28) (xy 85.09 83.82))
+ (wire (pts (xy 134.62 144.78) (xy 134.62 143.51))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 85.09 81.28) (xy 86.36 81.28))
+ (wire (pts (xy 134.62 147.32) (xy 143.51 147.32))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 85.09 165.1) (xy 85.09 167.64))
+ (wire (pts (xy 134.62 148.59) (xy 134.62 147.32))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 85.09 167.64) (xy 85.09 170.18))
+ (wire (pts (xy 139.7 243.84) (xy 142.24 243.84))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 85.09 167.64) (xy 87.63 167.64))
+ (wire (pts (xy 140.97 116.84) (xy 140.97 119.38))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 86.36 78.74) (xy 86.36 81.28))
+ (wire (pts (xy 140.97 119.38) (xy 143.51 119.38))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 86.36 81.28) (xy 87.63 81.28))
+ (wire (pts (xy 140.97 124.46) (xy 143.51 124.46))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 87.63 81.28) (xy 87.63 83.82))
+ (wire (pts (xy 142.24 243.84) (xy 142.24 246.38))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 87.63 81.28) (xy 90.17 81.28))
+ (wire (pts (xy 142.24 243.84) (xy 151.13 243.84))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 87.63 165.1) (xy 87.63 167.64))
+ (wire (pts (xy 142.24 251.46) (xy 142.24 254))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 90.17 81.28) (xy 90.17 83.82))
+ (wire (pts (xy 142.24 254) (xy 151.13 254))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 43.18) (xy 96.52 45.72))
+ (wire (pts (xy 143.51 129.54) (xy 129.54 129.54))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 45.72) (xy 96.52 48.26))
+ (wire (pts (xy 143.51 144.78) (xy 134.62 144.78))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 45.72) (xy 99.06 45.72))
+ (wire (pts (xy 151.13 243.84) (xy 151.13 246.38))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 48.26) (xy 96.52 50.8))
+ (wire (pts (xy 151.13 254) (xy 151.13 251.46))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 48.26) (xy 99.06 48.26))
+ (wire (pts (xy 153.67 241.3) (xy 153.67 243.84))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 50.8) (xy 96.52 52.07))
+ (wire (pts (xy 153.67 243.84) (xy 151.13 243.84))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 50.8) (xy 99.06 50.8))
+ (wire (pts (xy 156.21 48.26) (xy 156.21 50.8))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 52.07) (xy 96.52 53.34))
+ (wire (pts (xy 156.21 50.8) (xy 156.21 53.34))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 53.34) (xy 96.52 55.88))
+ (wire (pts (xy 156.21 50.8) (xy 158.75 50.8))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 53.34) (xy 99.06 53.34))
+ (wire (pts (xy 156.21 53.34) (xy 156.21 55.88))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 55.88) (xy 96.52 58.42))
+ (wire (pts (xy 156.21 53.34) (xy 158.75 53.34))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 55.88) (xy 99.06 55.88))
+ (wire (pts (xy 156.21 55.88) (xy 156.21 57.15))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 58.42) (xy 96.52 60.96))
+ (wire (pts (xy 156.21 55.88) (xy 158.75 55.88))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 58.42) (xy 99.06 58.42))
+ (wire (pts (xy 156.21 57.15) (xy 156.21 58.42))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 96.52 60.96) (xy 99.06 60.96))
+ (wire (pts (xy 156.21 58.42) (xy 156.21 60.96))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 99.06 43.18) (xy 96.52 43.18))
+ (wire (pts (xy 156.21 58.42) (xy 158.75 58.42))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 100.33 121.92) (xy 123.19 121.92))
+ (wire (pts (xy 156.21 60.96) (xy 156.21 63.5))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 100.33 124.46) (xy 123.19 124.46))
+ (wire (pts (xy 156.21 60.96) (xy 158.75 60.96))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 100.33 132.08) (xy 109.22 132.08))
+ (wire (pts (xy 156.21 63.5) (xy 156.21 66.04))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 100.33 134.62) (xy 109.22 134.62))
+ (wire (pts (xy 156.21 63.5) (xy 158.75 63.5))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 100.33 137.16) (xy 109.22 137.16))
+ (wire (pts (xy 156.21 66.04) (xy 158.75 66.04))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 100.33 139.7) (xy 109.22 139.7))
+ (wire (pts (xy 156.21 111.76) (xy 158.75 111.76))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 100.33 144.78) (xy 109.22 144.78))
+ (wire (pts (xy 156.21 114.3) (xy 156.21 111.76))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 104.14 43.18) (xy 121.92 43.18))
+ (wire (pts (xy 156.21 195.58) (xy 156.21 198.12))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 104.14 45.72) (xy 121.92 45.72))
+ (wire (pts (xy 156.21 198.12) (xy 158.75 198.12))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 104.14 48.26) (xy 121.92 48.26))
+ (wire (pts (xy 158.75 48.26) (xy 156.21 48.26))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 104.14 50.8) (xy 121.92 50.8))
+ (wire (pts (xy 158.75 111.76) (xy 158.75 114.3))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 104.14 53.34) (xy 121.92 53.34))
+ (wire (pts (xy 158.75 111.76) (xy 160.02 111.76))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 104.14 55.88) (xy 121.92 55.88))
+ (wire (pts (xy 158.75 195.58) (xy 158.75 198.12))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 104.14 58.42) (xy 121.92 58.42))
+ (wire (pts (xy 158.75 198.12) (xy 158.75 200.66))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 104.14 60.96) (xy 121.92 60.96))
+ (wire (pts (xy 158.75 198.12) (xy 161.29 198.12))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 128.27 156.21) (xy 137.16 156.21))
+ (wire (pts (xy 160.02 109.22) (xy 160.02 111.76))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 128.27 158.75) (xy 137.16 158.75))
+ (wire (pts (xy 160.02 111.76) (xy 161.29 111.76))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 128.27 161.29) (xy 137.16 161.29))
+ (wire (pts (xy 161.29 111.76) (xy 161.29 114.3))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 128.27 163.83) (xy 137.16 163.83))
+ (wire (pts (xy 161.29 111.76) (xy 163.83 111.76))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 132.715 28.575) (xy 151.765 28.575))
+ (wire (pts (xy 161.29 195.58) (xy 161.29 198.12))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 132.715 31.115) (xy 151.765 31.115))
+ (wire (pts (xy 163.83 48.26) (xy 181.61 48.26))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 132.715 36.195) (xy 151.765 36.195))
+ (wire (pts (xy 163.83 50.8) (xy 181.61 50.8))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 132.715 38.735) (xy 151.765 38.735))
+ (wire (pts (xy 163.83 53.34) (xy 181.61 53.34))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 132.715 43.815) (xy 151.765 43.815))
+ (wire (pts (xy 163.83 55.88) (xy 181.61 55.88))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 132.715 46.355) (xy 151.765 46.355))
+ (wire (pts (xy 163.83 58.42) (xy 181.61 58.42))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 132.715 51.435) (xy 151.765 51.435))
+ (wire (pts (xy 163.83 60.96) (xy 181.61 60.96))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 132.715 53.975) (xy 151.765 53.975))
+ (wire (pts (xy 163.83 63.5) (xy 181.61 63.5))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 135.89 110.49) (xy 146.05 110.49))
+ (wire (pts (xy 163.83 66.04) (xy 181.61 66.04))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 143.51 171.45) (xy 143.51 173.99))
+ (wire (pts (xy 163.83 111.76) (xy 163.83 114.3))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 143.51 173.99) (xy 146.05 173.99))
+ (wire (pts (xy 173.99 152.4) (xy 196.85 152.4))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 146.05 80.01) (xy 165.1 80.01))
+ (wire (pts (xy 173.99 154.94) (xy 196.85 154.94))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 146.05 82.55) (xy 146.05 80.01))
+ (wire (pts (xy 173.99 157.48) (xy 196.85 157.48))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 146.05 87.63) (xy 146.05 90.17))
+ (wire (pts (xy 173.99 160.02) (xy 196.85 160.02))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 146.05 100.33) (xy 146.05 101.6))
+ (wire (pts (xy 173.99 165.1) (xy 196.85 165.1))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 146.05 101.6) (xy 146.05 102.87))
+ (wire (pts (xy 173.99 167.64) (xy 196.85 167.64))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 146.05 107.95) (xy 146.05 110.49))
+ (wire (pts (xy 173.99 170.18) (xy 196.85 170.18))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 146.05 143.51) (xy 146.05 146.05))
+ (wire (pts (xy 173.99 172.72) (xy 196.85 172.72))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 146.05 146.05) (xy 146.05 148.59))
+ (wire (pts (xy 173.99 175.26) (xy 196.85 175.26))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 146.05 171.45) (xy 146.05 173.99))
+ (wire (pts (xy 173.99 177.8) (xy 196.85 177.8))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 146.05 173.99) (xy 146.05 181.61))
+ (wire (pts (xy 173.99 180.34) (xy 196.85 180.34))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 146.05 181.61) (xy 146.05 184.15))
+ (wire (pts (xy 173.99 182.88) (xy 196.85 182.88))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 149.86 171.45) (xy 149.86 173.99))
+ (wire (pts (xy 173.99 185.42) (xy 196.85 185.42))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 149.86 179.07) (xy 149.86 181.61))
+ (wire (pts (xy 173.99 187.96) (xy 196.85 187.96))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 149.86 181.61) (xy 146.05 181.61))
+ (wire (pts (xy 173.99 190.5) (xy 196.85 190.5))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 153.67 95.25) (xy 154.94 95.25))
+ (wire (pts (xy 189.23 226.06) (xy 200.66 226.06))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 154.94 95.25) (xy 154.94 101.6))
+ (wire (pts (xy 189.23 228.6) (xy 200.66 228.6))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 154.94 95.25) (xy 157.48 95.25))
+ (wire (pts (xy 190.5 231.14) (xy 200.66 231.14))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 154.94 101.6) (xy 146.05 101.6))
+ (wire (pts (xy 190.5 233.68) (xy 200.66 233.68))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 156.21 146.05) (xy 146.05 146.05))
+ (wire (pts (xy 203.835 42.545) (xy 222.885 42.545))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 157.48 95.25) (xy 168.91 95.25))
+ (wire (pts (xy 203.835 45.085) (xy 222.885 45.085))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 161.925 28.575) (xy 175.895 28.575))
+ (wire (pts (xy 203.835 50.165) (xy 222.885 50.165))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 161.925 31.115) (xy 175.895 31.115))
+ (wire (pts (xy 203.835 52.705) (xy 222.885 52.705))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 161.925 36.195) (xy 175.895 36.195))
+ (wire (pts (xy 203.835 57.785) (xy 222.885 57.785))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 161.925 38.735) (xy 175.895 38.735))
+ (wire (pts (xy 203.835 60.325) (xy 222.885 60.325))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 161.925 43.815) (xy 175.895 43.815))
+ (wire (pts (xy 203.835 65.405) (xy 222.885 65.405))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 161.925 46.355) (xy 175.895 46.355))
+ (wire (pts (xy 203.835 67.945) (xy 222.885 67.945))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 161.925 51.435) (xy 175.895 51.435))
+ (wire (pts (xy 212.09 177.8) (xy 219.71 177.8))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 161.925 53.975) (xy 175.895 53.975))
+ (wire (pts (xy 212.09 180.34) (xy 220.98 180.34))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 165.1 80.01) (xy 176.53 80.01))
+ (wire (pts (xy 212.09 182.88) (xy 220.98 182.88))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 165.1 82.55) (xy 165.1 80.01))
+ (wire (pts (xy 212.09 185.42) (xy 220.98 185.42))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 165.1 87.63) (xy 165.1 90.17))
+ (wire (pts (xy 213.36 226.06) (xy 227.33 226.06))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 165.1 100.33) (xy 165.1 102.87))
+ (wire (pts (xy 213.36 228.6) (xy 227.33 228.6))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 165.1 110.49) (xy 165.1 107.95))
+ (wire (pts (xy 213.36 231.14) (xy 227.33 231.14))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 168.91 95.25) (xy 180.34 95.25))
+ (wire (pts (xy 213.36 233.68) (xy 227.33 233.68))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 176.53 80.01) (xy 176.53 82.55))
+ (wire (pts (xy 213.36 236.22) (xy 227.33 236.22))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 176.53 80.01) (xy 187.96 80.01))
+ (wire (pts (xy 213.36 238.76) (xy 227.33 238.76))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 176.53 87.63) (xy 176.53 90.17))
+ (wire (pts (xy 213.36 241.3) (xy 227.33 241.3))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 176.53 100.33) (xy 176.53 102.87))
+ (wire (pts (xy 213.36 248.92) (xy 227.33 248.92))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 176.53 107.95) (xy 176.53 110.49))
+ (wire (pts (xy 219.71 177.8) (xy 219.71 190.5))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 176.53 110.49) (xy 165.1 110.49))
+ (wire (pts (xy 219.71 177.8) (xy 220.98 177.8))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 180.34 95.25) (xy 191.77 95.25))
+ (wire (pts (xy 219.71 195.58) (xy 219.71 198.12))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 187.96 77.47) (xy 187.96 80.01))
+ (wire (pts (xy 227.33 193.04) (xy 227.33 195.58))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 187.96 80.01) (xy 187.96 82.55))
+ (wire (pts (xy 227.33 195.58) (xy 229.87 195.58))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 187.96 80.01) (xy 199.39 80.01))
+ (wire (pts (xy 228.6 137.16) (xy 240.03 137.16))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 187.96 87.63) (xy 187.96 90.17))
+ (wire (pts (xy 229.87 165.1) (xy 229.87 167.64))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 187.96 100.33) (xy 187.96 102.87))
+ (wire (pts (xy 229.87 167.64) (xy 229.87 170.18))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 187.96 107.95) (xy 187.96 110.49))
+ (wire (pts (xy 229.87 193.04) (xy 229.87 195.58))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 187.96 110.49) (xy 176.53 110.49))
+ (wire (pts (xy 229.87 195.58) (xy 229.87 203.2))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 188.595 28.575) (xy 207.645 28.575))
+ (wire (pts (xy 229.87 203.2) (xy 229.87 205.74))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 188.595 31.115) (xy 207.645 31.115))
+ (wire (pts (xy 233.045 42.545) (xy 247.015 42.545))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 188.595 36.195) (xy 207.645 36.195))
+ (wire (pts (xy 233.045 45.085) (xy 247.015 45.085))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 188.595 38.735) (xy 207.645 38.735))
+ (wire (pts (xy 233.045 50.165) (xy 247.015 50.165))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 188.595 43.815) (xy 207.645 43.815))
+ (wire (pts (xy 233.045 52.705) (xy 247.015 52.705))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 188.595 46.355) (xy 207.645 46.355))
+ (wire (pts (xy 233.045 57.785) (xy 247.015 57.785))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 188.595 51.435) (xy 207.645 51.435))
+ (wire (pts (xy 233.045 60.325) (xy 247.015 60.325))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 188.595 53.975) (xy 207.645 53.975))
+ (wire (pts (xy 233.045 65.405) (xy 247.015 65.405))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 191.77 95.25) (xy 203.2 95.25))
+ (wire (pts (xy 233.045 67.945) (xy 247.015 67.945))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 199.39 80.01) (xy 199.39 82.55))
+ (wire (pts (xy 233.68 193.04) (xy 233.68 195.58))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 199.39 80.01) (xy 210.82 80.01))
+ (wire (pts (xy 233.68 200.66) (xy 233.68 203.2))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 199.39 87.63) (xy 199.39 90.17))
+ (wire (pts (xy 233.68 203.2) (xy 229.87 203.2))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 199.39 100.33) (xy 199.39 102.87))
+ (wire (pts (xy 238.76 110.49) (xy 250.19 110.49))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 199.39 107.95) (xy 199.39 110.49))
+ (wire (pts (xy 238.76 113.03) (xy 238.76 110.49))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 199.39 110.49) (xy 187.96 110.49))
+ (wire (pts (xy 238.76 118.11) (xy 238.76 121.92))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 210.82 80.01) (xy 210.82 82.55))
+ (wire (pts (xy 238.76 129.54) (xy 238.76 127))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 210.82 87.63) (xy 210.82 90.17))
+ (wire (pts (xy 240.03 167.64) (xy 229.87 167.64))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 210.82 100.33) (xy 210.82 102.87))
+ (wire (pts (xy 245.11 137.16) (xy 248.92 137.16))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 210.82 107.95) (xy 210.82 110.49))
+ (wire (pts (xy 248.92 177.8) (xy 256.54 177.8))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 210.82 110.49) (xy 199.39 110.49))
+ (wire (pts (xy 248.92 180.34) (xy 257.81 180.34))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 222.25 90.17) (xy 222.25 92.71))
+ (wire (pts (xy 248.92 182.88) (xy 257.81 182.88))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 222.25 97.79) (xy 222.25 100.33))
+ (wire (pts (xy 248.92 185.42) (xy 257.81 185.42))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 222.25 100.33) (xy 226.06 100.33))
+ (wire (pts (xy 250.19 110.49) (xy 250.19 113.03))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 226.06 80.01) (xy 210.82 80.01))
+ (wire (pts (xy 250.19 110.49) (xy 261.62 110.49))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 226.06 90.17) (xy 222.25 90.17))
+ (wire (pts (xy 250.19 118.11) (xy 250.19 121.92))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 226.06 90.17) (xy 226.06 80.01))
+ (wire (pts (xy 250.19 127) (xy 250.19 129.54))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 226.06 100.33) (xy 226.06 110.49))
+ (wire (pts (xy 250.19 129.54) (xy 238.76 129.54))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 226.06 100.33) (xy 229.87 100.33))
+ (wire (pts (xy 256.54 129.54) (xy 250.19 129.54))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 226.06 110.49) (xy 210.82 110.49))
+ (wire (pts (xy 256.54 129.54) (xy 256.54 132.08))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 229.87 90.17) (xy 226.06 90.17))
+ (wire (pts (xy 256.54 146.05) (xy 256.54 142.24))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 229.87 92.71) (xy 229.87 90.17))
+ (wire (pts (xy 256.54 146.05) (xy 299.72 146.05))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 229.87 100.33) (xy 229.87 97.79))
+ (wire (pts (xy 256.54 177.8) (xy 256.54 190.5))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 231.775 28.575) (xy 250.825 28.575))
+ (wire (pts (xy 256.54 177.8) (xy 257.81 177.8))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 231.775 31.115) (xy 250.825 31.115))
+ (wire (pts (xy 256.54 195.58) (xy 256.54 198.12))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 231.775 36.195) (xy 250.825 36.195))
+ (wire (pts (xy 257.81 232.41) (xy 267.97 232.41))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 231.775 38.735) (xy 250.825 38.735))
+ (wire (pts (xy 257.81 234.95) (xy 267.97 234.95))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 231.775 43.815) (xy 250.825 43.815))
+ (wire (pts (xy 259.08 237.49) (xy 267.97 237.49))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 231.775 46.355) (xy 250.825 46.355))
+ (wire (pts (xy 259.08 240.03) (xy 267.97 240.03))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 231.775 51.435) (xy 250.825 51.435))
+ (wire (pts (xy 259.715 42.545) (xy 278.765 42.545))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (wire (pts (xy 231.775 53.975) (xy 250.825 53.975))
+ (wire (pts (xy 259.715 45.085) (xy 278.765 45.085))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 259.715 50.165) (xy 278.765 50.165))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 259.715 52.705) (xy 278.765 52.705))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 259.715 57.785) (xy 278.765 57.785))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 259.715 60.325) (xy 278.765 60.325))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 259.715 65.405) (xy 278.765 65.405))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 259.715 67.945) (xy 278.765 67.945))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 261.62 110.49) (xy 261.62 113.03))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 261.62 110.49) (xy 273.05 110.49))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 261.62 118.11) (xy 261.62 121.92))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 261.62 127) (xy 261.62 129.54))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 261.62 129.54) (xy 256.54 129.54))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 264.16 193.04) (xy 264.16 195.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 264.16 195.58) (xy 266.7 195.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 266.7 165.1) (xy 266.7 167.64))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 266.7 167.64) (xy 266.7 170.18))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 266.7 193.04) (xy 266.7 195.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 266.7 195.58) (xy 266.7 203.2))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 266.7 203.2) (xy 266.7 205.74))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 270.51 193.04) (xy 270.51 195.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 270.51 200.66) (xy 270.51 203.2))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 270.51 203.2) (xy 266.7 203.2))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 273.05 110.49) (xy 273.05 113.03))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 273.05 110.49) (xy 299.72 110.49))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 273.05 118.11) (xy 273.05 121.92))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 273.05 127) (xy 273.05 129.54))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 273.05 129.54) (xy 261.62 129.54))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 276.86 167.64) (xy 266.7 167.64))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 285.75 177.8) (xy 293.37 177.8))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 285.75 180.34) (xy 294.64 180.34))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 285.75 182.88) (xy 294.64 182.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 285.75 185.42) (xy 294.64 185.42))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 293.37 177.8) (xy 293.37 190.5))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 293.37 177.8) (xy 294.64 177.8))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 293.37 195.58) (xy 293.37 198.12))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 295.91 120.65) (xy 295.91 123.19))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 295.91 128.27) (xy 295.91 130.81))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 295.91 130.81) (xy 299.72 130.81))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 299.72 107.95) (xy 299.72 110.49))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 299.72 110.49) (xy 299.72 120.65))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 299.72 120.65) (xy 295.91 120.65))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 299.72 130.81) (xy 299.72 146.05))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 299.72 130.81) (xy 303.53 130.81))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 299.72 146.05) (xy 299.72 148.59))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 300.99 193.04) (xy 300.99 195.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 300.99 195.58) (xy 303.53 195.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 302.895 42.545) (xy 321.945 42.545))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 302.895 45.085) (xy 321.945 45.085))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 302.895 50.165) (xy 321.945 50.165))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 302.895 52.705) (xy 321.945 52.705))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 302.895 57.785) (xy 321.945 57.785))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 302.895 60.325) (xy 321.945 60.325))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 302.895 65.405) (xy 321.945 65.405))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 302.895 67.945) (xy 321.945 67.945))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 303.53 120.65) (xy 299.72 120.65))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 303.53 123.19) (xy 303.53 120.65))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 303.53 130.81) (xy 303.53 128.27))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 303.53 165.1) (xy 303.53 167.64))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 303.53 167.64) (xy 303.53 170.18))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 303.53 193.04) (xy 303.53 195.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 303.53 195.58) (xy 303.53 203.2))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 303.53 203.2) (xy 303.53 205.74))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 307.34 193.04) (xy 307.34 195.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 307.34 200.66) (xy 307.34 203.2))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 307.34 203.2) (xy 303.53 203.2))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 313.69 167.64) (xy 303.53 167.64))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 322.58 177.8) (xy 330.2 177.8))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 322.58 180.34) (xy 331.47 180.34))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 322.58 182.88) (xy 331.47 182.88))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 322.58 185.42) (xy 331.47 185.42))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 330.2 177.8) (xy 330.2 190.5))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 330.2 177.8) (xy 331.47 177.8))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 330.2 195.58) (xy 330.2 198.12))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 337.82 193.04) (xy 337.82 195.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 337.82 195.58) (xy 340.36 195.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 340.36 165.1) (xy 340.36 167.64))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 340.36 167.64) (xy 340.36 170.18))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 340.36 193.04) (xy 340.36 195.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 340.36 195.58) (xy 340.36 203.2))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 340.36 203.2) (xy 340.36 205.74))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 344.17 193.04) (xy 344.17 195.58))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 344.17 200.66) (xy 344.17 203.2))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 344.17 203.2) (xy 340.36 203.2))
+ (stroke (width 0) (type solid) (color 0 0 0 0))
+ )
+ (wire (pts (xy 350.52 167.64) (xy 340.36 167.64))
(stroke (width 0) (type solid) (color 0 0 0 0))
)
- (text "1" (at 158.115 20.955 180)
+ (text "Debug" (at 209.55 218.44 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (text "1" (at 229.235 34.925 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (text "Legacy Debug" (at 270.51 227.33 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (text "2" (at 213.995 19.685 180)
+ (text "2" (at 285.115 33.655 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (text "3" (at 257.175 20.955 180)
+ (text "3" (at 328.295 34.925 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_global_stim_0s" (at 22.86 52.07 0)
+ (label "AC_IN_A" (at 44.45 248.92 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "GND" (at 44.45 251.46 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_top_1r" (at 50.8 121.92 0)
+ (label "AC_IN_B" (at 44.45 254 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_top_0r" (at 50.8 124.46 0)
+ (label "mesh_global_stim_0s" (at 82.55 57.15 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_bot_1r" (at 50.8 127 0)
+ (label "AC_IN_A" (at 87.63 218.44 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "AC_IN_B" (at 87.63 226.06 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "DC_PREREG" (at 100.33 243.84 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_bot_0r" (at 50.8 129.54 0)
+ (label "mesh_vert1up_1r" (at 124.46 152.4 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert0up_1r" (at 50.8 132.08 0)
+ (label "mesh_vert1up_0r" (at 124.46 154.94 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert0up_0r" (at 50.8 134.62 0)
+ (label "mesh_bot_1r" (at 124.46 157.48 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert0dn_1r" (at 50.8 137.16 0)
+ (label "mesh_bot_0r" (at 124.46 160.02 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert0dn_0r" (at 50.8 139.7 0)
+ (label "mesh_vert0up_1r" (at 124.46 162.56 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert1up_1r" (at 50.8 142.24 0)
+ (label "mesh_vert0up_0r" (at 124.46 165.1 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert1up_0r" (at 50.8 144.78 0)
+ (label "mesh_vert0dn_1r" (at 124.46 167.64 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert1dn_1r" (at 50.8 147.32 0)
+ (label "mesh_vert0dn_0r" (at 124.46 170.18 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert1dn_0r" (at 50.8 149.86 0)
+ (label "mesh_top_1r" (at 124.46 172.72 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert2up_1r" (at 50.8 152.4 0)
+ (label "mesh_top_0r" (at 124.46 175.26 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert2up_0r" (at 50.8 154.94 0)
+ (label "mesh_vert1dn_1r" (at 124.46 177.8 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert2dn_1r" (at 50.8 157.48 0)
+ (label "mesh_vert1dn_0r" (at 124.46 180.34 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert2dn_0r" (at 50.8 160.02 0)
+ (label "mesh_vert2up_1r" (at 124.46 182.88 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert0up_0s" (at 71.12 43.18 180)
+ (label "mesh_vert2up_0r" (at 124.46 185.42 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "mesh_vert2dn_1r" (at 124.46 187.96 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "mesh_vert2dn_0r" (at 124.46 190.5 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "mesh_vert0up_0s" (at 130.81 48.26 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_vert0dn_0s" (at 71.12 45.72 180)
+ (label "mesh_vert0dn_0s" (at 130.81 50.8 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_top_0s" (at 71.12 48.26 180)
+ (label "mesh_top_0s" (at 130.81 53.34 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_bot_0s" (at 71.12 50.8 180)
+ (label "mesh_bot_0s" (at 130.81 55.88 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_vert1up_0s" (at 71.12 53.34 180)
+ (label "mesh_vert1up_0s" (at 130.81 58.42 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_vert1dn_0s" (at 71.12 55.88 180)
+ (label "mesh_vert1dn_0s" (at 130.81 60.96 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_vert2up_0s" (at 71.12 58.42 180)
+ (label "mesh_vert2up_0s" (at 130.81 63.5 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_vert2dn_0s" (at 71.12 60.96 180)
+ (label "mesh_vert2dn_0s" (at 130.81 66.04 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_global_stim_1s" (at 73.66 52.07 0)
+ (label "XT_HSE_A" (at 130.81 129.54 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "XT_HSE_B" (at 130.81 132.08 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "AIS_CS" (at 109.22 132.08 180)
+ (label "mesh_global_stim_1s" (at 133.35 57.15 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "XT_LSE_A" (at 134.62 144.78 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "XT_LSE_B" (at 134.62 147.32 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "mesh_vert0up_1s" (at 181.61 48.26 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "mesh_vert0dn_1s" (at 181.61 50.8 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "mesh_top_1s" (at 181.61 53.34 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "mesh_bot_1s" (at 181.61 55.88 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "mesh_vert1up_1s" (at 181.61 58.42 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "SCK" (at 109.22 134.62 180)
+ (label "mesh_vert1dn_1s" (at 181.61 60.96 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "MISO" (at 109.22 137.16 180)
+ (label "mesh_vert2up_1s" (at 181.61 63.5 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "mesh_vert2dn_1s" (at 181.61 66.04 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "SWDIO" (at 190.5 231.14 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "SWCLK" (at 190.5 233.68 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "mesh_global_stim_0s" (at 196.85 152.4 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "MOSI" (at 109.22 139.7 180)
+ (label "mesh_global_stim_1s" (at 196.85 154.94 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "LED_TX" (at 109.22 144.78 180)
+ (label "DBG_TX" (at 196.85 157.48 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_vert0up_1s" (at 121.92 43.18 180)
+ (label "DBG_RX" (at 196.85 160.02 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_vert0dn_1s" (at 121.92 45.72 180)
+ (label "SCK" (at 196.85 165.1 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_top_1s" (at 121.92 48.26 180)
+ (label "MISO" (at 196.85 167.64 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_bot_1s" (at 121.92 50.8 180)
+ (label "MOSI" (at 196.85 170.18 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_vert1up_1s" (at 121.92 53.34 180)
+ (label "DBG_SENSE" (at 196.85 172.72 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_vert1dn_1s" (at 121.92 55.88 180)
+ (label "LED_TX" (at 196.85 175.26 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_vert2up_1s" (at 121.92 58.42 180)
+ (label "~AIS_CS2" (at 196.85 177.8 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_vert2dn_1s" (at 121.92 60.96 180)
+ (label "~AIS_CS3" (at 196.85 180.34 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_global_stim_0s" (at 123.19 121.92 180)
+ (label "~AIS_CS4" (at 196.85 182.88 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_global_stim_1s" (at 123.19 124.46 180)
+ (label "SWDIO" (at 196.85 185.42 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "AIS_CS" (at 128.27 156.21 0)
+ (label "SWCLK" (at 196.85 187.96 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "~AIS_CS1" (at 196.85 190.5 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "mesh_vert0up_0s" (at 203.835 42.545 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "SCK" (at 128.27 158.75 0)
+ (label "mesh_vert0up_1s" (at 203.835 45.085 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "MOSI" (at 128.27 161.29 0)
+ (label "mesh_vert0up_1r" (at 203.835 50.165 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "MISO" (at 128.27 163.83 0)
+ (label "mesh_vert0up_0r" (at 203.835 52.705 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert0up_0s" (at 132.715 28.575 0)
+ (label "mesh_vert0dn_0s" (at 203.835 57.785 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert0up_1s" (at 132.715 31.115 0)
+ (label "mesh_vert0dn_1s" (at 203.835 60.325 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert0up_1r" (at 132.715 36.195 0)
+ (label "mesh_vert0dn_1r" (at 203.835 65.405 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert0up_0r" (at 132.715 38.735 0)
+ (label "mesh_vert0dn_0r" (at 203.835 67.945 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert0dn_0s" (at 132.715 43.815 0)
+ (label "~AIS_CS1" (at 212.09 177.8 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert0dn_1s" (at 132.715 46.355 0)
+ (label "SCK" (at 212.09 180.34 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert0dn_1r" (at 132.715 51.435 0)
+ (label "MOSI" (at 212.09 182.88 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert0dn_0r" (at 132.715 53.975 0)
+ (label "MISO" (at 212.09 185.42 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "LED_TX" (at 135.89 110.49 0)
+ (label "DBG_RX" (at 227.33 231.14 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "DBG_TX" (at 227.33 233.68 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "MOSI" (at 227.33 236.22 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "MISO" (at 227.33 238.76 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "SCK" (at 227.33 241.3 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "DBG_SENSE" (at 227.33 248.92 180)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ )
+ (label "LED_TX" (at 228.6 137.16 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_top_0s" (at 175.895 28.575 180)
+ (label "mesh_top_0s" (at 247.015 42.545 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_top_1s" (at 175.895 31.115 180)
+ (label "mesh_top_1s" (at 247.015 45.085 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_top_1r" (at 175.895 36.195 180)
+ (label "mesh_top_1r" (at 247.015 50.165 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_top_0r" (at 175.895 38.735 180)
+ (label "mesh_top_0r" (at 247.015 52.705 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_bot_0s" (at 175.895 43.815 180)
+ (label "mesh_bot_0s" (at 247.015 57.785 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_bot_1s" (at 175.895 46.355 180)
+ (label "mesh_bot_1s" (at 247.015 60.325 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_bot_1r" (at 175.895 51.435 180)
+ (label "mesh_bot_1r" (at 247.015 65.405 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_bot_0r" (at 175.895 53.975 180)
+ (label "mesh_bot_0r" (at 247.015 67.945 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
)
- (label "mesh_vert1up_0s" (at 188.595 28.575 0)
+ (label "~AIS_CS2" (at 248.92 177.8 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "SCK" (at 248.92 180.34 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "MOSI" (at 248.92 182.88 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "MISO" (at 248.92 185.42 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "SWDIO" (at 259.08 237.49 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "SWCLK" (at 259.08 240.03 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "mesh_vert1up_0s" (at 259.715 42.545 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "mesh_vert1up_1s" (at 259.715 45.085 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "mesh_vert1up_1r" (at 259.715 50.165 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "mesh_vert1up_0r" (at 259.715 52.705 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "mesh_vert1dn_0s" (at 259.715 57.785 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "mesh_vert1dn_1s" (at 259.715 60.325 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "mesh_vert1dn_1r" (at 259.715 65.405 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "mesh_vert1dn_0r" (at 259.715 67.945 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ )
+ (label "~AIS_CS3" (at 285.75 177.8 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert1up_1s" (at 188.595 31.115 0)
+ (label "SCK" (at 285.75 180.34 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert1up_1r" (at 188.595 36.195 0)
+ (label "MOSI" (at 285.75 182.88 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert1up_0r" (at 188.595 38.735 0)
+ (label "MISO" (at 285.75 185.42 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert1dn_0s" (at 188.595 43.815 0)
+ (label "mesh_vert2up_0s" (at 302.895 42.545 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert1dn_1s" (at 188.595 46.355 0)
+ (label "mesh_vert2up_1s" (at 302.895 45.085 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert1dn_1r" (at 188.595 51.435 0)
+ (label "mesh_vert2up_1r" (at 302.895 50.165 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert1dn_0r" (at 188.595 53.975 0)
+ (label "mesh_vert2up_0r" (at 302.895 52.705 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert2up_0s" (at 231.775 28.575 0)
+ (label "mesh_vert2dn_0s" (at 302.895 57.785 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert2up_1s" (at 231.775 31.115 0)
+ (label "mesh_vert2dn_1s" (at 302.895 60.325 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert2up_1r" (at 231.775 36.195 0)
+ (label "mesh_vert2dn_1r" (at 302.895 65.405 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert2up_0r" (at 231.775 38.735 0)
+ (label "mesh_vert2dn_0r" (at 302.895 67.945 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert2dn_0s" (at 231.775 43.815 0)
+ (label "~AIS_CS4" (at 322.58 177.8 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert2dn_1s" (at 231.775 46.355 0)
+ (label "SCK" (at 322.58 180.34 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert2dn_1r" (at 231.775 51.435 0)
+ (label "MOSI" (at 322.58 182.88 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (label "mesh_vert2dn_0r" (at 231.775 53.975 0)
+ (label "MISO" (at 322.58 185.42 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
- (symbol (lib_id "power:+3V3") (at 86.36 78.74 0) (unit 1)
+ (symbol (lib_id "power:+3V3") (at 140.97 116.84 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "4b3c908e-7961-4aa4-9647-52791e5afa89")
+ (property "Reference" "#PWR0105" (id 0) (at 140.97 120.65 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 141.3383 112.5156 0))
+ (property "Footprint" "" (id 2) (at 140.97 116.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 140.97 116.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 153.67 241.3 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "b7cb8148-4623-4321-bb15-748a7b38c1b4")
+ (property "Reference" "#PWR0139" (id 0) (at 153.67 245.11 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 153.67 234.95 90))
+ (property "Footprint" "" (id 2) (at 153.67 241.3 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 153.67 241.3 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 160.02 109.22 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "c2c68763-59fb-4618-8c2c-90d618c2cfd7")
- (property "Reference" "#PWR?" (id 0) (at 86.36 82.55 0)
+ (property "Reference" "#PWR0103" (id 0) (at 160.02 113.03 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "+3V3" (id 1) (at 86.7283 74.4156 0))
- (property "Footprint" "" (id 2) (at 86.36 78.74 0)
+ (property "Value" "+3V3" (id 1) (at 160.3883 104.8956 0))
+ (property "Footprint" "" (id 2) (at 160.02 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 86.36 78.74 0)
+ (property "Datasheet" "" (id 3) (at 160.02 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:+3V3") (at 146.05 143.51 0) (unit 1)
+ (symbol (lib_id "power:+3V3") (at 189.23 228.6 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "ad872b8f-5a95-40c1-ad23-a3d020ad04e3")
+ (property "Reference" "#PWR0124" (id 0) (at 193.04 228.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 182.88 228.6 90))
+ (property "Footprint" "" (id 2) (at 189.23 228.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 189.23 228.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 227.33 228.6 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "08b7fb98-735e-42bc-b216-6f5bca9aee61")
+ (property "Reference" "#PWR0126" (id 0) (at 223.52 228.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 232.41 228.6 90))
+ (property "Footprint" "" (id 2) (at 227.33 228.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 227.33 228.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 229.87 165.1 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "e25cc676-c48e-4ef7-84b5-eab741f6d357")
- (property "Reference" "#PWR?" (id 0) (at 146.05 147.32 0)
+ (property "Reference" "#PWR0108" (id 0) (at 229.87 168.91 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "+3V3" (id 1) (at 146.4183 139.1856 0))
- (property "Footprint" "" (id 2) (at 146.05 143.51 0)
+ (property "Value" "+3V3" (id 1) (at 230.2383 160.7756 0))
+ (property "Footprint" "" (id 2) (at 229.87 165.1 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 146.05 143.51 0)
+ (property "Datasheet" "" (id 3) (at 229.87 165.1 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:+3V3") (at 161.925 33.655 270) (unit 1)
+ (symbol (lib_id "power:+3V3") (at 233.045 47.625 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid "52bdad54-3f45-49c9-94b1-a3fe765b71af")
- (property "Reference" "#PWR?" (id 0) (at 158.115 33.655 0)
+ (property "Reference" "#PWR0111" (id 0) (at 229.235 47.625 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "+3V3" (id 1) (at 165.1001 34.0233 90)
+ (property "Value" "+3V3" (id 1) (at 236.2201 47.9933 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 161.925 33.655 0)
+ (property "Footprint" "" (id 2) (at 233.045 47.625 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 161.925 33.655 0)
+ (property "Datasheet" "" (id 3) (at 233.045 47.625 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:+3V3") (at 161.925 48.895 270) (unit 1)
+ (symbol (lib_id "power:+3V3") (at 233.045 62.865 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid "4a87881d-94f1-42dd-ad08-0adf9d7c84db")
- (property "Reference" "#PWR?" (id 0) (at 158.115 48.895 0)
+ (property "Reference" "#PWR0112" (id 0) (at 229.235 62.865 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "+3V3" (id 1) (at 165.1001 49.2633 90)
+ (property "Value" "+3V3" (id 1) (at 236.2201 63.2333 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 161.925 48.895 0)
+ (property "Footprint" "" (id 2) (at 233.045 62.865 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 161.925 48.895 0)
+ (property "Datasheet" "" (id 3) (at 233.045 62.865 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:+3V3") (at 187.96 77.47 0) (unit 1)
+ (symbol (lib_id "power:+3V3") (at 257.81 234.95 90) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "0caccd96-9e61-44d6-a579-fc806aad5693")
- (property "Reference" "#PWR?" (id 0) (at 187.96 81.28 0)
+ (uuid "a826f368-fdf9-44da-a25a-3df55b30e9e9")
+ (property "Reference" "#PWR03" (id 0) (at 261.62 234.95 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "+3V3" (id 1) (at 188.3283 73.1456 0))
- (property "Footprint" "" (id 2) (at 187.96 77.47 0)
+ (property "Value" "+3V3" (id 1) (at 251.46 234.95 90))
+ (property "Footprint" "" (id 2) (at 257.81 234.95 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 187.96 77.47 0)
+ (property "Datasheet" "" (id 3) (at 257.81 234.95 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:+3V3") (at 217.805 33.655 270) (unit 1)
+ (symbol (lib_id "power:+3V3") (at 266.7 165.1 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "f9e15406-c619-4d79-9896-97143c9df572")
+ (property "Reference" "#PWR0128" (id 0) (at 266.7 168.91 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 267.0683 160.7756 0))
+ (property "Footprint" "" (id 2) (at 266.7 165.1 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 266.7 165.1 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 288.925 47.625 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid "03cc2793-ed5f-4ea3-b398-6e1a2572e883")
- (property "Reference" "#PWR?" (id 0) (at 213.995 33.655 0)
+ (property "Reference" "#PWR0121" (id 0) (at 285.115 47.625 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "+3V3" (id 1) (at 220.9801 34.0233 90)
+ (property "Value" "+3V3" (id 1) (at 292.1001 47.9933 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 217.805 33.655 0)
+ (property "Footprint" "" (id 2) (at 288.925 47.625 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 217.805 33.655 0)
+ (property "Datasheet" "" (id 3) (at 288.925 47.625 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:+3V3") (at 217.805 48.895 270) (unit 1)
+ (symbol (lib_id "power:+3V3") (at 288.925 62.865 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid "a4bf7d2f-1422-465a-a238-fbd2ae76cdca")
- (property "Reference" "#PWR?" (id 0) (at 213.995 48.895 0)
+ (property "Reference" "#PWR0122" (id 0) (at 285.115 62.865 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "+3V3" (id 1) (at 220.9801 49.2633 90)
+ (property "Value" "+3V3" (id 1) (at 292.1001 63.2333 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 217.805 48.895 0)
+ (property "Footprint" "" (id 2) (at 288.925 62.865 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 288.925 62.865 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 299.72 107.95 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "0caccd96-9e61-44d6-a579-fc806aad5693")
+ (property "Reference" "#PWR0110" (id 0) (at 299.72 111.76 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 300.0883 103.6256 0))
+ (property "Footprint" "" (id 2) (at 299.72 107.95 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 299.72 107.95 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 303.53 165.1 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "531871d7-68e0-417b-b0e6-dcd9c56ca33e")
+ (property "Reference" "#PWR0137" (id 0) (at 303.53 168.91 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 303.8983 160.7756 0))
+ (property "Footprint" "" (id 2) (at 303.53 165.1 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 217.805 48.895 0)
+ (property "Datasheet" "" (id 3) (at 303.53 165.1 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:+3V3") (at 260.985 33.655 270) (unit 1)
+ (symbol (lib_id "power:+3V3") (at 332.105 47.625 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid "09cda091-e81c-454b-b5ff-5223cb2f5c73")
- (property "Reference" "#PWR?" (id 0) (at 257.175 33.655 0)
+ (property "Reference" "#PWR0117" (id 0) (at 328.295 47.625 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "+3V3" (id 1) (at 264.1601 34.0233 90)
+ (property "Value" "+3V3" (id 1) (at 335.2801 47.9933 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 260.985 33.655 0)
+ (property "Footprint" "" (id 2) (at 332.105 47.625 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 260.985 33.655 0)
+ (property "Datasheet" "" (id 3) (at 332.105 47.625 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:+3V3") (at 260.985 48.895 270) (unit 1)
+ (symbol (lib_id "power:+3V3") (at 332.105 62.865 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid "3c6a81f9-f97f-4b70-9997-fc55e31561dc")
- (property "Reference" "#PWR?" (id 0) (at 257.175 48.895 0)
+ (property "Reference" "#PWR0115" (id 0) (at 328.295 62.865 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "+3V3" (id 1) (at 264.1601 49.2633 90)
+ (property "Value" "+3V3" (id 1) (at 335.2801 63.2333 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 260.985 48.895 0)
+ (property "Footprint" "" (id 2) (at 332.105 62.865 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 332.105 62.865 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:+3V3") (at 340.36 165.1 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "45f31cc2-43ac-4a14-a3ab-c0566757dbb3")
+ (property "Reference" "#PWR0136" (id 0) (at 340.36 168.91 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3" (id 1) (at 340.7283 160.7756 0))
+ (property "Footprint" "" (id 2) (at 340.36 165.1 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 260.985 48.895 0)
+ (property "Datasheet" "" (id 3) (at 340.36 165.1 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:GND") (at 85.09 170.18 0) (unit 1)
+ (symbol (lib_id "power:GND") (at 124.46 137.16 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "85abf884-20f2-412c-b7ac-ce2fdde1afb8")
+ (property "Reference" "#PWR0106" (id 0) (at 124.46 143.51 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 120.65 137.16 0))
+ (property "Footprint" "" (id 2) (at 124.46 137.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 124.46 137.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_name "power:GND_3") (lib_id "power:GND") (at 132.08 256.54 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "de7cad60-a72f-4e49-bd07-1477fc717b9d")
+ (property "Reference" "#PWR04" (id 0) (at 132.08 262.89 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 132.1943 260.8644 0))
+ (property "Footprint" "" (id 2) (at 132.08 256.54 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 132.08 256.54 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 140.97 124.46 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "d459c56d-4308-4a65-9acd-70fa0232ef3d")
+ (property "Reference" "#PWR0104" (id 0) (at 140.97 130.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 137.16 124.46 0))
+ (property "Footprint" "" (id 2) (at 140.97 124.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 140.97 124.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 158.75 200.66 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "0e84fd77-1fb2-47d1-9589-b2fcb7da931a")
- (property "Reference" "#PWR?" (id 0) (at 85.09 176.53 0)
+ (property "Reference" "#PWR0101" (id 0) (at 158.75 207.01 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "GND" (id 1) (at 85.2043 174.5044 0))
- (property "Footprint" "" (id 2) (at 85.09 170.18 0)
+ (property "Value" "GND" (id 1) (at 158.8643 204.9844 0))
+ (property "Footprint" "" (id 2) (at 158.75 200.66 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 85.09 170.18 0)
+ (property "Datasheet" "" (id 3) (at 158.75 200.66 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:GND") (at 146.05 184.15 0) (unit 1)
+ (symbol (lib_name "power:GND_1") (lib_id "power:GND") (at 189.23 226.06 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "0ae2131e-93f1-4c59-8e59-b218e53124c1")
+ (property "Reference" "#PWR0123" (id 0) (at 182.88 226.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 186.055 226.1743 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 189.23 226.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 189.23 226.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 219.71 198.12 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "f77e18fe-4af5-4f39-a954-97005f89f420")
+ (property "Reference" "#PWR0109" (id 0) (at 219.71 204.47 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 219.8243 202.4444 0))
+ (property "Footprint" "" (id 2) (at 219.71 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 219.71 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_name "power:GND_2") (lib_id "power:GND") (at 227.33 226.06 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "14dbd1dc-5a84-49d5-80c1-17d83cc712dc")
+ (property "Reference" "#PWR0125" (id 0) (at 233.68 226.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 230.5051 225.9457 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 227.33 226.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 227.33 226.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 229.87 205.74 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "71b27605-d88b-4b1f-8cff-eb1f2299b67f")
- (property "Reference" "#PWR?" (id 0) (at 146.05 190.5 0)
+ (property "Reference" "#PWR0107" (id 0) (at 229.87 212.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 229.9843 210.0644 0))
+ (property "Footprint" "" (id 2) (at 229.87 205.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 229.87 205.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 240.03 172.72 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "0a30842c-c0b4-43f3-87f0-d41232c56b2c")
+ (property "Reference" "#PWR0102" (id 0) (at 240.03 179.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 240.1443 177.0444 0))
+ (property "Footprint" "" (id 2) (at 240.03 172.72 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 240.03 172.72 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 256.54 198.12 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "63a61784-9180-4548-84fc-62821fa3f4c9")
+ (property "Reference" "#PWR0127" (id 0) (at 256.54 204.47 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 256.6543 202.4444 0))
+ (property "Footprint" "" (id 2) (at 256.54 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 256.54 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_name "power:GND_5") (lib_id "power:GND") (at 257.81 232.41 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "1989efd7-c3a2-4d1b-acc3-f98dbe24df67")
+ (property "Reference" "#PWR02" (id 0) (at 251.46 232.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 254.635 232.5243 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 257.81 232.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 257.81 232.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 266.7 205.74 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "ab3e6017-c39d-4f11-983e-1bea533f0d6b")
+ (property "Reference" "#PWR0131" (id 0) (at 266.7 212.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 266.8143 210.0644 0))
+ (property "Footprint" "" (id 2) (at 266.7 205.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 266.7 205.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 276.86 172.72 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "5013717a-ef29-48d7-bc8b-621a3fe96b1c")
+ (property "Reference" "#PWR0130" (id 0) (at 276.86 179.07 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "GND" (id 1) (at 146.1643 188.4744 0))
- (property "Footprint" "" (id 2) (at 146.05 184.15 0)
+ (property "Value" "GND" (id 1) (at 276.9743 177.0444 0))
+ (property "Footprint" "" (id 2) (at 276.86 172.72 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 146.05 184.15 0)
+ (property "Datasheet" "" (id 3) (at 276.86 172.72 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:GND") (at 151.765 33.655 270) (unit 1)
+ (symbol (lib_id "power:GND") (at 288.925 42.545 90) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "c17125e7-ce3a-469c-9d5f-3e1d378a988b")
- (property "Reference" "#PWR?" (id 0) (at 145.415 33.655 0)
+ (uuid "f66c39f6-4ff3-4862-a32f-439f6c4cc03d")
+ (property "Reference" "#PWR0116" (id 0) (at 295.275 42.545 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "GND" (id 1) (at 148.59 33.7693 90)
+ (property "Value" "GND" (id 1) (at 292.1 42.4307 90)
(effects (font (size 1.27 1.27)) (justify right))
)
- (property "Footprint" "" (id 2) (at 151.765 33.655 0)
+ (property "Footprint" "" (id 2) (at 288.925 42.545 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 151.765 33.655 0)
+ (property "Datasheet" "" (id 3) (at 288.925 42.545 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:GND") (at 151.765 48.895 270) (unit 1)
+ (symbol (lib_id "power:GND") (at 288.925 45.085 90) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "0a8ca344-bb2e-4d00-86cc-33f3d7896c21")
- (property "Reference" "#PWR?" (id 0) (at 145.415 48.895 0)
+ (uuid "f85da240-39c6-45ef-8305-61d03b1b726e")
+ (property "Reference" "#PWR?" (id 0) (at 295.275 45.085 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "GND" (id 1) (at 148.59 49.0093 90)
+ (property "Value" "GND" (id 1) (at 292.1 44.9707 90)
(effects (font (size 1.27 1.27)) (justify right))
)
- (property "Footprint" "" (id 2) (at 151.765 48.895 0)
+ (property "Footprint" "" (id 2) (at 288.925 45.085 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 151.765 48.895 0)
+ (property "Datasheet" "" (id 3) (at 288.925 45.085 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:GND") (at 156.21 151.13 0) (unit 1)
+ (symbol (lib_id "power:GND") (at 288.925 50.165 90) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "0a30842c-c0b4-43f3-87f0-d41232c56b2c")
- (property "Reference" "#PWR?" (id 0) (at 156.21 157.48 0)
+ (uuid "5ec58031-c304-44ba-8957-384ee5e143a6")
+ (property "Reference" "#PWR?" (id 0) (at 295.275 50.165 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "GND" (id 1) (at 156.3243 155.4544 0))
- (property "Footprint" "" (id 2) (at 156.21 151.13 0)
+ (property "Value" "GND" (id 1) (at 292.1 50.0507 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 288.925 50.165 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 156.21 151.13 0)
+ (property "Datasheet" "" (id 3) (at 288.925 50.165 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:GND") (at 207.645 33.655 270) (unit 1)
+ (symbol (lib_id "power:GND") (at 288.925 52.705 90) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "0a6ed4fd-e4ea-4fa2-a69d-e3563c8bfcec")
- (property "Reference" "#PWR?" (id 0) (at 201.295 33.655 0)
+ (uuid "18a50664-d36c-46f0-9842-7491f6b70239")
+ (property "Reference" "#PWR?" (id 0) (at 295.275 52.705 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "GND" (id 1) (at 204.47 33.7693 90)
+ (property "Value" "GND" (id 1) (at 292.1 52.5907 90)
(effects (font (size 1.27 1.27)) (justify right))
)
- (property "Footprint" "" (id 2) (at 207.645 33.655 0)
+ (property "Footprint" "" (id 2) (at 288.925 52.705 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 207.645 33.655 0)
+ (property "Datasheet" "" (id 3) (at 288.925 52.705 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:GND") (at 207.645 48.895 270) (unit 1)
+ (symbol (lib_id "power:GND") (at 288.925 57.785 90) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "870f3e59-862a-408d-8129-ffcc91fa62b8")
- (property "Reference" "#PWR?" (id 0) (at 201.295 48.895 0)
+ (uuid "582ba795-694a-400a-b376-060610b038a1")
+ (property "Reference" "#PWR?" (id 0) (at 295.275 57.785 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "GND" (id 1) (at 204.47 49.0093 90)
+ (property "Value" "GND" (id 1) (at 292.1 57.6707 90)
(effects (font (size 1.27 1.27)) (justify right))
)
- (property "Footprint" "" (id 2) (at 207.645 48.895 0)
+ (property "Footprint" "" (id 2) (at 288.925 57.785 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 207.645 48.895 0)
+ (property "Datasheet" "" (id 3) (at 288.925 57.785 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:GND") (at 250.825 33.655 270) (unit 1)
+ (symbol (lib_id "power:GND") (at 288.925 60.325 90) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "a9b619b7-4d77-4395-87b7-b039dabfe0a9")
- (property "Reference" "#PWR?" (id 0) (at 244.475 33.655 0)
+ (uuid "b9c85026-3f48-4b9b-87aa-7f9cc274222b")
+ (property "Reference" "#PWR?" (id 0) (at 295.275 60.325 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "GND" (id 1) (at 247.65 33.7693 90)
+ (property "Value" "GND" (id 1) (at 292.1 60.2107 90)
(effects (font (size 1.27 1.27)) (justify right))
)
- (property "Footprint" "" (id 2) (at 250.825 33.655 0)
+ (property "Footprint" "" (id 2) (at 288.925 60.325 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 250.825 33.655 0)
+ (property "Datasheet" "" (id 3) (at 288.925 60.325 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "power:GND") (at 250.825 48.895 270) (unit 1)
+ (symbol (lib_id "power:GND") (at 288.925 65.405 90) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "f66c39f6-4ff3-4862-a32f-439f6c4cc03d")
- (property "Reference" "#PWR?" (id 0) (at 244.475 48.895 0)
+ (uuid "a02797a6-05fe-4c8a-87fb-34d2974732e4")
+ (property "Reference" "#PWR?" (id 0) (at 295.275 65.405 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 292.1 65.2907 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 288.925 65.405 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 288.925 65.405 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 288.925 67.945 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "3a82d320-8240-4639-b5e7-14e209e8525a")
+ (property "Reference" "#PWR?" (id 0) (at 295.275 67.945 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 292.1 67.8307 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 288.925 67.945 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 288.925 67.945 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 293.37 198.12 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "9c6723a9-e545-4ffc-b287-f11585add80c")
+ (property "Reference" "#PWR0132" (id 0) (at 293.37 204.47 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 293.4843 202.4444 0))
+ (property "Footprint" "" (id 2) (at 293.37 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 293.37 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_name "power:GND_4") (lib_id "power:GND") (at 299.72 148.59 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "3416a780-7f67-422a-821c-8139f888d76d")
+ (property "Reference" "#PWR01" (id 0) (at 299.72 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 299.8343 152.9144 0))
+ (property "Footprint" "" (id 2) (at 299.72 148.59 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 299.72 148.59 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "GND" (id 1) (at 247.65 49.0093 90)
+ )
+
+ (symbol (lib_id "power:GND") (at 303.53 205.74 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "c049588a-b070-4ebe-8be7-8499d7728545")
+ (property "Reference" "#PWR0133" (id 0) (at 303.53 212.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 303.6443 210.0644 0))
+ (property "Footprint" "" (id 2) (at 303.53 205.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 303.53 205.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 313.69 172.72 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "8871fdb9-0ebd-4819-acfb-e2f9d84619a3")
+ (property "Reference" "#PWR0138" (id 0) (at 313.69 179.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 313.8043 177.0444 0))
+ (property "Footprint" "" (id 2) (at 313.69 172.72 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 313.69 172.72 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 330.2 198.12 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "165304d7-df8c-4858-b34b-3b705e5cbe33")
+ (property "Reference" "#PWR0134" (id 0) (at 330.2 204.47 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 330.3143 202.4444 0))
+ (property "Footprint" "" (id 2) (at 330.2 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 330.2 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 332.105 42.545 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "78a775fb-2ad4-478e-92a6-3f45f8c31541")
+ (property "Reference" "#PWR?" (id 0) (at 338.455 42.545 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 335.28 42.4307 90)
(effects (font (size 1.27 1.27)) (justify right))
)
- (property "Footprint" "" (id 2) (at 250.825 48.895 0)
+ (property "Footprint" "" (id 2) (at 332.105 42.545 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 250.825 48.895 0)
+ (property "Datasheet" "" (id 3) (at 332.105 42.545 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 50.8 43.18 90) (unit 1)
+ (symbol (lib_id "power:GND") (at 332.105 45.085 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "1fc34bfb-cbd7-47fb-8c69-803fbdf9ca90")
+ (property "Reference" "#PWR?" (id 0) (at 338.455 45.085 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 335.28 44.9707 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 332.105 45.085 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 332.105 45.085 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 332.105 50.165 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "e9f45522-a244-4888-a398-82310e6ccd0e")
+ (property "Reference" "#PWR?" (id 0) (at 338.455 50.165 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 335.28 50.0507 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 332.105 50.165 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 332.105 50.165 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 332.105 52.705 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "aa81b148-f8a7-4e37-bb26-2d0f5650afef")
+ (property "Reference" "#PWR?" (id 0) (at 338.455 52.705 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 335.28 52.5907 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 332.105 52.705 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 332.105 52.705 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 332.105 57.785 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "87f977e3-6b42-45e6-8187-b5a10e618f1d")
+ (property "Reference" "#PWR?" (id 0) (at 338.455 57.785 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 335.28 57.6707 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 332.105 57.785 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 332.105 57.785 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 332.105 60.325 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "c496446b-65fe-4bcb-a7d5-688e3825ba53")
+ (property "Reference" "#PWR?" (id 0) (at 338.455 60.325 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 335.28 60.2107 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 332.105 60.325 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 332.105 60.325 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 332.105 65.405 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "ec731677-acb0-4e67-8093-d281f5a8f3c6")
+ (property "Reference" "#PWR?" (id 0) (at 338.455 65.405 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 335.28 65.2907 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 332.105 65.405 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 332.105 65.405 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 332.105 67.945 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "14f7924a-064f-4591-9d9e-eeab98ffa649")
+ (property "Reference" "#PWR?" (id 0) (at 338.455 67.945 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 335.28 67.8307 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 332.105 67.945 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 332.105 67.945 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 340.36 205.74 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "02491c2f-a3d5-4db1-872b-38d185a892c2")
+ (property "Reference" "#PWR0135" (id 0) (at 340.36 212.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 340.4743 210.0644 0))
+ (property "Footprint" "" (id 2) (at 340.36 205.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 340.36 205.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "power:GND") (at 350.52 172.72 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "885ea9b5-910c-4dbc-b3ee-d43cd8d74d81")
+ (property "Reference" "#PWR0129" (id 0) (at 350.52 179.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 350.6343 177.0444 0))
+ (property "Footprint" "" (id 2) (at 350.52 172.72 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 350.52 172.72 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 110.49 48.26 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "abc05b74-9944-4505-a018-c9874a9bffec")
- (property "Reference" "R?" (id 0) (at 50.8 20.32 90))
- (property "Value" "0" (id 1) (at 53.34 20.32 90))
- (property "Footprint" "" (id 2) (at 50.8 43.18 0)
+ (property "Reference" "R1" (id 0) (at 110.49 25.4 90))
+ (property "Value" "0" (id 1) (at 113.03 25.4 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 110.49 48.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 110.49 48.26 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 50.8 43.18 0)
+ (property "Reichelt" "Stock" (id 4) (at 110.49 48.26 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 50.8 45.72 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 110.49 50.8 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "78d576d6-c374-45da-88c6-e23caa6e39dd")
- (property "Reference" "R?" (id 0) (at 50.8 22.86 90))
- (property "Value" "0" (id 1) (at 53.34 22.86 90))
- (property "Footprint" "" (id 2) (at 50.8 45.72 0)
+ (property "Reference" "R3" (id 0) (at 110.49 27.94 90))
+ (property "Value" "0" (id 1) (at 113.03 27.94 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 110.49 50.8 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 50.8 45.72 0)
+ (property "Datasheet" "~" (id 3) (at 110.49 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock" (id 4) (at 110.49 50.8 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 50.8 48.26 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 110.49 53.34 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "61f19ebe-0ac5-4fe8-b7f0-91569091e23e")
- (property "Reference" "R?" (id 0) (at 50.8 25.4 90))
- (property "Value" "0" (id 1) (at 53.34 25.4 90))
- (property "Footprint" "" (id 2) (at 50.8 48.26 0)
+ (property "Reference" "R5" (id 0) (at 110.49 30.48 90))
+ (property "Value" "0" (id 1) (at 113.03 30.48 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 110.49 53.34 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 110.49 53.34 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 50.8 48.26 0)
+ (property "Reichelt" "Stock" (id 4) (at 110.49 53.34 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 50.8 50.8 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 110.49 55.88 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "c2bd4cb1-c982-42da-97fd-fa00a9fcbd3a")
- (property "Reference" "R?" (id 0) (at 50.8 27.94 90))
- (property "Value" "0" (id 1) (at 53.34 27.94 90))
- (property "Footprint" "" (id 2) (at 50.8 50.8 0)
+ (property "Reference" "R7" (id 0) (at 110.49 33.02 90))
+ (property "Value" "0" (id 1) (at 113.03 33.02 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 110.49 55.88 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 50.8 50.8 0)
+ (property "Datasheet" "~" (id 3) (at 110.49 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock" (id 4) (at 110.49 55.88 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 50.8 53.34 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 110.49 58.42 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "37982514-5894-4cd0-9765-db1c306abdea")
- (property "Reference" "R?" (id 0) (at 50.8 30.48 90))
- (property "Value" "0" (id 1) (at 53.34 30.48 90))
- (property "Footprint" "" (id 2) (at 50.8 53.34 0)
+ (property "Reference" "R9" (id 0) (at 110.49 35.56 90))
+ (property "Value" "0" (id 1) (at 113.03 35.56 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 110.49 58.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 110.49 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 50.8 53.34 0)
+ (property "Reichelt" "Stock" (id 4) (at 110.49 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 50.8 55.88 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 110.49 60.96 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "2fdbe3f2-e81c-4bd2-87c5-4d679a28f536")
- (property "Reference" "R?" (id 0) (at 50.8 33.02 90))
- (property "Value" "0" (id 1) (at 53.34 33.02 90))
- (property "Footprint" "" (id 2) (at 50.8 55.88 0)
+ (property "Reference" "R11" (id 0) (at 110.49 38.1 90))
+ (property "Value" "0" (id 1) (at 113.03 38.1 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 110.49 60.96 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 50.8 55.88 0)
+ (property "Datasheet" "~" (id 3) (at 110.49 60.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock" (id 4) (at 110.49 60.96 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 50.8 58.42 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 110.49 63.5 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "e963fe3a-f915-4f2f-820b-4d8b69315a46")
- (property "Reference" "R?" (id 0) (at 50.8 35.56 90))
- (property "Value" "0" (id 1) (at 53.34 35.56 90))
- (property "Footprint" "" (id 2) (at 50.8 58.42 0)
+ (property "Reference" "R13" (id 0) (at 110.49 40.64 90))
+ (property "Value" "0" (id 1) (at 113.03 40.64 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 110.49 63.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 110.49 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 50.8 58.42 0)
+ (property "Reichelt" "Stock" (id 4) (at 110.49 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 50.8 60.96 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 110.49 66.04 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "5cf9b135-1ca8-48a6-8df3-29e6d5949c89")
- (property "Reference" "R?" (id 0) (at 50.8 38.1 90))
- (property "Value" "0" (id 1) (at 53.34 38.1 90))
- (property "Footprint" "" (id 2) (at 50.8 60.96 0)
+ (property "Reference" "R15" (id 0) (at 110.49 43.18 90))
+ (property "Value" "0" (id 1) (at 113.03 43.18 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 110.49 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 110.49 66.04 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 50.8 60.96 0)
+ (property "Reichelt" "Stock" (id 4) (at 110.49 66.04 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 101.6 43.18 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 161.29 48.26 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "5af07dd5-93d5-4d0d-8c36-b8c637bc0c46")
- (property "Reference" "R?" (id 0) (at 101.6 20.32 90))
- (property "Value" "0" (id 1) (at 104.14 20.32 90))
- (property "Footprint" "" (id 2) (at 101.6 43.18 0)
+ (property "Reference" "R2" (id 0) (at 161.29 25.4 90))
+ (property "Value" "0" (id 1) (at 163.83 25.4 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 161.29 48.26 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 101.6 43.18 0)
+ (property "Datasheet" "~" (id 3) (at 161.29 48.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock" (id 4) (at 161.29 48.26 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 101.6 45.72 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 161.29 50.8 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "303ad3f8-8fff-45d9-8fa6-e53ed4688642")
- (property "Reference" "R?" (id 0) (at 101.6 22.86 90))
- (property "Value" "0" (id 1) (at 104.14 22.86 90))
- (property "Footprint" "" (id 2) (at 101.6 45.72 0)
+ (property "Reference" "R4" (id 0) (at 161.29 27.94 90))
+ (property "Value" "0" (id 1) (at 163.83 27.94 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 161.29 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 161.29 50.8 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 101.6 45.72 0)
+ (property "Reichelt" "Stock" (id 4) (at 161.29 50.8 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 101.6 48.26 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 161.29 53.34 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "4179568b-d26a-47b5-8837-7d1d128ec0dd")
- (property "Reference" "R?" (id 0) (at 101.6 25.4 90))
- (property "Value" "0" (id 1) (at 104.14 25.4 90))
- (property "Footprint" "" (id 2) (at 101.6 48.26 0)
+ (property "Reference" "R6" (id 0) (at 161.29 30.48 90))
+ (property "Value" "0" (id 1) (at 163.83 30.48 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 161.29 53.34 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 101.6 48.26 0)
+ (property "Datasheet" "~" (id 3) (at 161.29 53.34 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock" (id 4) (at 161.29 53.34 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 101.6 50.8 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 161.29 55.88 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "c47d523e-a80c-48c2-a3c9-6a9c96e3ddc6")
- (property "Reference" "R?" (id 0) (at 101.6 27.94 90))
- (property "Value" "0" (id 1) (at 104.14 27.94 90))
- (property "Footprint" "" (id 2) (at 101.6 50.8 0)
+ (property "Reference" "R8" (id 0) (at 161.29 33.02 90))
+ (property "Value" "0" (id 1) (at 163.83 33.02 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 161.29 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 161.29 55.88 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 101.6 50.8 0)
+ (property "Reichelt" "Stock" (id 4) (at 161.29 55.88 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 101.6 53.34 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 161.29 58.42 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "0992d604-7add-4da6-8dde-e1ab5f4abc55")
- (property "Reference" "R?" (id 0) (at 101.6 30.48 90))
- (property "Value" "0" (id 1) (at 104.14 30.48 90))
- (property "Footprint" "" (id 2) (at 101.6 53.34 0)
+ (property "Reference" "R10" (id 0) (at 161.29 35.56 90))
+ (property "Value" "0" (id 1) (at 163.83 35.56 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 161.29 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 101.6 53.34 0)
+ (property "Datasheet" "~" (id 3) (at 161.29 58.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock" (id 4) (at 161.29 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 101.6 55.88 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 161.29 60.96 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "7dfa485d-af98-4676-918c-0358f17a69c3")
- (property "Reference" "R?" (id 0) (at 101.6 33.02 90))
- (property "Value" "0" (id 1) (at 104.14 33.02 90))
- (property "Footprint" "" (id 2) (at 101.6 55.88 0)
+ (property "Reference" "R12" (id 0) (at 161.29 38.1 90))
+ (property "Value" "0" (id 1) (at 163.83 38.1 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 161.29 60.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 161.29 60.96 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 101.6 55.88 0)
+ (property "Reichelt" "Stock" (id 4) (at 161.29 60.96 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 101.6 58.42 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 161.29 63.5 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "cfb92d5f-0afd-49ea-bda6-11e9236c6cf4")
- (property "Reference" "R?" (id 0) (at 101.6 35.56 90))
- (property "Value" "0" (id 1) (at 104.14 35.56 90))
- (property "Footprint" "" (id 2) (at 101.6 58.42 0)
+ (property "Reference" "R14" (id 0) (at 161.29 40.64 90))
+ (property "Value" "0" (id 1) (at 163.83 40.64 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 161.29 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 101.6 58.42 0)
+ (property "Datasheet" "~" (id 3) (at 161.29 63.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock" (id 4) (at 161.29 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 101.6 60.96 90) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 161.29 66.04 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid "5ebb563b-268f-4766-b12d-abdbe81a1ab6")
- (property "Reference" "R?" (id 0) (at 101.6 38.1 90))
- (property "Value" "0" (id 1) (at 104.14 38.1 90))
- (property "Footprint" "" (id 2) (at 101.6 60.96 0)
+ (property "Reference" "R16" (id 0) (at 161.29 43.18 90))
+ (property "Value" "0" (id 1) (at 163.83 43.18 90))
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 161.29 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 161.29 66.04 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 101.6 60.96 0)
+ (property "Reichelt" "Stock" (id 4) (at 161.29 66.04 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 146.05 85.09 0) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 219.71 193.04 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "0427ab2c-0655-4d89-bbad-7dd378f0745e")
- (property "Reference" "R?" (id 0) (at 147.5487 83.9406 0)
+ (uuid "6f847c9b-c54d-4385-ab17-477eb2ded7cd")
+ (property "Reference" "R24" (id 0) (at 218.2113 191.8906 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "470" (id 1) (at 147.549 86.239 0)
+ (property "Value" "47k" (id 1) (at 218.211 194.189 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 146.05 85.09 0)
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 219.71 193.04 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 146.05 85.09 0)
+ (property "Datasheet" "~" (id 3) (at 219.71 193.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "DNP" "DNP" (id 4) (at 215.9 196.85 0))
+ (property "Reichelt" "Stock" (id 4) (at 219.71 193.04 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 146.05 105.41 0) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 238.76 115.57 0) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "022ba49e-05fc-4b00-baf0-d6f0bd12e5c7")
- (property "Reference" "R?" (id 0) (at 147.5487 104.2606 0)
+ (uuid "190d4939-3f06-44cd-9927-32a58f31384e")
+ (property "Reference" "R18" (id 0) (at 240.2587 114.4206 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "1k" (id 1) (at 147.549 106.559 0)
+ (property "Value" "68" (id 1) (at 240.259 116.719 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 146.05 105.41 0)
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 238.76 115.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 238.76 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 146.05 105.41 0)
+ (property "Reichelt" "Stock" (id 4) (at 238.76 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 165.1 85.09 0) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 242.57 137.16 90) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "190d4939-3f06-44cd-9927-32a58f31384e")
- (property "Reference" "R?" (id 0) (at 166.5987 83.9406 0)
+ (uuid "022ba49e-05fc-4b00-baf0-d6f0bd12e5c7")
+ (property "Reference" "R23" (id 0) (at 241.4206 135.6613 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "47" (id 1) (at 166.599 86.239 0)
+ (property "Value" "1k" (id 1) (at 243.719 135.661 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 165.1 85.09 0)
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 242.57 137.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 242.57 137.16 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 165.1 85.09 0)
+ (property "Reichelt" "Stock" (id 4) (at 242.57 137.16 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 176.53 85.09 0) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 250.19 115.57 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "540298f1-b724-4e12-9c85-b5bf1e7ee34d")
- (property "Reference" "R?" (id 0) (at 178.0287 83.9406 0)
+ (property "Reference" "R19" (id 0) (at 251.6887 114.4206 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "47" (id 1) (at 178.029 86.239 0)
+ (property "Value" "68" (id 1) (at 251.689 116.719 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 176.53 85.09 0)
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 250.19 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 176.53 85.09 0)
+ (property "Datasheet" "~" (id 3) (at 250.19 115.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock" (id 4) (at 250.19 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 187.96 85.09 0) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 256.54 193.04 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "16bcc19d-1b56-4cc0-a4c4-f5784c86b649")
+ (property "Reference" "R25" (id 0) (at 255.0413 191.8906 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "47k" (id 1) (at 255.041 194.189 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 256.54 193.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 256.54 193.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "DNP" "DNP" (id 4) (at 252.73 196.85 0))
+ (property "Reichelt" "Stock" (id 4) (at 256.54 193.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 261.62 115.57 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "e7d97573-481b-47f8-ac2a-d324f0a7cb95")
- (property "Reference" "R?" (id 0) (at 189.4587 83.9406 0)
+ (property "Reference" "R20" (id 0) (at 263.1187 114.4206 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "47" (id 1) (at 189.459 86.239 0)
+ (property "Value" "68" (id 1) (at 263.119 116.719 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 187.96 85.09 0)
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 261.62 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 187.96 85.09 0)
+ (property "Datasheet" "~" (id 3) (at 261.62 115.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock" (id 4) (at 261.62 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 199.39 85.09 0) (unit 1)
+ (symbol (lib_id "Device:R_Small") (at 273.05 115.57 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "82321ef4-7274-4e07-9337-1f70657582c5")
- (property "Reference" "R?" (id 0) (at 200.8887 83.9406 0)
+ (property "Reference" "R21" (id 0) (at 274.5487 114.4206 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "68" (id 1) (at 274.549 116.719 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 273.05 115.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 273.05 115.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock" (id 4) (at 273.05 115.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 293.37 193.04 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "3aa00b8f-6512-40e8-90fe-bdc7a27dbf04")
+ (property "Reference" "R26" (id 0) (at 291.8713 191.8906 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "47k" (id 1) (at 291.871 194.189 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 293.37 193.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 293.37 193.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "DNP" "DNP" (id 4) (at 289.56 196.85 0))
+ (property "Reichelt" "Stock" (id 4) (at 293.37 193.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:R_Small") (at 330.2 193.04 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "f7457ffa-3037-4c91-9074-475112c957fa")
+ (property "Reference" "R27" (id 0) (at 328.7013 191.8906 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "47k" (id 1) (at 328.701 194.189 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 330.2 193.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 330.2 193.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "DNP" "DNP" (id 4) (at 326.39 196.85 0))
+ (property "Reichelt" "Stock" (id 4) (at 330.2 193.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:D_Zener_Small_ALT") (at 83.82 251.46 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "2c0779c7-7f7c-4ed8-ab90-ba2f89dd4054")
+ (property "Reference" "D8" (id 0) (at 88.9 250.19 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "12V" (id 1) (at 90.17 252.73 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Diode_SMD:D_SOT-23_ANK" (id 2) (at 83.82 251.46 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 83.82 251.46 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "SMD ZD 12" (id 4) (at 83.82 251.46 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:L_Core_Ferrite") (at 39.37 222.25 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "b075cd79-6da6-4549-9f91-cda24db19958")
+ (property "Reference" "L1" (id 0) (at 41.5291 221.1006 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "100u" (id 1) (at 41.529 223.399 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Inductor_SMD:L_1210_3225Metric" (id 2) (at 39.37 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 39.37 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "DNP" "DNP" (id 4) (at 40.64 228.6 0))
+ (property "Reichelt" "L-1210F 100µ" (id 5) (at 39.37 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:L_Core_Ferrite") (at 46.99 222.25 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "090a47a2-5667-4f93-a380-f5b93e548fff")
+ (property "Reference" "L2" (id 0) (at 49.1491 221.1006 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "47" (id 1) (at 200.889 86.239 0)
+ (property "Value" "47u" (id 1) (at 49.149 223.399 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 199.39 85.09 0)
+ (property "Footprint" "Inductor_SMD:L_1210_3225Metric" (id 2) (at 46.99 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 46.99 222.25 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 199.39 85.09 0)
+ (property "DNP" "DNP" (id 4) (at 48.26 228.6 0))
+ (property "Reichelt" "L-1210F 47µ" (id 5) (at 46.99 222.25 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:R_Small") (at 210.82 85.09 0) (unit 1)
+ (symbol (lib_id "Device:L_Core_Ferrite") (at 54.61 222.25 0) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "4769ecbf-4e14-40d0-b2ab-75ccb89a06e3")
- (property "Reference" "R?" (id 0) (at 212.3187 83.9406 0)
+ (uuid "6f534d82-e337-4dc4-bd77-d9885dc92b67")
+ (property "Reference" "L3" (id 0) (at 56.7691 221.1006 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "47" (id 1) (at 212.319 86.239 0)
+ (property "Value" "?" (id 1) (at 56.769 223.399 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 210.82 85.09 0)
+ (property "Footprint" "" (id 2) (at 54.61 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 54.61 222.25 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 210.82 85.09 0)
+ (property "DNP" "DNP" (id 4) (at 55.88 228.6 0))
+ (property "Reichelt" "n/a" (id 4) (at 54.61 222.25 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:LED_Small_ALT") (at 165.1 105.41 270) (mirror x) (unit 1)
+ (symbol (lib_id "Device:L_Core_Ferrite") (at 62.23 222.25 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "e2d419f9-ad0a-4e54-9abb-e228f427e1b3")
+ (property "Reference" "L4" (id 0) (at 64.3891 221.1006 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "?" (id 1) (at 64.389 223.399 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 62.23 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 62.23 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "DNP" "DNP" (id 4) (at 62.23 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "n/a" (id 4) (at 62.23 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:L_Core_Ferrite") (at 69.85 222.25 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "026e54a0-425b-44b7-bbd4-a44c599440ac")
+ (property "Reference" "L5" (id 0) (at 72.0091 221.1006 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "?" (id 1) (at 72.009 223.399 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 69.85 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 69.85 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "DNP" "DNP" (id 4) (at 69.85 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "n/a" (id 4) (at 69.85 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:L_Core_Ferrite") (at 77.47 222.25 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "046b20a9-fca5-4a41-ab89-d83f51b42c1e")
+ (property "Reference" "L6" (id 0) (at 79.6291 221.1006 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "?" (id 1) (at 79.629 223.399 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 77.47 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 77.47 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "DNP" "DNP" (id 4) (at 77.47 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "n/a" (id 4) (at 77.47 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:LED_Small_ALT") (at 238.76 124.46 270) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid "ac1710c5-b44c-404a-a76f-e00769e18698")
- (property "Reference" "D?" (id 0) (at 167.5131 106.5594 90)
+ (property "Reference" "D1" (id 0) (at 241.1731 125.6094 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "IR" (id 1) (at 167.513 104.261 90)
+ (property "Value" "IR" (id 1) (at 241.173 123.311 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "LED_SMD:LED_0603_1608Metric" (id 2) (at 165.1 105.41 90)
+ (property "Footprint" "LED_SMD:LED_0603_1608Metric" (id 2) (at 238.76 124.46 90)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 165.1 105.41 90)
+ (property "Datasheet" "~" (id 3) (at 238.76 124.46 90)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Reichelt" "IR 19-21C EVL " (id 4) (at 165.1 105.41 90)
+ (property "Reichelt" "IR 19-21C EVL " (id 4) (at 238.76 124.46 90)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:LED_Small_ALT") (at 176.53 105.41 270) (mirror x) (unit 1)
+ (symbol (lib_id "Device:LED_Small_ALT") (at 250.19 124.46 270) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid "bbcc5822-47f5-4511-ad99-7a3d28b29917")
- (property "Reference" "D?" (id 0) (at 178.9431 106.5594 90)
+ (property "Reference" "D2" (id 0) (at 252.6031 125.6094 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "IR" (id 1) (at 178.943 104.261 90)
+ (property "Value" "IR" (id 1) (at 252.603 123.311 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "LED_SMD:LED_0603_1608Metric" (id 2) (at 176.53 105.41 90)
+ (property "Footprint" "LED_SMD:LED_0603_1608Metric" (id 2) (at 250.19 124.46 90)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 176.53 105.41 90)
+ (property "Datasheet" "~" (id 3) (at 250.19 124.46 90)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Reichelt" "IR 19-21C EVL " (id 4) (at 176.53 105.41 90)
+ (property "Reichelt" "IR 19-21C EVL " (id 4) (at 250.19 124.46 90)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:LED_Small_ALT") (at 187.96 105.41 270) (mirror x) (unit 1)
+ (symbol (lib_id "Device:LED_Small_ALT") (at 261.62 124.46 270) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid "3d457230-43b5-4d95-9f3f-1b64a89ae67f")
- (property "Reference" "D?" (id 0) (at 190.3731 106.5594 90)
+ (property "Reference" "D3" (id 0) (at 264.0331 125.6094 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "IR" (id 1) (at 190.373 104.261 90)
+ (property "Value" "IR" (id 1) (at 264.033 123.311 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "LED_SMD:LED_0603_1608Metric" (id 2) (at 187.96 105.41 90)
+ (property "Footprint" "LED_SMD:LED_0603_1608Metric" (id 2) (at 261.62 124.46 90)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 187.96 105.41 90)
+ (property "Datasheet" "~" (id 3) (at 261.62 124.46 90)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Reichelt" "IR 19-21C EVL " (id 4) (at 187.96 105.41 90)
+ (property "Reichelt" "IR 19-21C EVL " (id 4) (at 261.62 124.46 90)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:LED_Small_ALT") (at 199.39 105.41 270) (mirror x) (unit 1)
+ (symbol (lib_id "Device:LED_Small_ALT") (at 273.05 124.46 270) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid "c449f477-927c-45a2-98b1-d6c7869bcee3")
- (property "Reference" "D?" (id 0) (at 201.8031 106.5594 90)
+ (property "Reference" "D4" (id 0) (at 275.4631 125.6094 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "IR" (id 1) (at 201.803 104.261 90)
+ (property "Value" "IR" (id 1) (at 275.463 123.311 90)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "LED_SMD:LED_0603_1608Metric" (id 2) (at 199.39 105.41 90)
+ (property "Footprint" "LED_SMD:LED_0603_1608Metric" (id 2) (at 273.05 124.46 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 273.05 124.46 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "IR 19-21C EVL " (id 4) (at 273.05 124.46 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:Crystal_Small") (at 129.54 146.05 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "a4328b08-f42c-47da-b256-259cad1e9e1e")
+ (property "Reference" "Y2" (id 0) (at 116.4591 144.9006 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "32.768kHz" (id 1) (at 116.459 147.199 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "Crystal:Crystal_SMD_3215-2Pin_3.2x1.5mm" (id 2) (at 129.54 146.05 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 129.54 146.05 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "GEY KX-327NHT 7" (id 4) (at 129.54 146.05 90)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 199.39 105.41 90)
+ (property "Mfg" "Geyer" (id 5) (at 129.54 146.05 90)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Reichelt" "IR 19-21C EVL " (id 4) (at 199.39 105.41 90)
+ (property "Mfg Pn" "KX-327NHT 7 CRYSTAL 32,768" (id 6) (at 129.54 146.05 90)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:LED_Small_ALT") (at 210.82 105.41 270) (mirror x) (unit 1)
+ (symbol (lib_id "Device:C_Small") (at 99.06 248.92 180) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "6e1ac9dd-95ff-42a1-804a-055c7f713609")
- (property "Reference" "D?" (id 0) (at 213.2331 106.5594 90)
+ (uuid "7bd9667f-f165-4ddf-b20b-79491d331538")
+ (property "Reference" "C5" (id 0) (at 95.4658 250.0694 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "IR" (id 1) (at 213.233 104.261 90)
+ (property "Value" "33/25" (id 1) (at 96.52 247.65 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "LED_SMD:LED_0603_1608Metric" (id 2) (at 210.82 105.41 90)
+ (property "Footprint" "Capacitor_SMD:C_2220_5650Metric" (id 2) (at 99.06 248.92 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 210.82 105.41 90)
+ (property "Datasheet" "~" (id 3) (at 99.06 248.92 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Reichelt" "IR 19-21C EVL " (id 4) (at 210.82 105.41 90)
+ (property "Reichelt" "ECC KTS250B336K" (id 4) (at 99.06 248.92 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:C_Small") (at 149.86 176.53 0) (unit 1)
+ (symbol (lib_id "Device:C_Small") (at 109.22 248.92 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "642edf86-3671-4a65-af0a-81c73d53dfb3")
+ (property "Reference" "C6" (id 0) (at 105.6258 250.0694 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "33/25" (id 1) (at 106.68 247.65 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_2220_5650Metric" (id 2) (at 109.22 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 109.22 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "ECC KTS250B336K" (id 4) (at 109.22 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 121.92 248.92 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "dcd4f99d-e9e3-48a3-ac77-661696f33413")
+ (property "Reference" "C7" (id 0) (at 119.5958 247.7706 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "100n/25" (id 1) (at 119.596 250.069 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 121.92 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 121.92 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "X7R-G0805 100N" (id 4) (at 121.92 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 142.24 248.92 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "7ac33396-556f-4a9a-9d77-7193c982dc03")
+ (property "Reference" "C8" (id 0) (at 144.5642 250.0694 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "100n" (id 1) (at 144.564 247.771 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 142.24 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 142.24 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "X7R-G0603 100N" (id 4) (at 142.24 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 151.13 248.92 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "1c9840ce-6409-4cc8-a58d-7d82a2b3cad5")
+ (property "Reference" "C9" (id 0) (at 153.4542 247.7706 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "10u" (id 1) (at 153.454 250.069 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 151.13 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 151.13 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "X5R-G0603 10/6" (id 4) (at 151.13 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 233.68 198.12 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "c46ec18f-ee83-453b-ac2e-d9b0d45bd1c2")
- (property "Reference" "C?" (id 0) (at 152.1842 175.3806 0)
+ (property "Reference" "C4" (id 0) (at 236.0042 196.9706 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "1u 10V" (id 1) (at 152.184 177.679 0)
+ (property "Value" "1u 10V" (id 1) (at 236.004 199.269 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 149.86 176.53 0)
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 233.68 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 233.68 198.12 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 149.86 176.53 0)
+ (property "Reichelt" "X7R-G0603 1,0/16" (id 4) (at 233.68 198.12 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:C_Small") (at 156.21 148.59 0) (unit 1)
+ (symbol (lib_id "Device:C_Small") (at 240.03 170.18 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "afb67993-ac46-427a-be56-7053d28c2596")
- (property "Reference" "C?" (id 0) (at 158.5342 147.4406 0)
+ (property "Reference" "C3" (id 0) (at 242.3542 169.0306 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "100n" (id 1) (at 242.354 171.329 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 240.03 170.18 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 240.03 170.18 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "X7R-G0603 100N" (id 4) (at 240.03 170.18 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 270.51 198.12 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "a2093dd3-1658-4637-86fb-575d9cb58d4d")
+ (property "Reference" "C13" (id 0) (at 272.8342 196.9706 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "100n" (id 1) (at 158.534 149.739 0)
+ (property "Value" "1u 10V" (id 1) (at 272.834 199.269 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 156.21 148.59 0)
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 270.51 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 270.51 198.12 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 156.21 148.59 0)
+ (property "Reichelt" "X7R-G0603 1,0/16" (id 4) (at 270.51 198.12 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:C_Small") (at 222.25 95.25 0) (unit 1)
+ (symbol (lib_id "Device:C_Small") (at 276.86 170.18 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "76bff064-a627-4b38-aad8-92f26a2b8f6b")
+ (property "Reference" "C10" (id 0) (at 279.1842 169.0306 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "100n" (id 1) (at 279.184 171.329 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 276.86 170.18 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 276.86 170.18 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "X7R-G0603 100N" (id 4) (at 276.86 170.18 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:C_Small") (at 295.91 125.73 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "65d86ee1-31ac-4eb2-99b6-5ef2bfeb23f1")
- (property "Reference" "C?" (id 0) (at 224.5742 94.1006 0)
+ (property "Reference" "C1" (id 0) (at 298.2342 124.5806 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "1u" (id 1) (at 224.574 96.399 0)
+ (property "Value" "1u" (id 1) (at 298.234 126.879 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 222.25 95.25 0)
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 295.91 125.73 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 295.91 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 222.25 95.25 0)
+ (property "Reichelt" "X7R-G0603 1,0/16" (id 4) (at 295.91 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:C_Small") (at 229.87 95.25 0) (unit 1)
+ (symbol (lib_id "Device:C_Small") (at 303.53 125.73 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "6e7be9cf-17cb-404c-8d19-c7182635a915")
- (property "Reference" "C?" (id 0) (at 232.1942 94.1006 0)
+ (property "Reference" "C2" (id 0) (at 305.8542 124.5806 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "10u" (id 1) (at 232.194 96.399 0)
+ (property "Value" "10u" (id 1) (at 305.854 126.879 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "" (id 2) (at 229.87 95.25 0)
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 303.53 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 229.87 95.25 0)
+ (property "Datasheet" "~" (id 3) (at 303.53 125.73 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "X5R-G0603 10/6" (id 4) (at 303.53 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:Q_PNP_BEC") (at 148.59 95.25 180) (unit 1)
+ (symbol (lib_id "Device:C_Small") (at 307.34 198.12 0) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "24fc75e6-72dc-4625-aa31-751fd6b7d70d")
- (property "Reference" "Q?" (id 0) (at 143.7385 94.1006 0)
+ (uuid "ce44620f-a728-437c-a7fa-0896d7d18088")
+ (property "Reference" "C14" (id 0) (at 309.6642 196.9706 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "Q_PNP_BCE" (id 1) (at 143.738 96.399 0)
- (effects (font (size 1.27 1.27)) (justify left) hide)
+ (property "Value" "1u 10V" (id 1) (at 309.664 199.269 0)
+ (effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 143.51 97.79 0)
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 307.34 198.12 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 148.59 95.25 0)
+ (property "Datasheet" "~" (id 3) (at 307.34 198.12 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Reichelt" "BC 857C SMD " (id 4) (at 148.59 95.25 0)
+ (property "Reichelt" "X7R-G0603 1,0/16" (id 4) (at 307.34 198.12 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:Q_PNP_BEC") (at 162.56 95.25 0) (mirror x) (unit 1)
+ (symbol (lib_id "Device:C_Small") (at 313.69 170.18 0) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "019310b6-9f4c-4c75-8f84-30f012bcafca")
- (property "Reference" "Q?" (id 0) (at 167.4115 94.1006 0)
+ (uuid "18fb3743-49d4-4ed9-9a66-15606e400fe4")
+ (property "Reference" "C11" (id 0) (at 316.0142 169.0306 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "Q_PNP_BCE" (id 1) (at 167.412 96.399 0)
- (effects (font (size 1.27 1.27)) (justify left) hide)
+ (property "Value" "100n" (id 1) (at 316.014 171.329 0)
+ (effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 167.64 97.79 0)
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 313.69 170.18 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 162.56 95.25 0)
+ (property "Datasheet" "~" (id 3) (at 313.69 170.18 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Reichelt" "BC 857C SMD " (id 4) (at 162.56 95.25 0)
+ (property "Reichelt" "X7R-G0603 100N" (id 4) (at 313.69 170.18 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:Q_PNP_BEC") (at 173.99 95.25 0) (mirror x) (unit 1)
+ (symbol (lib_id "Device:C_Small") (at 344.17 198.12 0) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "ace06487-fc50-4775-ae96-3f6113e8675f")
- (property "Reference" "Q?" (id 0) (at 178.8415 94.1006 0)
+ (uuid "b8d967e7-65e4-48fb-9728-7dc5720a9a28")
+ (property "Reference" "C15" (id 0) (at 346.4942 196.9706 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "Q_PNP_BCE" (id 1) (at 178.842 96.399 0)
- (effects (font (size 1.27 1.27)) (justify left) hide)
+ (property "Value" "1u 10V" (id 1) (at 346.494 199.269 0)
+ (effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 179.07 97.79 0)
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 344.17 198.12 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 173.99 95.25 0)
+ (property "Datasheet" "~" (id 3) (at 344.17 198.12 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Reichelt" "BC 857C SMD " (id 4) (at 173.99 95.25 0)
+ (property "Reichelt" "X7R-G0603 1,0/16" (id 4) (at 344.17 198.12 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:Q_PNP_BEC") (at 185.42 95.25 0) (mirror x) (unit 1)
+ (symbol (lib_id "Device:C_Small") (at 350.52 170.18 0) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "8dc75187-3257-4203-b276-142b8706764b")
- (property "Reference" "Q?" (id 0) (at 190.2715 94.1006 0)
+ (uuid "66f86923-ef92-42a1-922e-41f32db77f54")
+ (property "Reference" "C12" (id 0) (at 352.8442 169.0306 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "Q_PNP_BCE" (id 1) (at 190.272 96.399 0)
- (effects (font (size 1.27 1.27)) (justify left) hide)
+ (property "Value" "100n" (id 1) (at 352.844 171.329 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 350.52 170.18 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 350.52 170.18 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "X7R-G0603 100N" (id 4) (at 350.52 170.18 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:Crystal_GND24_Small") (at 120.65 130.81 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "7a26cb4e-c091-48af-a51d-337dfcc737dc")
+ (property "Reference" "Y1" (id 0) (at 109.22 129.54 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "12MHz" (id 1) (at 109.22 132.08 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm" (id 2) (at 120.65 130.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 120.65 130.81 0)
+ (effects (font (size 1.27 1.27)) hide)
)
- (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 190.5 97.79 0)
+ (property "Reichelt" "12,000000-MT" (id 4) (at 120.65 130.81 90)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 185.42 95.25 0)
+ (property "Mfg" "Euroquartz" (id 5) (at 120.65 130.81 90)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Reichelt" "BC 857C SMD " (id 4) (at 185.42 95.25 0)
+ (property "Mfg Pn" "12.000MHZ MT -40+85 12PF" (id 6) (at 120.65 130.81 90)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:Q_PNP_BEC") (at 196.85 95.25 0) (mirror x) (unit 1)
+ (symbol (lib_id "Connector_Generic:Conn_01x03") (at 36.83 251.46 180) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "b57bfbac-e3f3-4790-a281-7bba9310054d")
- (property "Reference" "Q?" (id 0) (at 201.7015 94.1006 0)
+ (uuid "4deb68c2-2968-440b-984c-67d0eca59659")
+ (property "Reference" "J6" (id 0) (at 36.83 246.38 0))
+ (property "Value" "Conn_01x03" (id 1) (at 38.862 245.637 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" (id 2) (at 36.83 251.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 36.83 251.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "DNP" "DNP" (id 4) (at 36.83 256.54 0))
+ (property "Reichelt" "Stock" (id 4) (at 36.83 251.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Connector_Generic:Conn_01x04") (at 273.05 234.95 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "325a0431-6154-47df-ad87-4915d131eb4d")
+ (property "Reference" "J4" (id 0) (at 271.78 229.87 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "Q_PNP_BCE" (id 1) (at 201.702 96.399 0)
+ (property "Value" "Conn_01x04" (id 1) (at 275.082 237.42 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
- (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 201.93 97.79 0)
+ (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal" (id 2) (at 273.05 234.95 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 196.85 95.25 0)
+ (property "Datasheet" "~" (id 3) (at 273.05 234.95 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Reichelt" "BC 857C SMD " (id 4) (at 196.85 95.25 0)
+ (property "DNP" "DNP" (id 4) (at 273.05 242.57 0))
+ (property "Reichelt" "Stock" (id 4) (at 273.05 234.95 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "Device:Q_PNP_BEC") (at 208.28 95.25 0) (mirror x) (unit 1)
+ (symbol (lib_id "Device:Q_NPN_BEC") (at 254 137.16 0) (unit 1)
(in_bom yes) (on_board yes)
- (uuid "36a1edfb-8bc8-48f9-8e05-ff48fbd55a15")
- (property "Reference" "Q?" (id 0) (at 213.1315 94.1006 0)
+ (uuid "24fc75e6-72dc-4625-aa31-751fd6b7d70d")
+ (property "Reference" "Q1" (id 0) (at 258.8515 138.3094 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "Q_PNP_BCE" (id 1) (at 213.132 96.399 0)
+ (property "Value" "BC847C" (id 1) (at 258.852 136.011 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
- (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 213.36 97.79 0)
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 259.08 134.62 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (id 3) (at 208.28 95.25 0)
+ (property "Datasheet" "~" (id 3) (at 254 137.16 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Reichelt" "BC 857C SMD " (id 4) (at 208.28 95.25 0)
+ (property "Reichelt" "BC 847C SMD " (id 4) (at 254 137.16 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "common_symbols:15mm_junction") (at 156.845 37.465 0) (unit 1)
+ (symbol (lib_id "Device:D_Schottky_x2_Serial_AKC") (at 62.23 251.46 270) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "06932f1b-f5f1-4ccf-befe-be91a3645bb6")
+ (property "Reference" "D6" (id 0) (at 58.42 246.38 90))
+ (property "Value" "BAT64-04" (id 1) (at 65.386 251.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 62.23 251.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 62.23 251.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "BAT 64-04 INF" (id 4) (at 62.23 251.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Device:D_Schottky_x2_Serial_AKC") (at 68.58 251.46 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "5aee4248-535d-4f9c-a242-830a15891907")
+ (property "Reference" "D7" (id 0) (at 72.39 246.38 90))
+ (property "Value" "BAT64-04" (id 1) (at 65.424 251.46 0))
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 68.58 251.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 68.58 251.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "BAT 64-04 INF" (id 4) (at 68.58 251.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Regulator_Linear:AZ1117-3.3") (at 132.08 243.84 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "e7d762a7-ba4c-414a-9d3b-c4abc1225078")
+ (property "Reference" "U3" (id 0) (at 132.08 237.7248 0))
+ (property "Value" "ZLDO1117G33TA" (id 1) (at 132.08 240.0235 0))
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 132.08 237.49 0)
+ (effects (font (size 1.27 1.27) italic) hide)
+ )
+ (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AZ1117.pdf" (id 3) (at 132.08 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "ZLDO1117G33TA" (id 4) (at 132.08 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "common_symbols:15mm_junction") (at 227.965 51.435 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "a56a8a68-3eb9-47fd-9b40-a271d9769e60")
- (property "Reference" "J1" (id 0) (at 156.845 22.9932 0))
- (property "Value" "15mm_junction" (id 1) (at 156.845 25.2919 0))
- (property "Footprint" "common_footprints:15mm_base" (id 2) (at 156.845 37.465 0)
+ (property "Reference" "J1" (id 0) (at 227.965 36.9632 0))
+ (property "Value" "15mm_junction" (id 1) (at 227.965 39.2619 0))
+ (property "Footprint" "common_footprints:15mm_base" (id 2) (at 227.965 51.435 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 156.845 37.465 0)
+ (property "Datasheet" "" (id 3) (at 227.965 51.435 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "n/a" (id 4) (at 227.965 51.435 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "common_symbols:15mm_junction") (at 212.725 37.465 0) (unit 1)
+ (symbol (lib_id "common_symbols:15mm_junction") (at 283.845 51.435 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "c0ce2bb8-f1ea-415c-a77e-282936069498")
- (property "Reference" "J2" (id 0) (at 212.725 22.225 0))
- (property "Value" "15mm_junction" (id 1) (at 212.725 24.765 0))
- (property "Footprint" "common_footprints:15mm_base" (id 2) (at 212.725 25.292 0)
+ (property "Reference" "J2" (id 0) (at 283.845 36.195 0))
+ (property "Value" "15mm_junction" (id 1) (at 283.845 38.735 0))
+ (property "Footprint" "common_footprints:15mm_base" (id 2) (at 283.845 39.262 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 283.845 51.435 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 212.725 37.465 0)
+ (property "Reichelt" "n/a" (id 4) (at 283.845 51.435 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "common_symbols:15mm_junction") (at 255.905 37.465 0) (unit 1)
+ (symbol (lib_id "common_symbols:15mm_junction") (at 327.025 51.435 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "fb0bb324-bc42-42e5-ac43-48fa44dd28d8")
- (property "Reference" "J3" (id 0) (at 255.905 22.9932 0))
- (property "Value" "15mm_junction" (id 1) (at 255.905 25.2919 0))
- (property "Footprint" "common_footprints:15mm_base" (id 2) (at 255.905 37.465 0)
+ (property "Reference" "J3" (id 0) (at 327.025 36.9632 0))
+ (property "Value" "15mm_junction" (id 1) (at 327.025 39.2619 0))
+ (property "Footprint" "common_footprints:15mm_base" (id 2) (at 327.025 51.435 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 327.025 51.435 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (id 3) (at 255.905 37.465 0)
+ (property "Reichelt" "n/a" (id 4) (at 327.025 51.435 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "common_symbols:AIS1120SX") (at 146.05 160.02 0) (unit 1)
+ (symbol (lib_id "Connector_Generic:Conn_02x10_Odd_Even") (at 205.74 236.22 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "e1b3f944-55a9-461c-b14d-4f0ad86b3bdd")
+ (property "Reference" "J5" (id 0) (at 207.01 220.5798 0))
+ (property "Value" "Card edge mating EPT EC.8 20pin (Reichelt)" (id 1) (at 207.01 222.8785 0))
+ (property "Footprint" "" (id 2) (at 205.74 236.22 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 205.74 236.22 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "n/a" (id 4) (at 205.74 236.22 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "common_symbols:AIS1120SX") (at 229.87 181.61 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "81ec419a-76d6-436f-8163-cd7fcaf7798a")
- (property "Reference" "U?" (id 0) (at 153.0355 158.8706 0)
+ (property "Reference" "U2" (id 0) (at 236.8555 180.4606 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "AIS1120SX" (id 1) (at 236.8555 182.7593 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_SO:SO-8_3.9x4.9mm_P1.27mm" (id 2) (at 229.87 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "https://www.st.com/content/ccc/resource/technical/document/datasheet/ae/89/e4/62/23/de/40/2a/DM00226343.pdf/files/DM00226343.pdf/jcr:content/translations/en.DM00226343.pdf" (id 3) (at 229.87 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock (TBD)" (id 4) (at 229.87 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "common_symbols:AIS1120SX") (at 266.7 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "7681b329-bc69-4164-9c62-8ab9f9e2334e")
+ (property "Reference" "U4" (id 0) (at 273.6855 180.4606 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "AIS1120SX" (id 1) (at 273.6855 182.7593 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "AIS1120SX" (id 1) (at 153.0355 161.1693 0)
+ (property "Footprint" "Package_SO:SO-8_3.9x4.9mm_P1.27mm" (id 2) (at 266.7 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "https://www.st.com/content/ccc/resource/technical/document/datasheet/ae/89/e4/62/23/de/40/2a/DM00226343.pdf/files/DM00226343.pdf/jcr:content/translations/en.DM00226343.pdf" (id 3) (at 266.7 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock (TBD)" (id 4) (at 266.7 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "common_symbols:AIS1120SX") (at 303.53 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "7a0d0b5b-1bd2-4cc3-a5a0-d174fe6f3ae2")
+ (property "Reference" "U5" (id 0) (at 310.5155 180.4606 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "AIS1120SX" (id 1) (at 310.5155 182.7593 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "Package_SO:SO-8_3.9x4.9mm_P1.27mm" (id 2) (at 146.05 160.02 0)
+ (property "Footprint" "Package_SO:SO-8_3.9x4.9mm_P1.27mm" (id 2) (at 303.53 181.61 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "https://www.st.com/content/ccc/resource/technical/document/datasheet/ae/89/e4/62/23/de/40/2a/DM00226343.pdf/files/DM00226343.pdf/jcr:content/translations/en.DM00226343.pdf" (id 3) (at 146.05 160.02 0)
+ (property "Datasheet" "https://www.st.com/content/ccc/resource/technical/document/datasheet/ae/89/e4/62/23/de/40/2a/DM00226343.pdf/files/DM00226343.pdf/jcr:content/translations/en.DM00226343.pdf" (id 3) (at 303.53 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock (TBD)" (id 4) (at 303.53 181.61 0)
(effects (font (size 1.27 1.27)) hide)
)
)
- (symbol (lib_id "MCU_ST_STM32F0:STM32F030C8Tx") (at 85.09 124.46 0) (unit 1)
+ (symbol (lib_id "common_symbols:AIS1120SX") (at 340.36 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid "c2f1c0d6-9808-4eec-89dd-89bf90ae8723")
+ (property "Reference" "U6" (id 0) (at 347.3455 180.4606 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "AIS1120SX" (id 1) (at 347.3455 182.7593 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_SO:SO-8_3.9x4.9mm_P1.27mm" (id 2) (at 340.36 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "https://www.st.com/content/ccc/resource/technical/document/datasheet/ae/89/e4/62/23/de/40/2a/DM00226343.pdf/files/DM00226343.pdf/jcr:content/translations/en.DM00226343.pdf" (id 3) (at 340.36 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "Stock (TBD)" (id 4) (at 340.36 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "MCU_ST_STM32F0:STM32F030C8Tx") (at 158.75 154.94 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid "2be0305d-ee6b-44f2-ace0-7841529807ad")
- (property "Reference" "U?" (id 0) (at 96.52 85.09 0))
- (property "Value" "STM32F030C8Tx" (id 1) (at 85.09 107.95 0))
- (property "Footprint" "Package_QFP:LQFP-48_7x7mm_P0.5mm" (id 2) (at 72.39 162.56 0)
+ (property "Reference" "U1" (id 0) (at 170.18 115.57 0))
+ (property "Value" "STM32F030C8Tx" (id 1) (at 158.75 138.43 0))
+ (property "Footprint" "Package_QFP:LQFP-48_7x7mm_P0.5mm" (id 2) (at 146.05 193.04 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
- (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00088500.pdf" (id 3) (at 85.09 124.46 0)
+ (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00088500.pdf" (id 3) (at 158.75 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "STM32 F030C8T6" (id 4) (at 158.75 154.94 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(symbol_instances
- (path "/03cc2793-ed5f-4ea3-b398-6e1a2572e883"
- (reference "#PWR?") (unit 1)
+ (path "/3416a780-7f67-422a-821c-8139f888d76d"
+ (reference "#PWR01") (unit 1) (value "GND") (footprint "")
)
- (path "/09cda091-e81c-454b-b5ff-5223cb2f5c73"
- (reference "#PWR?") (unit 1)
+ (path "/1989efd7-c3a2-4d1b-acc3-f98dbe24df67"
+ (reference "#PWR02") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/a826f368-fdf9-44da-a25a-3df55b30e9e9"
+ (reference "#PWR03") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/de7cad60-a72f-4e49-bd07-1477fc717b9d"
+ (reference "#PWR04") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/0e84fd77-1fb2-47d1-9589-b2fcb7da931a"
+ (reference "#PWR0101") (unit 1) (value "GND") (footprint "")
)
(path "/0a30842c-c0b4-43f3-87f0-d41232c56b2c"
- (reference "#PWR?") (unit 1)
+ (reference "#PWR0102") (unit 1) (value "GND") (footprint "")
)
- (path "/0a6ed4fd-e4ea-4fa2-a69d-e3563c8bfcec"
- (reference "#PWR?") (unit 1)
+ (path "/c2c68763-59fb-4618-8c2c-90d618c2cfd7"
+ (reference "#PWR0103") (unit 1) (value "+3V3") (footprint "")
)
- (path "/0a8ca344-bb2e-4d00-86cc-33f3d7896c21"
- (reference "#PWR?") (unit 1)
+ (path "/d459c56d-4308-4a65-9acd-70fa0232ef3d"
+ (reference "#PWR0104") (unit 1) (value "GND") (footprint "")
)
- (path "/0caccd96-9e61-44d6-a579-fc806aad5693"
- (reference "#PWR?") (unit 1)
+ (path "/4b3c908e-7961-4aa4-9647-52791e5afa89"
+ (reference "#PWR0105") (unit 1) (value "+3V3") (footprint "")
)
- (path "/0e84fd77-1fb2-47d1-9589-b2fcb7da931a"
- (reference "#PWR?") (unit 1)
+ (path "/85abf884-20f2-412c-b7ac-ce2fdde1afb8"
+ (reference "#PWR0106") (unit 1) (value "GND") (footprint "")
)
- (path "/3c6a81f9-f97f-4b70-9997-fc55e31561dc"
- (reference "#PWR?") (unit 1)
+ (path "/71b27605-d88b-4b1f-8cff-eb1f2299b67f"
+ (reference "#PWR0107") (unit 1) (value "GND") (footprint "")
)
- (path "/4a87881d-94f1-42dd-ad08-0adf9d7c84db"
- (reference "#PWR?") (unit 1)
+ (path "/e25cc676-c48e-4ef7-84b5-eab741f6d357"
+ (reference "#PWR0108") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/f77e18fe-4af5-4f39-a954-97005f89f420"
+ (reference "#PWR0109") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/0caccd96-9e61-44d6-a579-fc806aad5693"
+ (reference "#PWR0110") (unit 1) (value "+3V3") (footprint "")
)
(path "/52bdad54-3f45-49c9-94b1-a3fe765b71af"
- (reference "#PWR?") (unit 1)
+ (reference "#PWR0111") (unit 1) (value "+3V3") (footprint "")
)
- (path "/71b27605-d88b-4b1f-8cff-eb1f2299b67f"
- (reference "#PWR?") (unit 1)
+ (path "/4a87881d-94f1-42dd-ad08-0adf9d7c84db"
+ (reference "#PWR0112") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/3c6a81f9-f97f-4b70-9997-fc55e31561dc"
+ (reference "#PWR0115") (unit 1) (value "+3V3") (footprint "")
)
- (path "/870f3e59-862a-408d-8129-ffcc91fa62b8"
- (reference "#PWR?") (unit 1)
+ (path "/f66c39f6-4ff3-4862-a32f-439f6c4cc03d"
+ (reference "#PWR0116") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/09cda091-e81c-454b-b5ff-5223cb2f5c73"
+ (reference "#PWR0117") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/03cc2793-ed5f-4ea3-b398-6e1a2572e883"
+ (reference "#PWR0121") (unit 1) (value "+3V3") (footprint "")
)
(path "/a4bf7d2f-1422-465a-a238-fbd2ae76cdca"
- (reference "#PWR?") (unit 1)
+ (reference "#PWR0122") (unit 1) (value "+3V3") (footprint "")
)
- (path "/a9b619b7-4d77-4395-87b7-b039dabfe0a9"
- (reference "#PWR?") (unit 1)
+ (path "/0ae2131e-93f1-4c59-8e59-b218e53124c1"
+ (reference "#PWR0123") (unit 1) (value "GND") (footprint "")
)
- (path "/c17125e7-ce3a-469c-9d5f-3e1d378a988b"
- (reference "#PWR?") (unit 1)
+ (path "/ad872b8f-5a95-40c1-ad23-a3d020ad04e3"
+ (reference "#PWR0124") (unit 1) (value "+3V3") (footprint "")
)
- (path "/c2c68763-59fb-4618-8c2c-90d618c2cfd7"
- (reference "#PWR?") (unit 1)
+ (path "/14dbd1dc-5a84-49d5-80c1-17d83cc712dc"
+ (reference "#PWR0125") (unit 1) (value "GND") (footprint "")
)
- (path "/e25cc676-c48e-4ef7-84b5-eab741f6d357"
- (reference "#PWR?") (unit 1)
+ (path "/08b7fb98-735e-42bc-b216-6f5bca9aee61"
+ (reference "#PWR0126") (unit 1) (value "+3V3") (footprint "")
)
- (path "/f66c39f6-4ff3-4862-a32f-439f6c4cc03d"
- (reference "#PWR?") (unit 1)
+ (path "/63a61784-9180-4548-84fc-62821fa3f4c9"
+ (reference "#PWR0127") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/f9e15406-c619-4d79-9896-97143c9df572"
+ (reference "#PWR0128") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/885ea9b5-910c-4dbc-b3ee-d43cd8d74d81"
+ (reference "#PWR0129") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/5013717a-ef29-48d7-bc8b-621a3fe96b1c"
+ (reference "#PWR0130") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/ab3e6017-c39d-4f11-983e-1bea533f0d6b"
+ (reference "#PWR0131") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/9c6723a9-e545-4ffc-b287-f11585add80c"
+ (reference "#PWR0132") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/c049588a-b070-4ebe-8be7-8499d7728545"
+ (reference "#PWR0133") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/165304d7-df8c-4858-b34b-3b705e5cbe33"
+ (reference "#PWR0134") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/02491c2f-a3d5-4db1-872b-38d185a892c2"
+ (reference "#PWR0135") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/45f31cc2-43ac-4a14-a3ab-c0566757dbb3"
+ (reference "#PWR0136") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/531871d7-68e0-417b-b0e6-dcd9c56ca33e"
+ (reference "#PWR0137") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/8871fdb9-0ebd-4819-acfb-e2f9d84619a3"
+ (reference "#PWR0138") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/b7cb8148-4623-4321-bb15-748a7b38c1b4"
+ (reference "#PWR0139") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/14f7924a-064f-4591-9d9e-eeab98ffa649"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/18a50664-d36c-46f0-9842-7491f6b70239"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/1fc34bfb-cbd7-47fb-8c69-803fbdf9ca90"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/3a82d320-8240-4639-b5e7-14e209e8525a"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/582ba795-694a-400a-b376-060610b038a1"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/5ec58031-c304-44ba-8957-384ee5e143a6"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/78a775fb-2ad4-478e-92a6-3f45f8c31541"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/87f977e3-6b42-45e6-8187-b5a10e618f1d"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/a02797a6-05fe-4c8a-87fb-34d2974732e4"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/aa81b148-f8a7-4e37-bb26-2d0f5650afef"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/b9c85026-3f48-4b9b-87aa-7f9cc274222b"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/c496446b-65fe-4bcb-a7d5-688e3825ba53"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/e9f45522-a244-4888-a398-82310e6ccd0e"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/ec731677-acb0-4e67-8093-d281f5a8f3c6"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/f85da240-39c6-45ef-8305-61d03b1b726e"
+ (reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/65d86ee1-31ac-4eb2-99b6-5ef2bfeb23f1"
- (reference "C?") (unit 1)
+ (reference "C1") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/6e7be9cf-17cb-404c-8d19-c7182635a915"
- (reference "C?") (unit 1)
+ (reference "C2") (unit 1) (value "10u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/afb67993-ac46-427a-be56-7053d28c2596"
- (reference "C?") (unit 1)
+ (reference "C3") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/c46ec18f-ee83-453b-ac2e-d9b0d45bd1c2"
- (reference "C?") (unit 1)
+ (reference "C4") (unit 1) (value "1u 10V") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
- (path "/3d457230-43b5-4d95-9f3f-1b64a89ae67f"
- (reference "D?") (unit 1)
+ (path "/7bd9667f-f165-4ddf-b20b-79491d331538"
+ (reference "C5") (unit 1) (value "33/25") (footprint "Capacitor_SMD:C_2220_5650Metric")
+ )
+ (path "/642edf86-3671-4a65-af0a-81c73d53dfb3"
+ (reference "C6") (unit 1) (value "33/25") (footprint "Capacitor_SMD:C_2220_5650Metric")
+ )
+ (path "/dcd4f99d-e9e3-48a3-ac77-661696f33413"
+ (reference "C7") (unit 1) (value "100n/25") (footprint "Capacitor_SMD:C_0805_2012Metric")
+ )
+ (path "/7ac33396-556f-4a9a-9d77-7193c982dc03"
+ (reference "C8") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+ )
+ (path "/1c9840ce-6409-4cc8-a58d-7d82a2b3cad5"
+ (reference "C9") (unit 1) (value "10u") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
- (path "/6e1ac9dd-95ff-42a1-804a-055c7f713609"
- (reference "D?") (unit 1)
+ (path "/76bff064-a627-4b38-aad8-92f26a2b8f6b"
+ (reference "C10") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+ )
+ (path "/18fb3743-49d4-4ed9-9a66-15606e400fe4"
+ (reference "C11") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+ )
+ (path "/66f86923-ef92-42a1-922e-41f32db77f54"
+ (reference "C12") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+ )
+ (path "/a2093dd3-1658-4637-86fb-575d9cb58d4d"
+ (reference "C13") (unit 1) (value "1u 10V") (footprint "Capacitor_SMD:C_0603_1608Metric")
+ )
+ (path "/ce44620f-a728-437c-a7fa-0896d7d18088"
+ (reference "C14") (unit 1) (value "1u 10V") (footprint "Capacitor_SMD:C_0603_1608Metric")
+ )
+ (path "/b8d967e7-65e4-48fb-9728-7dc5720a9a28"
+ (reference "C15") (unit 1) (value "1u 10V") (footprint "Capacitor_SMD:C_0603_1608Metric")
)
(path "/ac1710c5-b44c-404a-a76f-e00769e18698"
- (reference "D?") (unit 1)
+ (reference "D1") (unit 1) (value "IR") (footprint "LED_SMD:LED_0603_1608Metric")
)
(path "/bbcc5822-47f5-4511-ad99-7a3d28b29917"
- (reference "D?") (unit 1)
+ (reference "D2") (unit 1) (value "IR") (footprint "LED_SMD:LED_0603_1608Metric")
+ )
+ (path "/3d457230-43b5-4d95-9f3f-1b64a89ae67f"
+ (reference "D3") (unit 1) (value "IR") (footprint "LED_SMD:LED_0603_1608Metric")
)
(path "/c449f477-927c-45a2-98b1-d6c7869bcee3"
- (reference "D?") (unit 1)
+ (reference "D4") (unit 1) (value "IR") (footprint "LED_SMD:LED_0603_1608Metric")
+ )
+ (path "/06932f1b-f5f1-4ccf-befe-be91a3645bb6"
+ (reference "D6") (unit 1) (value "BAT64-04") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/5aee4248-535d-4f9c-a242-830a15891907"
+ (reference "D7") (unit 1) (value "BAT64-04") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/2c0779c7-7f7c-4ed8-ab90-ba2f89dd4054"
+ (reference "D8") (unit 1) (value "12V") (footprint "Diode_SMD:D_SOT-23_ANK")
)
(path "/a56a8a68-3eb9-47fd-9b40-a271d9769e60"
- (reference "J1") (unit 1)
+ (reference "J1") (unit 1) (value "15mm_junction") (footprint "common_footprints:15mm_base")
)
(path "/c0ce2bb8-f1ea-415c-a77e-282936069498"
- (reference "J2") (unit 1)
+ (reference "J2") (unit 1) (value "15mm_junction") (footprint "common_footprints:15mm_base")
)
(path "/fb0bb324-bc42-42e5-ac43-48fa44dd28d8"
- (reference "J3") (unit 1)
+ (reference "J3") (unit 1) (value "15mm_junction") (footprint "common_footprints:15mm_base")
)
- (path "/019310b6-9f4c-4c75-8f84-30f012bcafca"
- (reference "Q?") (unit 1)
+ (path "/325a0431-6154-47df-ad87-4915d131eb4d"
+ (reference "J4") (unit 1) (value "Conn_01x04") (footprint "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal")
)
- (path "/24fc75e6-72dc-4625-aa31-751fd6b7d70d"
- (reference "Q?") (unit 1)
+ (path "/e1b3f944-55a9-461c-b14d-4f0ad86b3bdd"
+ (reference "J5") (unit 1) (value "Card edge mating EPT EC.8 20pin (Reichelt)") (footprint "")
)
- (path "/36a1edfb-8bc8-48f9-8e05-ff48fbd55a15"
- (reference "Q?") (unit 1)
+ (path "/4deb68c2-2968-440b-984c-67d0eca59659"
+ (reference "J6") (unit 1) (value "Conn_01x03") (footprint "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical")
)
- (path "/8dc75187-3257-4203-b276-142b8706764b"
- (reference "Q?") (unit 1)
+ (path "/b075cd79-6da6-4549-9f91-cda24db19958"
+ (reference "L1") (unit 1) (value "100u") (footprint "Inductor_SMD:L_1210_3225Metric")
)
- (path "/ace06487-fc50-4775-ae96-3f6113e8675f"
- (reference "Q?") (unit 1)
+ (path "/090a47a2-5667-4f93-a380-f5b93e548fff"
+ (reference "L2") (unit 1) (value "47u") (footprint "Inductor_SMD:L_1210_3225Metric")
)
- (path "/b57bfbac-e3f3-4790-a281-7bba9310054d"
- (reference "Q?") (unit 1)
+ (path "/6f534d82-e337-4dc4-bd77-d9885dc92b67"
+ (reference "L3") (unit 1) (value "?") (footprint "")
)
- (path "/022ba49e-05fc-4b00-baf0-d6f0bd12e5c7"
- (reference "R?") (unit 1)
+ (path "/e2d419f9-ad0a-4e54-9abb-e228f427e1b3"
+ (reference "L4") (unit 1) (value "?") (footprint "")
)
- (path "/0427ab2c-0655-4d89-bbad-7dd378f0745e"
- (reference "R?") (unit 1)
+ (path "/026e54a0-425b-44b7-bbd4-a44c599440ac"
+ (reference "L5") (unit 1) (value "?") (footprint "")
)
- (path "/0992d604-7add-4da6-8dde-e1ab5f4abc55"
- (reference "R?") (unit 1)
+ (path "/046b20a9-fca5-4a41-ab89-d83f51b42c1e"
+ (reference "L6") (unit 1) (value "?") (footprint "")
)
- (path "/190d4939-3f06-44cd-9927-32a58f31384e"
- (reference "R?") (unit 1)
+ (path "/24fc75e6-72dc-4625-aa31-751fd6b7d70d"
+ (reference "Q1") (unit 1) (value "BC847C") (footprint "Package_TO_SOT_SMD:SOT-23")
)
- (path "/2fdbe3f2-e81c-4bd2-87c5-4d679a28f536"
- (reference "R?") (unit 1)
+ (path "/abc05b74-9944-4505-a018-c9874a9bffec"
+ (reference "R1") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
+ )
+ (path "/5af07dd5-93d5-4d0d-8c36-b8c637bc0c46"
+ (reference "R2") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
+ )
+ (path "/78d576d6-c374-45da-88c6-e23caa6e39dd"
+ (reference "R3") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/303ad3f8-8fff-45d9-8fa6-e53ed4688642"
- (reference "R?") (unit 1)
+ (reference "R4") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
)
- (path "/37982514-5894-4cd0-9765-db1c306abdea"
- (reference "R?") (unit 1)
+ (path "/61f19ebe-0ac5-4fe8-b7f0-91569091e23e"
+ (reference "R5") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/4179568b-d26a-47b5-8837-7d1d128ec0dd"
- (reference "R?") (unit 1)
+ (reference "R6") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
)
- (path "/4769ecbf-4e14-40d0-b2ab-75ccb89a06e3"
- (reference "R?") (unit 1)
+ (path "/c2bd4cb1-c982-42da-97fd-fa00a9fcbd3a"
+ (reference "R7") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
)
- (path "/540298f1-b724-4e12-9c85-b5bf1e7ee34d"
- (reference "R?") (unit 1)
+ (path "/c47d523e-a80c-48c2-a3c9-6a9c96e3ddc6"
+ (reference "R8") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
)
- (path "/5af07dd5-93d5-4d0d-8c36-b8c637bc0c46"
- (reference "R?") (unit 1)
+ (path "/37982514-5894-4cd0-9765-db1c306abdea"
+ (reference "R9") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
+ )
+ (path "/0992d604-7add-4da6-8dde-e1ab5f4abc55"
+ (reference "R10") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
+ )
+ (path "/2fdbe3f2-e81c-4bd2-87c5-4d679a28f536"
+ (reference "R11") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
+ )
+ (path "/7dfa485d-af98-4676-918c-0358f17a69c3"
+ (reference "R12") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
+ )
+ (path "/e963fe3a-f915-4f2f-820b-4d8b69315a46"
+ (reference "R13") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
+ )
+ (path "/cfb92d5f-0afd-49ea-bda6-11e9236c6cf4"
+ (reference "R14") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/5cf9b135-1ca8-48a6-8df3-29e6d5949c89"
- (reference "R?") (unit 1)
+ (reference "R15") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/5ebb563b-268f-4766-b12d-abdbe81a1ab6"
- (reference "R?") (unit 1)
+ (reference "R16") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric")
)
- (path "/61f19ebe-0ac5-4fe8-b7f0-91569091e23e"
- (reference "R?") (unit 1)
+ (path "/190d4939-3f06-44cd-9927-32a58f31384e"
+ (reference "R18") (unit 1) (value "68") (footprint "Resistor_SMD:R_0603_1608Metric")
)
- (path "/78d576d6-c374-45da-88c6-e23caa6e39dd"
- (reference "R?") (unit 1)
+ (path "/540298f1-b724-4e12-9c85-b5bf1e7ee34d"
+ (reference "R19") (unit 1) (value "68") (footprint "Resistor_SMD:R_0603_1608Metric")
)
- (path "/7dfa485d-af98-4676-918c-0358f17a69c3"
- (reference "R?") (unit 1)
+ (path "/e7d97573-481b-47f8-ac2a-d324f0a7cb95"
+ (reference "R20") (unit 1) (value "68") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/82321ef4-7274-4e07-9337-1f70657582c5"
- (reference "R?") (unit 1)
+ (reference "R21") (unit 1) (value "68") (footprint "Resistor_SMD:R_0603_1608Metric")
)
- (path "/abc05b74-9944-4505-a018-c9874a9bffec"
- (reference "R?") (unit 1)
+ (path "/022ba49e-05fc-4b00-baf0-d6f0bd12e5c7"
+ (reference "R23") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric")
)
- (path "/c2bd4cb1-c982-42da-97fd-fa00a9fcbd3a"
- (reference "R?") (unit 1)
+ (path "/6f847c9b-c54d-4385-ab17-477eb2ded7cd"
+ (reference "R24") (unit 1) (value "47k") (footprint "Resistor_SMD:R_0603_1608Metric")
)
- (path "/c47d523e-a80c-48c2-a3c9-6a9c96e3ddc6"
- (reference "R?") (unit 1)
+ (path "/16bcc19d-1b56-4cc0-a4c4-f5784c86b649"
+ (reference "R25") (unit 1) (value "47k") (footprint "Resistor_SMD:R_0603_1608Metric")
)
- (path "/cfb92d5f-0afd-49ea-bda6-11e9236c6cf4"
- (reference "R?") (unit 1)
- )
- (path "/e7d97573-481b-47f8-ac2a-d324f0a7cb95"
- (reference "R?") (unit 1)
+ (path "/3aa00b8f-6512-40e8-90fe-bdc7a27dbf04"
+ (reference "R26") (unit 1) (value "47k") (footprint "Resistor_SMD:R_0603_1608Metric")
)
- (path "/e963fe3a-f915-4f2f-820b-4d8b69315a46"
- (reference "R?") (unit 1)
+ (path "/f7457ffa-3037-4c91-9074-475112c957fa"
+ (reference "R27") (unit 1) (value "47k") (footprint "Resistor_SMD:R_0603_1608Metric")
)
(path "/2be0305d-ee6b-44f2-ace0-7841529807ad"
- (reference "U?") (unit 1)
+ (reference "U1") (unit 1) (value "STM32F030C8Tx") (footprint "Package_QFP:LQFP-48_7x7mm_P0.5mm")
)
(path "/81ec419a-76d6-436f-8163-cd7fcaf7798a"
- (reference "U?") (unit 1)
+ (reference "U2") (unit 1) (value "AIS1120SX") (footprint "Package_SO:SO-8_3.9x4.9mm_P1.27mm")
+ )
+ (path "/e7d762a7-ba4c-414a-9d3b-c4abc1225078"
+ (reference "U3") (unit 1) (value "ZLDO1117G33TA") (footprint "Package_TO_SOT_SMD:SOT-223-3_TabPin2")
+ )
+ (path "/7681b329-bc69-4164-9c62-8ab9f9e2334e"
+ (reference "U4") (unit 1) (value "AIS1120SX") (footprint "Package_SO:SO-8_3.9x4.9mm_P1.27mm")
+ )
+ (path "/7a0d0b5b-1bd2-4cc3-a5a0-d174fe6f3ae2"
+ (reference "U5") (unit 1) (value "AIS1120SX") (footprint "Package_SO:SO-8_3.9x4.9mm_P1.27mm")
+ )
+ (path "/c2f1c0d6-9808-4eec-89dd-89bf90ae8723"
+ (reference "U6") (unit 1) (value "AIS1120SX") (footprint "Package_SO:SO-8_3.9x4.9mm_P1.27mm")
+ )
+ (path "/7a26cb4e-c091-48af-a51d-337dfcc737dc"
+ (reference "Y1") (unit 1) (value "12MHz") (footprint "Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm")
+ )
+ (path "/a4328b08-f42c-47da-b256-259cad1e9e1e"
+ (reference "Y2") (unit 1) (value "32.768kHz") (footprint "Crystal:Crystal_SMD_3215-2Pin_3.2x1.5mm")
)
)
)