aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorjaseg <git-bigdata-wsl-arch@jaseg.de>2022-07-30 13:07:32 +0200
committerjaseg <git-bigdata-wsl-arch@jaseg.de>2022-07-30 13:07:32 +0200
commitebcb8ec5edb66d388915be77377f5cdcc3e58825 (patch)
tree96a3944c6000591a8e22e7c68750468ef3a671e9
parent7f18bf03b15448a26707505fd705991306757e57 (diff)
download8seg-ebcb8ec5edb66d388915be77377f5cdcc3e58825.tar.gz
8seg-ebcb8ec5edb66d388915be77377f5cdcc3e58825.tar.bz2
8seg-ebcb8ec5edb66d388915be77377f5cdcc3e58825.zip
Final single-board center board revision
-rw-r--r--.gitignore2
-rw-r--r--center/MCU_ST_STM32G0.kicad_sym44517
-rw-r--r--center/center.kicad_pcb7886
-rw-r--r--center/center.kicad_prl77
-rw-r--r--center/center.kicad_pro567
-rw-r--r--center/center.kicad_sch5149
-rw-r--r--center/fp-info-cache884
-rw-r--r--center/gerber/center-NPTH.drl4
-rw-r--r--center/gerber/center-PTH.drl37
-rw-r--r--center/sym-lib-table5
-rw-r--r--corner/corner.kicad_prl77
-rw-r--r--corner/corner.kicad_pro474
-rw-r--r--corner/corner.kicad_sch282
-rw-r--r--corner/fp-info-cache1
-rw-r--r--driver/driver.kicad_prl76
-rw-r--r--driver/driver.kicad_pro572
-rw-r--r--driver/driver.kicad_sch12186
-rw-r--r--driver/footprints.pretty/inductor_chonky_18x18mm.kicad_mod40
-rw-r--r--driver/footprints.pretty/inductor_tdk_spm6530.kicad_mod74
-rw-r--r--driver/footprints.pretty/milliohm_shunt_P10D2.5.kicad_mod38
-rw-r--r--driver/footprints.pretty/terminal_KF2EDGR.kicad_mod38
-rw-r--r--driver/footprints.pretty/terminal_chonky_JL5EDGRHC.kicad_mod48
-rw-r--r--driver/fp-lib-table3
-rw-r--r--driver/kicad_sucks.kicad_sym346
-rw-r--r--font_template.svg1422
25 files changed, 70629 insertions, 4176 deletions
diff --git a/.gitignore b/.gitignore
index 3265f04..12f2c56 100644
--- a/.gitignore
+++ b/.gitignore
@@ -3,3 +3,5 @@
# Pulseview session setup files
*.pvs
.ipynb_checkpoints
+*.gbr
+*.zip
diff --git a/center/MCU_ST_STM32G0.kicad_sym b/center/MCU_ST_STM32G0.kicad_sym
new file mode 100644
index 0000000..54b3881
--- /dev/null
+++ b/center/MCU_ST_STM32G0.kicad_sym
@@ -0,0 +1,44517 @@
+(kicad_symbol_lib
+ (version 20211218)
+ (generator kicad-library-utils)
+ (symbol "STM32G030C6Tx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G030C6Tx"
+ (id 1)
+ (at 0.0 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-48_7x7mm_P0.5mm"
+ (id 2)
+ (at -15.24 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g030c6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 2-3.6V, 43 GPIO, LQFP-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G030C6Tx_0_1"
+ (rectangle
+ (start -15.24 -43.18)
+ (end 12.7 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G030C6Tx_1_1"
+ (pin bidirectional line
+ (at -17.78 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin input line
+ (at -17.78 -12.7 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line))
+ (pin input line
+ (at -17.78 -10.16 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin input line
+ (at -17.78 40.64 0)
+ (length 2.54)
+ (name "NRST" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at -17.78 35.56 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G030C8Tx"
+ (extends "STM32G030C6Tx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G030C8Tx"
+ (id 1)
+ (at 0.0 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-48_7x7mm_P0.5mm"
+ (id 2)
+ (at -15.24 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g030c6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 2-3.6V, 43 GPIO, LQFP-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G030C6Tx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G030C6Tx"
+ (id 1)
+ (at 0.0 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-48_7x7mm_P0.5mm"
+ (id 2)
+ (at -15.24 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g030c8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 2-3.6V, 43 GPIO, LQFP-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G030C6Tx_0_1"
+ (rectangle
+ (start -15.24 -43.18)
+ (end 12.7 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G030C6Tx_1_1"
+ (pin bidirectional line
+ (at -17.78 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin input line
+ (at -17.78 -12.7 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line))
+ (pin input line
+ (at -17.78 -10.16 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin input line
+ (at -17.78 40.64 0)
+ (length 2.54)
+ (name "NRST" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at -17.78 35.56 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G030C8Tx"
+ (extends "STM32G030C6Tx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G030C8Tx"
+ (id 1)
+ (at 0.0 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-48_7x7mm_P0.5mm"
+ (id 2)
+ (at -15.24 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g030c8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 2-3.6V, 43 GPIO, LQFP-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G030F6Px"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G030F6Px"
+ (id 1)
+ (at 5.08 16.51 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g030f6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 2-3.6V, 26 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G030F6Px_0_1"
+ (rectangle
+ (start -20.32 -17.78)
+ (end 17.78 15.24)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G030F6Px_1_1"
+ (pin bidirectional line
+ (at -22.86 -15.24 0)
+ (length 2.54)
+ (name "PB7/PB8" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -12.7 0)
+ (length 2.54)
+ (name "PB3/PB4/PB5/PB6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -10.16 0)
+ (length 2.54)
+ (name "PB0/PB1/PB2/PA8" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -22.86 -2.54 0)
+ (length 2.54)
+ (name "PC14/PB9" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin input line
+ (at -22.86 12.7 0)
+ (length 2.54)
+ (name "NRST" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PA14/PA15" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 0.0 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at 2.54 17.78 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at 2.54 -20.32 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G030J6Mx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -25.4 8.89 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G030J6Mx"
+ (id 1)
+ (at 0.0 8.89 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -25.4 -7.62 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g030j6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 2-3.6V, 17 GPIO, SO-8N"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G030J6Mx_0_1"
+ (rectangle
+ (start -25.4 -7.62)
+ (end 25.4 7.62)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G030J6Mx_1_1"
+ (pin input line
+ (at -27.94 -5.08 0)
+ (length 2.54)
+ (name "PC14/PB7/PB8/PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin input line
+ (at -27.94 5.08 0)
+ (length 2.54)
+ (name "NRST/PA0/PA1/PA2" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at 27.94 -5.08 180)
+ (length 2.54)
+ (name "PB0/PB1/PA8/PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 0.0 180)
+ (length 2.54)
+ (name "PA14/PA15/PB5/PB6" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 2.54 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 5.08 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin power_in line
+ (at -2.54 10.16 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -10.16 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G030K6Tx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G030K6Tx"
+ (id 1)
+ (at -2.54 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-32_7x7mm_P0.8mm"
+ (id 2)
+ (at -12.7 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g030k6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 2-3.6V, 29 GPIO, LQFP-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*7x7mm*P0.8mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G030K6Tx_0_1"
+ (rectangle
+ (start -12.7 -22.86)
+ (end 10.16 20.32)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G030K6Tx_1_1"
+ (pin bidirectional line
+ (at -15.24 -20.32 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 2.54 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 12.7 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin input line
+ (at -15.24 17.78 0)
+ (length 2.54)
+ (name "NRST" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at 12.7 -20.32 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 12.7 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 15.24 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 17.78 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 22.86 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G030K8Tx"
+ (extends "STM32G030K6Tx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G030K8Tx"
+ (id 1)
+ (at -2.54 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-32_7x7mm_P0.8mm"
+ (id 2)
+ (at -12.7 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g030k6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 2-3.6V, 29 GPIO, LQFP-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*7x7mm*P0.8mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G030K6Tx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G030K6Tx"
+ (id 1)
+ (at -2.54 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-32_7x7mm_P0.8mm"
+ (id 2)
+ (at -12.7 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g030k8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 2-3.6V, 29 GPIO, LQFP-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*7x7mm*P0.8mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G030K6Tx_0_1"
+ (rectangle
+ (start -12.7 -22.86)
+ (end 10.16 20.32)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G030K6Tx_1_1"
+ (pin bidirectional line
+ (at -15.24 -20.32 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 2.54 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 12.7 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin input line
+ (at -15.24 17.78 0)
+ (length 2.54)
+ (name "NRST" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at 12.7 -20.32 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 12.7 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 15.24 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 17.78 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 22.86 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G030K8Tx"
+ (extends "STM32G030K6Tx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G030K8Tx"
+ (id 1)
+ (at -2.54 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-32_7x7mm_P0.8mm"
+ (id 2)
+ (at -12.7 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g030k8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 2-3.6V, 29 GPIO, LQFP-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*7x7mm*P0.8mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G070CBTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G070CBTx"
+ (id 1)
+ (at 0.0 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-48_7x7mm_P0.5mm"
+ (id 2)
+ (at -15.24 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g070cb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 2-3.6V, 43 GPIO, LQFP-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G070CBTx_0_1"
+ (rectangle
+ (start -15.24 -43.18)
+ (end 12.7 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G070CBTx_1_1"
+ (pin bidirectional line
+ (at -17.78 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin input line
+ (at -17.78 -12.7 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -17.78 -10.16 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin input line
+ (at -17.78 40.64 0)
+ (length 2.54)
+ (name "NRST" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at -17.78 35.56 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at 15.24 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G070KBTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G070KBTx"
+ (id 1)
+ (at -2.54 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-32_7x7mm_P0.8mm"
+ (id 2)
+ (at -12.7 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g070kb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 2-3.6V, 29 GPIO, LQFP-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*7x7mm*P0.8mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G070KBTx_0_1"
+ (rectangle
+ (start -12.7 -22.86)
+ (end 10.16 20.32)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G070KBTx_1_1"
+ (pin bidirectional line
+ (at -15.24 -20.32 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 2.54 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 12.7 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin input line
+ (at -15.24 17.78 0)
+ (length 2.54)
+ (name "NRST" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at 12.7 -20.32 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 12.7 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 15.24 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 17.78 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -5.08 22.86 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G070RBTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G070RBTx"
+ (id 1)
+ (at 0.0 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -15.24 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g070rb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 2-3.6V, 59 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G070RBTx_0_1"
+ (rectangle
+ (start -15.24 -43.18)
+ (end 12.7 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G070RBTx_1_1"
+ (pin bidirectional line
+ (at -17.78 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -33.02 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -30.48 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -27.94 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -25.4 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -22.86 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -20.32 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -17.78 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -15.24 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -12.7 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -10.16 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -7.62 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -5.08 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "TIM15_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -2.54 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at -17.78 2.54 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 5.08 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin input line
+ (at -17.78 27.94 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -17.78 30.48 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin input line
+ (at -17.78 40.64 0)
+ (length 2.54)
+ (name "NRST" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at -17.78 35.56 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at 15.24 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B0CETx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B0CETx"
+ (id 1)
+ (at 0.0 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-48_7x7mm_P0.5mm"
+ (id 2)
+ (at -15.24 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b0ce.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 2-3.6V, 44 GPIO, LQFP-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B0CETx_0_1"
+ (rectangle
+ (start -15.24 -43.18)
+ (end 12.7 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B0CETx_1_1"
+ (pin bidirectional line
+ (at -17.78 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -17.78 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -17.78 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at 15.24 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B0KETx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B0KETx"
+ (id 1)
+ (at -2.54 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b0ke.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 2-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B0KETx_0_1"
+ (rectangle
+ (start -12.7 -22.86)
+ (end 12.7 20.32)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B0KETx_1_1"
+ (pin bidirectional line
+ (at -15.24 -20.32 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 2.54 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 12.7 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 17.78 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 0.0 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -5.08 22.86 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B0RETx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B0RETx"
+ (id 1)
+ (at 0.0 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -15.24 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b0re.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 2-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B0RETx_0_1"
+ (rectangle
+ (start -15.24 -43.18)
+ (end 12.7 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B0RETx_1_1"
+ (pin bidirectional line
+ (at -17.78 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -33.02 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -30.48 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -27.94 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -25.4 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -22.86 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -20.32 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -17.78 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -15.24 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -12.7 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -10.16 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -7.62 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -5.08 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -2.54 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 2.54 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 5.08 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 27.94 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -17.78 30.48 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -17.78 33.02 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at 15.24 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B0VETx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 64.77 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B0VETx"
+ (id 1)
+ (at 2.54 64.77 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-100_14x14mm_P0.5mm"
+ (id 2)
+ (at -15.24 -66.04 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b0ve.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 2-3.6V, 94 GPIO, LQFP-100"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*14x14mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B0VETx_0_1"
+ (rectangle
+ (start -15.24 -66.04)
+ (end 15.24 63.5)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B0VETx_1_1"
+ (pin bidirectional line
+ (at -20.32 -63.5 0)
+ (length 5.08)
+ (name "PD15" (effects (font (size 1.27 1.27))))
+ (number "68" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -60.96 0)
+ (length 5.08)
+ (name "PD14" (effects (font (size 1.27 1.27))))
+ (number "67" (effects (font (size 1.27 1.27))))
+ (alternate "TIM4_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -58.42 0)
+ (length 5.08)
+ (name "PD13" (effects (font (size 1.27 1.27))))
+ (number "66" (effects (font (size 1.27 1.27))))
+ (alternate "TIM4_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -55.88 0)
+ (length 5.08)
+ (name "PD12" (effects (font (size 1.27 1.27))))
+ (number "65" (effects (font (size 1.27 1.27))))
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -53.34 0)
+ (length 5.08)
+ (name "PD11" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -50.8 0)
+ (length 5.08)
+ (name "PD10" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -48.26 0)
+ (length 5.08)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -45.72 0)
+ (length 5.08)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -43.18 0)
+ (length 5.08)
+ (name "PD7" (effects (font (size 1.27 1.27))))
+ (number "85" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO_2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 5.08)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "84" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 5.08)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "83" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 5.08)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "82" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 5.08)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "81" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 5.08)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "80" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -27.94 0)
+ (length 5.08)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "79" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 5.08)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "78" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 5.08)
+ (name "PE15" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 5.08)
+ (name "PE14" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 5.08)
+ (name "PE13" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 5.08)
+ (name "PE12" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 5.08)
+ (name "PE11" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 5.08)
+ (name "PE10" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 5.08)
+ (name "PE9" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -2.54 0)
+ (length 5.08)
+ (name "PE8" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 0.0 0)
+ (length 5.08)
+ (name "PE7" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 2.54 0)
+ (length 5.08)
+ (name "PE6" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_TAMP_IN3" bidirectional line)
+ (alternate "SYS_WKUP3" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 5.08 0)
+ (length 5.08)
+ (name "PE5" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 5.08)
+ (name "PE4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 5.08)
+ (name "PE3" (effects (font (size 1.27 1.27))))
+ (number "97" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 5.08)
+ (name "PE2" (effects (font (size 1.27 1.27))))
+ (number "96" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 5.08)
+ (name "PE1" (effects (font (size 1.27 1.27))))
+ (number "95" (effects (font (size 1.27 1.27))))
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 17.78 0)
+ (length 5.08)
+ (name "PE0" (effects (font (size 1.27 1.27))))
+ (number "94" (effects (font (size 1.27 1.27))))
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 22.86 0)
+ (length 5.08)
+ (name "PF13" (effects (font (size 1.27 1.27))))
+ (number "90" (effects (font (size 1.27 1.27))))
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 25.4 0)
+ (length 5.08)
+ (name "PF12" (effects (font (size 1.27 1.27))))
+ (number "89" (effects (font (size 1.27 1.27))))
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 27.94 0)
+ (length 5.08)
+ (name "PF11" (effects (font (size 1.27 1.27))))
+ (number "88" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 30.48 0)
+ (length 5.08)
+ (name "PF10" (effects (font (size 1.27 1.27))))
+ (number "87" (effects (font (size 1.27 1.27))))
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 33.02 0)
+ (length 5.08)
+ (name "PF9" (effects (font (size 1.27 1.27))))
+ (number "86" (effects (font (size 1.27 1.27))))
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 35.56 0)
+ (length 5.08)
+ (name "PF8" (effects (font (size 1.27 1.27))))
+ (number "72" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at -20.32 38.1 0)
+ (length 5.08)
+ (name "PF7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 5.08)
+ (name "PF6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at -20.32 43.18 0)
+ (length 5.08)
+ (name "PF5" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at -20.32 45.72 0)
+ (length 5.08)
+ (name "PF4" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at -20.32 48.26 0)
+ (length 5.08)
+ (name "PF3" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 50.8 0)
+ (length 5.08)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 53.34 0)
+ (length 5.08)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 55.88 0)
+ (length 5.08)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 60.96 0)
+ (length 5.08)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at 20.32 -63.5 180)
+ (length 5.08)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -60.96 180)
+ (length 5.08)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -58.42 180)
+ (length 5.08)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -55.88 180)
+ (length 5.08)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -53.34 180)
+ (length 5.08)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -50.8 180)
+ (length 5.08)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -48.26 180)
+ (length 5.08)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "77" (effects (font (size 1.27 1.27))))
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -45.72 180)
+ (length 5.08)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "76" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -43.18 180)
+ (length 5.08)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 5.08)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 5.08)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 5.08)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 5.08)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 5.08)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 5.08)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 5.08)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 5.08)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 5.08)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 5.08)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 5.08)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 5.08)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 5.08)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 5.08)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 5.08)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "100" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 0.0 180)
+ (length 5.08)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "99" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 5.08)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "98" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 5.08)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "93" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 5.08)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "92" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 5.08)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "91" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 5.08)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 5.08)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 5.08)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 5.08)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "75" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 5.08)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "74" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 5.08)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "73" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 5.08)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "71" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 5.08)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "70" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 5.08)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "69" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 5.08)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 5.08)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 43.18 180)
+ (length 5.08)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 45.72 180)
+ (length 5.08)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 48.26 180)
+ (length 5.08)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 50.8 180)
+ (length 5.08)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 53.34 180)
+ (length 5.08)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 55.88 180)
+ (length 5.08)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 58.42 180)
+ (length 5.08)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 60.96 180)
+ (length 5.08)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -5.08 68.58 270)
+ (length 5.08)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 68.58 270)
+ (length 5.08)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at 0.0 68.58 270)
+ (length 5.08)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -71.12 90)
+ (length 5.08)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -71.12 90)
+ (length 5.08)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031C4Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031C4Ux"
+ (id 1)
+ (at 0.0 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -15.24 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031c4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031C4Ux_0_1"
+ (rectangle
+ (start -15.24 -43.18)
+ (end 12.7 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031C4Ux_1_1"
+ (pin bidirectional line
+ (at -17.78 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -17.78 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line))
+ (pin input line
+ (at -17.78 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031C6Ux"
+ (extends "STM32G031C4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031C6Ux"
+ (id 1)
+ (at 0.0 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -15.24 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031c4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031C8Ux"
+ (extends "STM32G031C4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031C8Ux"
+ (id 1)
+ (at 0.0 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -15.24 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031c4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031C4Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031C4Ux"
+ (id 1)
+ (at 0.0 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -15.24 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031c6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031C4Ux_0_1"
+ (rectangle
+ (start -15.24 -43.18)
+ (end 12.7 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031C4Ux_1_1"
+ (pin bidirectional line
+ (at -17.78 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -17.78 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line))
+ (pin input line
+ (at -17.78 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031C6Ux"
+ (extends "STM32G031C4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031C6Ux"
+ (id 1)
+ (at 0.0 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -15.24 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031c6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031C8Ux"
+ (extends "STM32G031C4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031C8Ux"
+ (id 1)
+ (at 0.0 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -15.24 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031c6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031C4Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031C4Ux"
+ (id 1)
+ (at 0.0 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -15.24 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031c8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031C4Ux_0_1"
+ (rectangle
+ (start -15.24 -43.18)
+ (end 12.7 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031C4Ux_1_1"
+ (pin bidirectional line
+ (at -17.78 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -17.78 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line))
+ (pin input line
+ (at -17.78 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031C6Ux"
+ (extends "STM32G031C4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031C6Ux"
+ (id 1)
+ (at 0.0 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -15.24 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031c8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031C8Ux"
+ (extends "STM32G031C4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031C8Ux"
+ (id 1)
+ (at 0.0 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -15.24 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031c8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031F4Px"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031F4Px"
+ (id 1)
+ (at 5.08 16.51 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031f4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031F4Px_0_1"
+ (rectangle
+ (start -20.32 -17.78)
+ (end 17.78 15.24)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031F4Px_1_1"
+ (pin bidirectional line
+ (at -22.86 -15.24 0)
+ (length 2.54)
+ (name "PB7/PB8" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -12.7 0)
+ (length 2.54)
+ (name "PB3/PB4/PB5/PB6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -10.16 0)
+ (length 2.54)
+ (name "PB0/PB1/PB2/PA8" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -22.86 -2.54 0)
+ (length 2.54)
+ (name "PC14/PB9" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 2.54 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PA14/PA15" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 0.0 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at 2.54 17.78 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at 2.54 -20.32 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031F6Px"
+ (extends "STM32G031F4Px")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031F6Px"
+ (id 1)
+ (at 5.08 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031f4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031F8Px"
+ (extends "STM32G031F4Px")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031F8Px"
+ (id 1)
+ (at 5.08 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031f4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031F4Px"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031F4Px"
+ (id 1)
+ (at 5.08 16.51 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031f6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031F4Px_0_1"
+ (rectangle
+ (start -20.32 -17.78)
+ (end 17.78 15.24)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031F4Px_1_1"
+ (pin bidirectional line
+ (at -22.86 -15.24 0)
+ (length 2.54)
+ (name "PB7/PB8" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -12.7 0)
+ (length 2.54)
+ (name "PB3/PB4/PB5/PB6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -10.16 0)
+ (length 2.54)
+ (name "PB0/PB1/PB2/PA8" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -22.86 -2.54 0)
+ (length 2.54)
+ (name "PC14/PB9" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 2.54 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PA14/PA15" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 0.0 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at 2.54 17.78 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at 2.54 -20.32 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031F6Px"
+ (extends "STM32G031F4Px")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031F6Px"
+ (id 1)
+ (at 5.08 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031f6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031F8Px"
+ (extends "STM32G031F4Px")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031F8Px"
+ (id 1)
+ (at 5.08 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031f6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031F4Px"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031F4Px"
+ (id 1)
+ (at 5.08 16.51 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031f8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031F4Px_0_1"
+ (rectangle
+ (start -20.32 -17.78)
+ (end 17.78 15.24)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031F4Px_1_1"
+ (pin bidirectional line
+ (at -22.86 -15.24 0)
+ (length 2.54)
+ (name "PB7/PB8" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -12.7 0)
+ (length 2.54)
+ (name "PB3/PB4/PB5/PB6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -10.16 0)
+ (length 2.54)
+ (name "PB0/PB1/PB2/PA8" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -22.86 -2.54 0)
+ (length 2.54)
+ (name "PC14/PB9" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 2.54 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PA14/PA15" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 0.0 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at 2.54 17.78 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at 2.54 -20.32 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031F6Px"
+ (extends "STM32G031F4Px")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031F6Px"
+ (id 1)
+ (at 5.08 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031f8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031F8Px"
+ (extends "STM32G031F4Px")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031F8Px"
+ (id 1)
+ (at 5.08 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031f8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031G4Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031G4Ux"
+ (id 1)
+ (at -2.54 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031g4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031G4Ux_0_1"
+ (rectangle
+ (start -12.7 -20.32)
+ (end 10.16 17.78)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031G4Ux_1_1"
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 15.24 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 12.7 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 15.24 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 20.32 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -22.86 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031G6Ux"
+ (extends "STM32G031G4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031G6Ux"
+ (id 1)
+ (at -2.54 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031g4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031G8Ux"
+ (extends "STM32G031G4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031G8Ux"
+ (id 1)
+ (at -2.54 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031g4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031G4Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031G4Ux"
+ (id 1)
+ (at -2.54 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031g6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031G4Ux_0_1"
+ (rectangle
+ (start -12.7 -20.32)
+ (end 10.16 17.78)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031G4Ux_1_1"
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 15.24 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 12.7 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 15.24 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 20.32 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -22.86 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031G6Ux"
+ (extends "STM32G031G4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031G6Ux"
+ (id 1)
+ (at -2.54 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031g6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031G8Ux"
+ (extends "STM32G031G4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031G8Ux"
+ (id 1)
+ (at -2.54 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031g6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031G4Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031G4Ux"
+ (id 1)
+ (at -2.54 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031g8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031G4Ux_0_1"
+ (rectangle
+ (start -12.7 -20.32)
+ (end 10.16 17.78)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031G4Ux_1_1"
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 15.24 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 12.7 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 15.24 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 20.32 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -22.86 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031G6Ux"
+ (extends "STM32G031G4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031G6Ux"
+ (id 1)
+ (at -2.54 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031g8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031G8Ux"
+ (extends "STM32G031G4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031G8Ux"
+ (id 1)
+ (at -2.54 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031g8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031J4Mx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -25.4 8.89 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031J4Mx"
+ (id 1)
+ (at 0.0 8.89 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -25.4 -7.62 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031j4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 18 GPIO, SO-8N"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031J4Mx_0_1"
+ (rectangle
+ (start -25.4 -7.62)
+ (end 25.4 7.62)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031J4Mx_1_1"
+ (pin input line
+ (at -27.94 -5.08 0)
+ (length 2.54)
+ (name "PC14/PB7/PB8/PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -27.94 0.0 0)
+ (length 2.54)
+ (name "PF2/PA0/PA1/PA2" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 -5.08 180)
+ (length 2.54)
+ (name "PB0/PB1/PA8/PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 0.0 180)
+ (length 2.54)
+ (name "PA14/PA15/PB5/PB6" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 2.54 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 5.08 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin power_in line
+ (at -2.54 10.16 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -10.16 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031J6Mx"
+ (extends "STM32G031J4Mx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -25.4 8.89 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031J6Mx"
+ (id 1)
+ (at 0.0 8.89 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -25.4 -7.62 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031j4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 18 GPIO, SO-8N"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031J4Mx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -25.4 8.89 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031J4Mx"
+ (id 1)
+ (at 0.0 8.89 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -25.4 -7.62 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031j6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 18 GPIO, SO-8N"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031J4Mx_0_1"
+ (rectangle
+ (start -25.4 -7.62)
+ (end 25.4 7.62)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031J4Mx_1_1"
+ (pin input line
+ (at -27.94 -5.08 0)
+ (length 2.54)
+ (name "PC14/PB7/PB8/PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -27.94 0.0 0)
+ (length 2.54)
+ (name "PF2/PA0/PA1/PA2" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 -5.08 180)
+ (length 2.54)
+ (name "PB0/PB1/PA8/PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 0.0 180)
+ (length 2.54)
+ (name "PA14/PA15/PB5/PB6" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 2.54 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 5.08 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin power_in line
+ (at -2.54 10.16 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -10.16 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031J6Mx"
+ (extends "STM32G031J4Mx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -25.4 8.89 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031J6Mx"
+ (id 1)
+ (at 0.0 8.89 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -25.4 -7.62 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031j6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 18 GPIO, SO-8N"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031K4Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031K4Ux"
+ (id 1)
+ (at -2.54 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031k4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031K4Ux_0_1"
+ (rectangle
+ (start -12.7 -22.86)
+ (end 12.7 20.32)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031K4Ux_1_1"
+ (pin bidirectional line
+ (at -15.24 -20.32 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 2.54 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 12.7 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 17.78 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 0.0 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 22.86 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031K6Ux"
+ (extends "STM32G031K4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031K6Ux"
+ (id 1)
+ (at -2.54 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031k4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031K8Ux"
+ (extends "STM32G031K4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031K8Ux"
+ (id 1)
+ (at -2.54 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031k4.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031K4Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031K4Ux"
+ (id 1)
+ (at -2.54 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031k6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031K4Ux_0_1"
+ (rectangle
+ (start -12.7 -22.86)
+ (end 12.7 20.32)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031K4Ux_1_1"
+ (pin bidirectional line
+ (at -15.24 -20.32 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 2.54 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 12.7 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 17.78 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 0.0 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 22.86 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031K6Ux"
+ (extends "STM32G031K4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031K6Ux"
+ (id 1)
+ (at -2.54 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031k6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031K8Ux"
+ (extends "STM32G031K4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031K8Ux"
+ (id 1)
+ (at -2.54 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031k6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031K4Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031K4Ux"
+ (id 1)
+ (at -2.54 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031k8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 16KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031K4Ux_0_1"
+ (rectangle
+ (start -12.7 -22.86)
+ (end 12.7 20.32)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031K4Ux_1_1"
+ (pin bidirectional line
+ (at -15.24 -20.32 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 2.54 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 12.7 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 17.78 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 0.0 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 22.86 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G031K6Ux"
+ (extends "STM32G031K4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031K6Ux"
+ (id 1)
+ (at -2.54 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031k8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031K8Ux"
+ (extends "STM32G031K4Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031K8Ux"
+ (id 1)
+ (at -2.54 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031k8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G031Y8Yx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 13.97 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G031Y8Yx"
+ (id 1)
+ (at 5.08 13.97 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -20.32 -15.24 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g031y8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, WLCSP-18"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G031Y8Yx_0_1"
+ (rectangle
+ (start -20.32 -15.24)
+ (end 17.78 12.7)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G031Y8Yx_1_1"
+ (pin bidirectional line
+ (at -22.86 -12.7 0)
+ (length 2.54)
+ (name "PB7/PB8" (effects (font (size 1.27 1.27))))
+ (number "A5" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -10.16 0)
+ (length 2.54)
+ (name "PB3/PB4/PB5/PB6" (effects (font (size 1.27 1.27))))
+ (number "B4" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -7.62 0)
+ (length 2.54)
+ (name "PB0/PB1/PB2/PA8" (effects (font (size 1.27 1.27))))
+ (number "E1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -2.54 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "A7" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -22.86 0.0 0)
+ (length 2.54)
+ (name "PC14/PB9" (effects (font (size 1.27 1.27))))
+ (number "B6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 5.08 0)
+ (length 2.54)
+ (name "PF2/PA0" (effects (font (size 1.27 1.27))))
+ (number "E7" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PA14/PA15" (effects (font (size 1.27 1.27))))
+ (number "A3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "A1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "B2" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "C1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "D2" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "E3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "C3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA3/PA4" (effects (font (size 1.27 1.27))))
+ (number "D4" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "E5" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "C5" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin power_in line
+ (at 2.54 15.24 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "C7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at 2.54 -17.78 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "D6" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G041C6Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041C6Ux"
+ (id 1)
+ (at 0.0 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -15.24 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041c6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G041C6Ux_0_1"
+ (rectangle
+ (start -15.24 -43.18)
+ (end 12.7 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G041C6Ux_1_1"
+ (pin bidirectional line
+ (at -17.78 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -17.78 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line))
+ (pin input line
+ (at -17.78 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G041C8Ux"
+ (extends "STM32G041C6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041C8Ux"
+ (id 1)
+ (at 0.0 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -15.24 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041c6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G041C6Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041C6Ux"
+ (id 1)
+ (at 0.0 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -15.24 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041c8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G041C6Ux_0_1"
+ (rectangle
+ (start -15.24 -43.18)
+ (end 12.7 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G041C6Ux_1_1"
+ (pin bidirectional line
+ (at -17.78 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -17.78 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line))
+ (pin input line
+ (at -17.78 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -17.78 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G041C8Ux"
+ (extends "STM32G041C6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -15.24 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041C8Ux"
+ (id 1)
+ (at 0.0 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -15.24 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041c8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G041F6Px"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041F6Px"
+ (id 1)
+ (at 5.08 16.51 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041f6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G041F6Px_0_1"
+ (rectangle
+ (start -20.32 -17.78)
+ (end 17.78 15.24)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G041F6Px_1_1"
+ (pin bidirectional line
+ (at -22.86 -15.24 0)
+ (length 2.54)
+ (name "PB7/PB8" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -12.7 0)
+ (length 2.54)
+ (name "PB3/PB4/PB5/PB6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -10.16 0)
+ (length 2.54)
+ (name "PB0/PB1/PB2/PA8" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -22.86 -2.54 0)
+ (length 2.54)
+ (name "PC14/PB9" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 2.54 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PA14/PA15" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 0.0 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at 2.54 17.78 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at 2.54 -20.32 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G041F8Px"
+ (extends "STM32G041F6Px")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041F8Px"
+ (id 1)
+ (at 5.08 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041f6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G041F6Px"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041F6Px"
+ (id 1)
+ (at 5.08 16.51 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041f8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G041F6Px_0_1"
+ (rectangle
+ (start -20.32 -17.78)
+ (end 17.78 15.24)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G041F6Px_1_1"
+ (pin bidirectional line
+ (at -22.86 -15.24 0)
+ (length 2.54)
+ (name "PB7/PB8" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -12.7 0)
+ (length 2.54)
+ (name "PB3/PB4/PB5/PB6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -10.16 0)
+ (length 2.54)
+ (name "PB0/PB1/PB2/PA8" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -22.86 -2.54 0)
+ (length 2.54)
+ (name "PC14/PB9" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 2.54 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PA14/PA15" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 0.0 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at 2.54 17.78 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at 2.54 -20.32 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G041F8Px"
+ (extends "STM32G041F6Px")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041F8Px"
+ (id 1)
+ (at 5.08 16.51 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"
+ (id 2)
+ (at -20.32 -17.78 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041f8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, TSSOP-20"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G041G6Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041G6Ux"
+ (id 1)
+ (at -2.54 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041g6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G041G6Ux_0_1"
+ (rectangle
+ (start -12.7 -20.32)
+ (end 10.16 17.78)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G041G6Ux_1_1"
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 15.24 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 12.7 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 15.24 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 20.32 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -22.86 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G041G8Ux"
+ (extends "STM32G041G6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041G8Ux"
+ (id 1)
+ (at -2.54 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041g6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G041G6Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041G6Ux"
+ (id 1)
+ (at -2.54 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041g8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G041G6Ux_0_1"
+ (rectangle
+ (start -12.7 -20.32)
+ (end 10.16 17.78)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G041G6Ux_1_1"
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 15.24 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 12.7 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 15.24 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 20.32 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -22.86 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G041G8Ux"
+ (extends "STM32G041G6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041G8Ux"
+ (id 1)
+ (at -2.54 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041g8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G041J6Mx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -25.4 8.89 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041J6Mx"
+ (id 1)
+ (at 0.0 8.89 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -25.4 -7.62 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041j6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 18 GPIO, SO-8N"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G041J6Mx_0_1"
+ (rectangle
+ (start -25.4 -7.62)
+ (end 25.4 7.62)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G041J6Mx_1_1"
+ (pin input line
+ (at -27.94 -5.08 0)
+ (length 2.54)
+ (name "PC14/PB7/PB8/PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -27.94 0.0 0)
+ (length 2.54)
+ (name "PF2/PA0/PA1/PA2" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 -5.08 180)
+ (length 2.54)
+ (name "PB0/PB1/PA8/PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 0.0 180)
+ (length 2.54)
+ (name "PA14/PA15/PB5/PB6" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 2.54 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 27.94 5.08 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin power_in line
+ (at -2.54 10.16 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -10.16 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G041K6Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041K6Ux"
+ (id 1)
+ (at -2.54 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041k6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G041K6Ux_0_1"
+ (rectangle
+ (start -12.7 -22.86)
+ (end 12.7 20.32)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G041K6Ux_1_1"
+ (pin bidirectional line
+ (at -15.24 -20.32 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 2.54 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 12.7 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 17.78 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 0.0 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 22.86 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G041K8Ux"
+ (extends "STM32G041K6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041K8Ux"
+ (id 1)
+ (at -2.54 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041k6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G041K6Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041K6Ux"
+ (id 1)
+ (at -2.54 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041k8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G041K6Ux_0_1"
+ (rectangle
+ (start -12.7 -22.86)
+ (end 12.7 20.32)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G041K6Ux_1_1"
+ (pin bidirectional line
+ (at -15.24 -20.32 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 2.54 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 12.7 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 17.78 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -20.32 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -17.78 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -15.24 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -12.7 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -10.16 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -7.62 180)
+ (length 2.54)
+ (name "PA10/NC" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -5.08 180)
+ (length 2.54)
+ (name "PA9/NC" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 -2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 0.0 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 5.08 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 7.62 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 10.16 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 12.7 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 15.24 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 15.24 17.78 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin power_in line
+ (at -5.08 22.86 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -2.54 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G041K8Ux"
+ (extends "STM32G041K6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041K8Ux"
+ (id 1)
+ (at -2.54 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -12.7 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041k8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G041Y8Yx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 13.97 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G041Y8Yx"
+ (id 1)
+ (at 5.08 13.97 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -20.32 -15.24 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g041y8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 8KB RAM, 64MHz, 1.7-3.6V, 27 GPIO, WLCSP-18"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G041Y8Yx_0_1"
+ (rectangle
+ (start -20.32 -15.24)
+ (end 17.78 12.7)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G041Y8Yx_1_1"
+ (pin bidirectional line
+ (at -22.86 -12.7 0)
+ (length 2.54)
+ (name "PB7/PB8" (effects (font (size 1.27 1.27))))
+ (number "A5" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -10.16 0)
+ (length 2.54)
+ (name "PB3/PB4/PB5/PB6" (effects (font (size 1.27 1.27))))
+ (number "B4" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -7.62 0)
+ (length 2.54)
+ (name "PB0/PB1/PB2/PA8" (effects (font (size 1.27 1.27))))
+ (number "E1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -2.54 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "A7" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line))
+ (pin input line
+ (at -22.86 0.0 0)
+ (length 2.54)
+ (name "PC14/PB9" (effects (font (size 1.27 1.27))))
+ (number "B6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 5.08 0)
+ (length 2.54)
+ (name "PF2/PA0" (effects (font (size 1.27 1.27))))
+ (number "E7" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PA14/PA15" (effects (font (size 1.27 1.27))))
+ (number "A3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "A1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "B2" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "C1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "D2" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "E3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "C3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA3/PA4" (effects (font (size 1.27 1.27))))
+ (number "D4" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "E5" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "C5" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin power_in line
+ (at 2.54 15.24 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "C7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at 2.54 -17.78 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "D6" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G071C6Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071C6Ux"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071c6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G071C6Ux_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G071C6Ux_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G071C8Ux"
+ (extends "STM32G071C6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071C8Ux"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071c6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071CBUx"
+ (extends "STM32G071C6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071CBUx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071c6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071C6Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071C6Ux"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071c8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G071C6Ux_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G071C6Ux_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G071C8Ux"
+ (extends "STM32G071C6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071C8Ux"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071c8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071CBUx"
+ (extends "STM32G071C6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071CBUx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071c8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071C6Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071C6Ux"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071cb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G071C6Ux_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G071C6Ux_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G071C8Ux"
+ (extends "STM32G071C6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071C8Ux"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071cb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071CBUx"
+ (extends "STM32G071C6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071CBUx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071cb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071EBYx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071EBYx"
+ (id 1)
+ (at -2.54 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -12.7 -20.32 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071eb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 23 GPIO, WLCSP-25"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G071EBYx_0_1"
+ (rectangle
+ (start -12.7 -20.32)
+ (end 10.16 17.78)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G071EBYx_1_1"
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "B4" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "A4" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "B3" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "A3" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "E1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "E2" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "B5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -15.24 2.54 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "A5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "E5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "A1" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "A2" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "B2" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "B1" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "C1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "D1" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "D2" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "C2" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "E3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "D3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "C3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "E4" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 12.7 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "D4" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 15.24 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "C4" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -5.08 20.32 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "C5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -22.86 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "D5" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G071G6Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071G6Ux"
+ (id 1)
+ (at -2.54 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071g6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G071G6Ux_0_1"
+ (rectangle
+ (start -12.7 -20.32)
+ (end 10.16 17.78)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G071G6Ux_1_1"
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 15.24 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 12.7 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 15.24 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -5.08 20.32 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -22.86 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G071G8Ux"
+ (extends "STM32G071G6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071G8Ux"
+ (id 1)
+ (at -2.54 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071g6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071GBUx"
+ (extends "STM32G071G6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071GBUx"
+ (id 1)
+ (at -2.54 19.05 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -20.32 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071g6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071G8UxN"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071G8UxN"
+ (id 1)
+ (at -2.54 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071g8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G071G8UxN_0_1"
+ (rectangle
+ (start -12.7 -22.86)
+ (end 10.16 20.32)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G071G8UxN_1_1"
+ (pin bidirectional line
+ (at -15.24 -20.32 0)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 5.08 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 12.7 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 17.78 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -20.32 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -5.08 22.86 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G071GBUxN"
+ (extends "STM32G071G8UxN")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071GBUxN"
+ (id 1)
+ (at -2.54 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071g8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071G8UxN"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071G8UxN"
+ (id 1)
+ (at -2.54 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071gb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G071G8UxN_0_1"
+ (rectangle
+ (start -12.7 -22.86)
+ (end 10.16 20.32)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G071G8UxN_1_1"
+ (pin bidirectional line
+ (at -15.24 -20.32 0)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 5.08 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 12.7 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 17.78 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -20.32 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -5.08 22.86 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G071GBUxN"
+ (extends "STM32G071G8UxN")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071GBUxN"
+ (id 1)
+ (at -2.54 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071gb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071K6Ux"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071K6Ux"
+ (id 1)
+ (at -7.62 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071k6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G071K6Ux_0_1"
+ (rectangle
+ (start -17.78 -22.86)
+ (end 15.24 20.32)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G071K6Ux_1_1"
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -2.54 0)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 0.0 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 17.78 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -20.32 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -17.78 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -15.24 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -12.7 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -10.16 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -7.62 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -5.08 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 0.0 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 5.08 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 7.62 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 10.16 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 12.7 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 15.24 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 17.78 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 22.86 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G071K8Ux"
+ (extends "STM32G071K6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071K8Ux"
+ (id 1)
+ (at -7.62 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071k6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071KBUx"
+ (extends "STM32G071K6Ux")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071KBUx"
+ (id 1)
+ (at -7.62 21.59 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071k6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071K8UxN"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071K8UxN"
+ (id 1)
+ (at -7.62 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -25.4 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071k8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G071K8UxN_0_1"
+ (rectangle
+ (start -17.78 -25.4)
+ (end 15.24 22.86)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G071K8UxN_1_1"
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -2.54 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -20.32 0.0 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -22.86 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -20.32 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -17.78 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -15.24 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -12.7 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -10.16 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -7.62 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -5.08 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 0.0 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 2.54 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 5.08 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 7.62 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 10.16 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 12.7 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 25.4 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -27.94 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -27.94 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G071KBUxN"
+ (extends "STM32G071K8UxN")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 24.13 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071KBUxN"
+ (id 1)
+ (at -7.62 24.13 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -25.4 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071k8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071K8UxN"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071K8UxN"
+ (id 1)
+ (at -7.62 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -25.4 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071kb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G071K8UxN_0_1"
+ (rectangle
+ (start -17.78 -25.4)
+ (end 15.24 22.86)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G071K8UxN_1_1"
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -2.54 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -20.32 0.0 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -22.86 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -20.32 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -17.78 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -15.24 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -12.7 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -10.16 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -7.62 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -5.08 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 0.0 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 2.54 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 5.08 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 7.62 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 10.16 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 12.7 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 25.4 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -27.94 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -27.94 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G071KBUxN"
+ (extends "STM32G071K8UxN")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 24.13 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071KBUxN"
+ (id 1)
+ (at -7.62 24.13 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -25.4 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071kb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071R6Tx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071R6Tx"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071r6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G071R6Tx_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G071R6Tx_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -27.94 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -2.54 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 5.08 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 27.94 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 30.48 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 33.02 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G071R8Tx"
+ (extends "STM32G071R6Tx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071R8Tx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071r6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071RBTx"
+ (extends "STM32G071R6Tx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071RBTx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071r6.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071R6Tx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071R6Tx"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071r8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G071R6Tx_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G071R6Tx_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -27.94 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -2.54 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 5.08 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 27.94 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 30.48 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 33.02 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G071R8Tx"
+ (extends "STM32G071R6Tx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071R8Tx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071r8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 64KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071RBTx"
+ (extends "STM32G071R6Tx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071RBTx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071r8.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G071RBIx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G071RBIx"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_BGA:UFBGA-64_5x5mm_Layout8x8_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g071rb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, UFBGA-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "UFBGA*5x5mm*Layout8x8*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G071RBIx_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G071RBIx_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "B1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "C1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "C2" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "B2" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "A1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -27.94 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "A2" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "B7" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "A8" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "E5" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "E7" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "H6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "H5" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "H1" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "G2" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "F2" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -2.54 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "E3" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "D8" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 5.08 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "E8" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "A5" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "B5" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "C5" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "D5" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "A6" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "B6" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "A7" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 27.94 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "E2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 30.48 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "G1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 33.02 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "F1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "D2" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "F7" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "F6" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "G8" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "G7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "H8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "G6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "C3" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "B3" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "A3" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "A4" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "D4" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "C4" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "B4" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "H7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "G5" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "F5" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "C6" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "C7" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "D7" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "B8" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "C8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "D6" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "E6" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "F8" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "E4" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "F4" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "G4" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "H4" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "F3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "G3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "H3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "H2" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "D3" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "D1" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "E1" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G081CBUx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G081CBUx"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g081cb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G081CBUx_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G081CBUx_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G081EBYx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G081EBYx"
+ (id 1)
+ (at -2.54 19.05 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -12.7 -20.32 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g081eb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 23 GPIO, WLCSP-25"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G081EBYx_0_1"
+ (rectangle
+ (start -12.7 -20.32)
+ (end 10.16 17.78)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G081EBYx_1_1"
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "B4" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "A4" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "B3" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "A3" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -7.62 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "E1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "E2" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "B5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -15.24 2.54 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "A5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "E5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "A1" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "A2" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "B2" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "B1" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "C1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "D1" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "D2" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "C2" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "E3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "D3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "C3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "E4" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 12.7 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "D4" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 15.24 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "C4" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -5.08 20.32 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "C5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -22.86 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "D5" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G081GBUxN"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -12.7 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G081GBUxN"
+ (id 1)
+ (at -2.54 21.59 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-28_4x4mm_P0.5mm"
+ (id 2)
+ (at -12.7 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g081gb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 26 GPIO, UFQFPN-28"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G081GBUxN_0_1"
+ (rectangle
+ (start -12.7 -22.86)
+ (end 10.16 20.32)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G081GBUxN_1_1"
+ (pin bidirectional line
+ (at -15.24 -20.32 0)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -10.16 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 -5.08 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -15.24 -2.54 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 0.0 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 5.08 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 7.62 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 10.16 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 12.7 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -15.24 17.78 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -20.32 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -17.78 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -15.24 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -10.16 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -7.62 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -5.08 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 -2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 0.0 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 2.54 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 5.08 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 7.62 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 12.7 10.16 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -5.08 22.86 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G081KBUxN"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G081KBUxN"
+ (id 1)
+ (at -7.62 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -25.4 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g081kb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 30 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G081KBUxN_0_1"
+ (rectangle
+ (start -17.78 -25.4)
+ (end 15.24 22.86)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G081KBUxN_1_1"
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -2.54 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -20.32 0.0 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -22.86 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -20.32 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -17.78 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -15.24 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -12.7 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -10.16 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -7.62 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -5.08 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -2.54 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 0.0 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 2.54 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 5.08 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 7.62 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 10.16 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 12.7 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 25.4 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -27.94 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -27.94 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G081RBTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G081RBTx"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g081rb.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 128KB flash, 36KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G081RBTx_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G081RBTx_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -27.94 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -2.54 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 5.08 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 27.94 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 30.48 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 33.02 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B1CCUx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1CCUx"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1cc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B1CCUx_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B1CCUx_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B1CEUx"
+ (extends "STM32G0B1CCUx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1CEUx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1cc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0B1CCUx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1CCUx"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1ce.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B1CCUx_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B1CCUx_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B1CEUx"
+ (extends "STM32G0B1CCUx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1CEUx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1ce.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0B1KCUxN"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1KCUxN"
+ (id 1)
+ (at -5.08 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1kc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 29 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B1KCUxN_0_1"
+ (rectangle
+ (start -17.78 -22.86)
+ (end 15.24 22.86)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B1KCUxN_1_1"
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 0.0 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -20.32 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -17.78 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -15.24 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -10.16 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -7.62 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -5.08 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 5.08 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 7.62 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 10.16 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 12.7 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 15.24 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 25.4 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 25.4 270)
+ (length 2.54)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B1KEUxN"
+ (extends "STM32G0B1KCUxN")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 24.13 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1KEUxN"
+ (id 1)
+ (at -5.08 24.13 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1kc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 29 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0B1KCUxN"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1KCUxN"
+ (id 1)
+ (at -5.08 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1ke.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 29 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B1KCUxN_0_1"
+ (rectangle
+ (start -17.78 -22.86)
+ (end 15.24 22.86)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B1KCUxN_1_1"
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 0.0 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -20.32 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -17.78 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -15.24 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -10.16 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -7.62 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -5.08 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 5.08 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 7.62 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 10.16 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 12.7 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 15.24 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 25.4 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 25.4 270)
+ (length 2.54)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B1KEUxN"
+ (extends "STM32G0B1KCUxN")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 24.13 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1KEUxN"
+ (id 1)
+ (at -5.08 24.13 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1ke.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 29 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0B1MCTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 62.23 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1MCTx"
+ (id 1)
+ (at -2.54 62.23 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -20.32 -60.96 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1mc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 74 GPIO, LQFP-80"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B1MCTx_0_1"
+ (rectangle
+ (start -20.32 -60.96)
+ (end 17.78 60.96)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B1MCTx_1_1"
+ (pin bidirectional line
+ (at -22.86 -58.42 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -55.88 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -53.34 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -50.8 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -48.26 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -45.72 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -43.18 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -40.64 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -38.1 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -35.56 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -33.02 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -30.48 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -27.94 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -25.4 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -22.86 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -20.32 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -15.24 0)
+ (length 2.54)
+ (name "PD15" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -12.7 0)
+ (length 2.54)
+ (name "PD14" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -10.16 0)
+ (length 2.54)
+ (name "PD13" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -7.62 0)
+ (length 2.54)
+ (name "PD12" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -5.08 0)
+ (length 2.54)
+ (name "PD11" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -2.54 0)
+ (length 2.54)
+ (name "PD10" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 0.0 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 2.54 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 5.08 0)
+ (length 2.54)
+ (name "PD7" (effects (font (size 1.27 1.27))))
+ (number "71" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO_2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "70" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "69" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "68" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "67" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "66" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "65" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 27.94 0)
+ (length 2.54)
+ (name "PE10" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 30.48 0)
+ (length 2.54)
+ (name "PE9" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 33.02 0)
+ (length 2.54)
+ (name "PE8" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 35.56 0)
+ (length 2.54)
+ (name "PE7" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 38.1 0)
+ (length 2.54)
+ (name "PE3" (effects (font (size 1.27 1.27))))
+ (number "77" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 40.64 0)
+ (length 2.54)
+ (name "PE1" (effects (font (size 1.27 1.27))))
+ (number "76" (effects (font (size 1.27 1.27))))
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 43.18 0)
+ (length 2.54)
+ (name "PE0" (effects (font (size 1.27 1.27))))
+ (number "75" (effects (font (size 1.27 1.27))))
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 48.26 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -22.86 50.8 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -22.86 53.34 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 58.42 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -58.42 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -55.88 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -53.34 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -50.8 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -48.26 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -45.72 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -43.18 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "80" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "79" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "78" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "74" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "73" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "72" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 0.0 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 63.5 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 63.5 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 63.5 270)
+ (length 2.54)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -63.5 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -63.5 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B1METx"
+ (extends "STM32G0B1MCTx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 62.23 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1METx"
+ (id 1)
+ (at -2.54 62.23 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -20.32 -60.96 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1mc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 74 GPIO, LQFP-80"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0B1MCTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 62.23 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1MCTx"
+ (id 1)
+ (at -2.54 62.23 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -20.32 -60.96 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1me.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 74 GPIO, LQFP-80"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B1MCTx_0_1"
+ (rectangle
+ (start -20.32 -60.96)
+ (end 17.78 60.96)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B1MCTx_1_1"
+ (pin bidirectional line
+ (at -22.86 -58.42 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -55.88 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -53.34 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -50.8 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -48.26 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -45.72 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -43.18 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -40.64 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -38.1 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -35.56 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -33.02 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -30.48 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -27.94 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -25.4 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -22.86 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -20.32 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -15.24 0)
+ (length 2.54)
+ (name "PD15" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -12.7 0)
+ (length 2.54)
+ (name "PD14" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -10.16 0)
+ (length 2.54)
+ (name "PD13" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -7.62 0)
+ (length 2.54)
+ (name "PD12" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -5.08 0)
+ (length 2.54)
+ (name "PD11" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -2.54 0)
+ (length 2.54)
+ (name "PD10" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 0.0 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 2.54 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 5.08 0)
+ (length 2.54)
+ (name "PD7" (effects (font (size 1.27 1.27))))
+ (number "71" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO_2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "70" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "69" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "68" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "67" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "66" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "65" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 27.94 0)
+ (length 2.54)
+ (name "PE10" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 30.48 0)
+ (length 2.54)
+ (name "PE9" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 33.02 0)
+ (length 2.54)
+ (name "PE8" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 35.56 0)
+ (length 2.54)
+ (name "PE7" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 38.1 0)
+ (length 2.54)
+ (name "PE3" (effects (font (size 1.27 1.27))))
+ (number "77" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 40.64 0)
+ (length 2.54)
+ (name "PE1" (effects (font (size 1.27 1.27))))
+ (number "76" (effects (font (size 1.27 1.27))))
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 43.18 0)
+ (length 2.54)
+ (name "PE0" (effects (font (size 1.27 1.27))))
+ (number "75" (effects (font (size 1.27 1.27))))
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 48.26 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -22.86 50.8 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -22.86 53.34 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 58.42 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -58.42 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -55.88 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -53.34 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -50.8 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -48.26 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -45.72 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -43.18 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "80" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "79" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "78" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "74" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "73" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "72" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 0.0 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 63.5 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 63.5 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 63.5 270)
+ (length 2.54)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -63.5 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -63.5 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B1METx"
+ (extends "STM32G0B1MCTx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 62.23 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1METx"
+ (id 1)
+ (at -2.54 62.23 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -20.32 -60.96 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1me.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 74 GPIO, LQFP-80"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0B1RCTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1RCTx"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1rc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B1RCTx_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B1RCTx_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -27.94 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -2.54 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 5.08 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 27.94 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 30.48 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 33.02 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B1RETx"
+ (extends "STM32G0B1RCTx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1RETx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1rc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0B1RCTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1RCTx"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1re.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B1RCTx_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B1RCTx_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -27.94 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -2.54 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 5.08 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 27.94 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 30.48 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 33.02 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B1RETx"
+ (extends "STM32G0B1RCTx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1RETx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1re.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0B1VCTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 64.77 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1VCTx"
+ (id 1)
+ (at -2.54 64.77 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-100_14x14mm_P0.5mm"
+ (id 2)
+ (at -20.32 -66.04 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1vc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 94 GPIO, LQFP-100"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*14x14mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B1VCTx_0_1"
+ (rectangle
+ (start -20.32 -66.04)
+ (end 17.78 63.5)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B1VCTx_1_1"
+ (pin bidirectional line
+ (at -25.4 -63.5 0)
+ (length 5.08)
+ (name "PD15" (effects (font (size 1.27 1.27))))
+ (number "68" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -60.96 0)
+ (length 5.08)
+ (name "PD14" (effects (font (size 1.27 1.27))))
+ (number "67" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -58.42 0)
+ (length 5.08)
+ (name "PD13" (effects (font (size 1.27 1.27))))
+ (number "66" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -55.88 0)
+ (length 5.08)
+ (name "PD12" (effects (font (size 1.27 1.27))))
+ (number "65" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -53.34 0)
+ (length 5.08)
+ (name "PD11" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -50.8 0)
+ (length 5.08)
+ (name "PD10" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -48.26 0)
+ (length 5.08)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -45.72 0)
+ (length 5.08)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -43.18 0)
+ (length 5.08)
+ (name "PD7" (effects (font (size 1.27 1.27))))
+ (number "85" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO_2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -40.64 0)
+ (length 5.08)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "84" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -38.1 0)
+ (length 5.08)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "83" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -35.56 0)
+ (length 5.08)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "82" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -33.02 0)
+ (length 5.08)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "81" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -30.48 0)
+ (length 5.08)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "80" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -27.94 0)
+ (length 5.08)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "79" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -25.4 0)
+ (length 5.08)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "78" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -20.32 0)
+ (length 5.08)
+ (name "PE15" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -17.78 0)
+ (length 5.08)
+ (name "PE14" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -15.24 0)
+ (length 5.08)
+ (name "PE13" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -12.7 0)
+ (length 5.08)
+ (name "PE12" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -10.16 0)
+ (length 5.08)
+ (name "PE11" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -7.62 0)
+ (length 5.08)
+ (name "PE10" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -5.08 0)
+ (length 5.08)
+ (name "PE9" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -2.54 0)
+ (length 5.08)
+ (name "PE8" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 0.0 0)
+ (length 5.08)
+ (name "PE7" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 2.54 0)
+ (length 5.08)
+ (name "PE6" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_TAMP_IN3" bidirectional line)
+ (alternate "SYS_WKUP3" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 5.08 0)
+ (length 5.08)
+ (name "PE5" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 7.62 0)
+ (length 5.08)
+ (name "PE4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 10.16 0)
+ (length 5.08)
+ (name "PE3" (effects (font (size 1.27 1.27))))
+ (number "97" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 12.7 0)
+ (length 5.08)
+ (name "PE2" (effects (font (size 1.27 1.27))))
+ (number "96" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 15.24 0)
+ (length 5.08)
+ (name "PE1" (effects (font (size 1.27 1.27))))
+ (number "95" (effects (font (size 1.27 1.27))))
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 17.78 0)
+ (length 5.08)
+ (name "PE0" (effects (font (size 1.27 1.27))))
+ (number "94" (effects (font (size 1.27 1.27))))
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 22.86 0)
+ (length 5.08)
+ (name "PF13" (effects (font (size 1.27 1.27))))
+ (number "90" (effects (font (size 1.27 1.27))))
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 25.4 0)
+ (length 5.08)
+ (name "PF12" (effects (font (size 1.27 1.27))))
+ (number "89" (effects (font (size 1.27 1.27))))
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 27.94 0)
+ (length 5.08)
+ (name "PF11" (effects (font (size 1.27 1.27))))
+ (number "88" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 30.48 0)
+ (length 5.08)
+ (name "PF10" (effects (font (size 1.27 1.27))))
+ (number "87" (effects (font (size 1.27 1.27))))
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 33.02 0)
+ (length 5.08)
+ (name "PF9" (effects (font (size 1.27 1.27))))
+ (number "86" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 35.56 0)
+ (length 5.08)
+ (name "PF8" (effects (font (size 1.27 1.27))))
+ (number "72" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at -25.4 38.1 0)
+ (length 5.08)
+ (name "PF7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 40.64 0)
+ (length 5.08)
+ (name "PF6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 43.18 0)
+ (length 5.08)
+ (name "PF5" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 45.72 0)
+ (length 5.08)
+ (name "PF4" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 48.26 0)
+ (length 5.08)
+ (name "PF3" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 50.8 0)
+ (length 5.08)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -25.4 53.34 0)
+ (length 5.08)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -25.4 55.88 0)
+ (length 5.08)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 60.96 0)
+ (length 5.08)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -63.5 180)
+ (length 5.08)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -60.96 180)
+ (length 5.08)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -58.42 180)
+ (length 5.08)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -55.88 180)
+ (length 5.08)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -53.34 180)
+ (length 5.08)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -50.8 180)
+ (length 5.08)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -48.26 180)
+ (length 5.08)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "77" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -45.72 180)
+ (length 5.08)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "76" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -43.18 180)
+ (length 5.08)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -40.64 180)
+ (length 5.08)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -38.1 180)
+ (length 5.08)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -35.56 180)
+ (length 5.08)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -33.02 180)
+ (length 5.08)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -30.48 180)
+ (length 5.08)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -27.94 180)
+ (length 5.08)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -25.4 180)
+ (length 5.08)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -20.32 180)
+ (length 5.08)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -17.78 180)
+ (length 5.08)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -15.24 180)
+ (length 5.08)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -12.7 180)
+ (length 5.08)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -10.16 180)
+ (length 5.08)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -7.62 180)
+ (length 5.08)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -5.08 180)
+ (length 5.08)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -2.54 180)
+ (length 5.08)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "100" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 0.0 180)
+ (length 5.08)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "99" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 2.54 180)
+ (length 5.08)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "98" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 5.08 180)
+ (length 5.08)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "93" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 7.62 180)
+ (length 5.08)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "92" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 10.16 180)
+ (length 5.08)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "91" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 12.7 180)
+ (length 5.08)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 15.24 180)
+ (length 5.08)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 17.78 180)
+ (length 5.08)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 22.86 180)
+ (length 5.08)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "75" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 25.4 180)
+ (length 5.08)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "74" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 27.94 180)
+ (length 5.08)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "73" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 30.48 180)
+ (length 5.08)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "71" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 33.02 180)
+ (length 5.08)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "70" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 35.56 180)
+ (length 5.08)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "69" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 38.1 180)
+ (length 5.08)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 40.64 180)
+ (length 5.08)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 43.18 180)
+ (length 5.08)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 45.72 180)
+ (length 5.08)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 48.26 180)
+ (length 5.08)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 50.8 180)
+ (length 5.08)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 53.34 180)
+ (length 5.08)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 55.88 180)
+ (length 5.08)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 58.42 180)
+ (length 5.08)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 60.96 180)
+ (length 5.08)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 68.58 270)
+ (length 5.08)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 68.58 270)
+ (length 5.08)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 68.58 270)
+ (length 5.08)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -71.12 90)
+ (length 5.08)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -71.12 90)
+ (length 5.08)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B1VETx"
+ (extends "STM32G0B1VCTx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 64.77 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1VETx"
+ (id 1)
+ (at -2.54 64.77 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-100_14x14mm_P0.5mm"
+ (id 2)
+ (at -20.32 -66.04 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1vc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 94 GPIO, LQFP-100"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*14x14mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0B1VCTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 64.77 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1VCTx"
+ (id 1)
+ (at -2.54 64.77 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-100_14x14mm_P0.5mm"
+ (id 2)
+ (at -20.32 -66.04 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1ve.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 94 GPIO, LQFP-100"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*14x14mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0B1VCTx_0_1"
+ (rectangle
+ (start -20.32 -66.04)
+ (end 17.78 63.5)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0B1VCTx_1_1"
+ (pin bidirectional line
+ (at -25.4 -63.5 0)
+ (length 5.08)
+ (name "PD15" (effects (font (size 1.27 1.27))))
+ (number "68" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -60.96 0)
+ (length 5.08)
+ (name "PD14" (effects (font (size 1.27 1.27))))
+ (number "67" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -58.42 0)
+ (length 5.08)
+ (name "PD13" (effects (font (size 1.27 1.27))))
+ (number "66" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -55.88 0)
+ (length 5.08)
+ (name "PD12" (effects (font (size 1.27 1.27))))
+ (number "65" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -53.34 0)
+ (length 5.08)
+ (name "PD11" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -50.8 0)
+ (length 5.08)
+ (name "PD10" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -48.26 0)
+ (length 5.08)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -45.72 0)
+ (length 5.08)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -43.18 0)
+ (length 5.08)
+ (name "PD7" (effects (font (size 1.27 1.27))))
+ (number "85" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO_2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -40.64 0)
+ (length 5.08)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "84" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -38.1 0)
+ (length 5.08)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "83" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -35.56 0)
+ (length 5.08)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "82" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -33.02 0)
+ (length 5.08)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "81" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -30.48 0)
+ (length 5.08)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "80" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -27.94 0)
+ (length 5.08)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "79" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -25.4 0)
+ (length 5.08)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "78" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -20.32 0)
+ (length 5.08)
+ (name "PE15" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -17.78 0)
+ (length 5.08)
+ (name "PE14" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -15.24 0)
+ (length 5.08)
+ (name "PE13" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -12.7 0)
+ (length 5.08)
+ (name "PE12" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -10.16 0)
+ (length 5.08)
+ (name "PE11" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -7.62 0)
+ (length 5.08)
+ (name "PE10" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -5.08 0)
+ (length 5.08)
+ (name "PE9" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -2.54 0)
+ (length 5.08)
+ (name "PE8" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 0.0 0)
+ (length 5.08)
+ (name "PE7" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 2.54 0)
+ (length 5.08)
+ (name "PE6" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_TAMP_IN3" bidirectional line)
+ (alternate "SYS_WKUP3" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 5.08 0)
+ (length 5.08)
+ (name "PE5" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 7.62 0)
+ (length 5.08)
+ (name "PE4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 10.16 0)
+ (length 5.08)
+ (name "PE3" (effects (font (size 1.27 1.27))))
+ (number "97" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 12.7 0)
+ (length 5.08)
+ (name "PE2" (effects (font (size 1.27 1.27))))
+ (number "96" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 15.24 0)
+ (length 5.08)
+ (name "PE1" (effects (font (size 1.27 1.27))))
+ (number "95" (effects (font (size 1.27 1.27))))
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 17.78 0)
+ (length 5.08)
+ (name "PE0" (effects (font (size 1.27 1.27))))
+ (number "94" (effects (font (size 1.27 1.27))))
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 22.86 0)
+ (length 5.08)
+ (name "PF13" (effects (font (size 1.27 1.27))))
+ (number "90" (effects (font (size 1.27 1.27))))
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 25.4 0)
+ (length 5.08)
+ (name "PF12" (effects (font (size 1.27 1.27))))
+ (number "89" (effects (font (size 1.27 1.27))))
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 27.94 0)
+ (length 5.08)
+ (name "PF11" (effects (font (size 1.27 1.27))))
+ (number "88" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 30.48 0)
+ (length 5.08)
+ (name "PF10" (effects (font (size 1.27 1.27))))
+ (number "87" (effects (font (size 1.27 1.27))))
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 33.02 0)
+ (length 5.08)
+ (name "PF9" (effects (font (size 1.27 1.27))))
+ (number "86" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 35.56 0)
+ (length 5.08)
+ (name "PF8" (effects (font (size 1.27 1.27))))
+ (number "72" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at -25.4 38.1 0)
+ (length 5.08)
+ (name "PF7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 40.64 0)
+ (length 5.08)
+ (name "PF6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 43.18 0)
+ (length 5.08)
+ (name "PF5" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 45.72 0)
+ (length 5.08)
+ (name "PF4" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 48.26 0)
+ (length 5.08)
+ (name "PF3" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 50.8 0)
+ (length 5.08)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -25.4 53.34 0)
+ (length 5.08)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -25.4 55.88 0)
+ (length 5.08)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 60.96 0)
+ (length 5.08)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -63.5 180)
+ (length 5.08)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -60.96 180)
+ (length 5.08)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -58.42 180)
+ (length 5.08)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -55.88 180)
+ (length 5.08)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -53.34 180)
+ (length 5.08)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -50.8 180)
+ (length 5.08)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -48.26 180)
+ (length 5.08)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "77" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -45.72 180)
+ (length 5.08)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "76" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -43.18 180)
+ (length 5.08)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -40.64 180)
+ (length 5.08)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -38.1 180)
+ (length 5.08)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -35.56 180)
+ (length 5.08)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -33.02 180)
+ (length 5.08)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -30.48 180)
+ (length 5.08)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -27.94 180)
+ (length 5.08)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -25.4 180)
+ (length 5.08)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -20.32 180)
+ (length 5.08)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -17.78 180)
+ (length 5.08)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -15.24 180)
+ (length 5.08)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -12.7 180)
+ (length 5.08)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -10.16 180)
+ (length 5.08)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -7.62 180)
+ (length 5.08)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -5.08 180)
+ (length 5.08)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -2.54 180)
+ (length 5.08)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "100" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 0.0 180)
+ (length 5.08)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "99" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 2.54 180)
+ (length 5.08)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "98" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 5.08 180)
+ (length 5.08)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "93" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 7.62 180)
+ (length 5.08)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "92" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 10.16 180)
+ (length 5.08)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "91" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 12.7 180)
+ (length 5.08)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 15.24 180)
+ (length 5.08)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 17.78 180)
+ (length 5.08)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 22.86 180)
+ (length 5.08)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "75" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 25.4 180)
+ (length 5.08)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "74" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 27.94 180)
+ (length 5.08)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "73" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 30.48 180)
+ (length 5.08)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "71" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 33.02 180)
+ (length 5.08)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "70" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 35.56 180)
+ (length 5.08)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "69" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 38.1 180)
+ (length 5.08)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 40.64 180)
+ (length 5.08)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 43.18 180)
+ (length 5.08)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 45.72 180)
+ (length 5.08)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 48.26 180)
+ (length 5.08)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 50.8 180)
+ (length 5.08)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 53.34 180)
+ (length 5.08)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 55.88 180)
+ (length 5.08)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 58.42 180)
+ (length 5.08)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 60.96 180)
+ (length 5.08)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 68.58 270)
+ (length 5.08)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 68.58 270)
+ (length 5.08)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 68.58 270)
+ (length 5.08)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -71.12 90)
+ (length 5.08)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -71.12 90)
+ (length 5.08)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0B1VETx"
+ (extends "STM32G0B1VCTx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 64.77 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0B1VETx"
+ (id 1)
+ (at -2.54 64.77 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-100_14x14mm_P0.5mm"
+ (id 2)
+ (at -20.32 -66.04 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0b1ve.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 94 GPIO, LQFP-100"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*14x14mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0C1CCUx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1CCUx"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1cc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0C1CCUx_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0C1CCUx_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0C1CEUx"
+ (extends "STM32G0C1CCUx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1CEUx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1cc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0C1CCUx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1CCUx"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1ce.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0C1CCUx_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0C1CCUx_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0C1CEUx"
+ (extends "STM32G0C1CCUx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1CEUx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1ce.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 44 GPIO, UFQFPN-48"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*7x7mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0C1KCUxN"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1KCUxN"
+ (id 1)
+ (at -5.08 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1kc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 29 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0C1KCUxN_0_1"
+ (rectangle
+ (start -17.78 -22.86)
+ (end 15.24 22.86)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0C1KCUxN_1_1"
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 0.0 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -20.32 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -17.78 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -15.24 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -10.16 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -7.62 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -5.08 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 5.08 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 7.62 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 10.16 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 12.7 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 15.24 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 25.4 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 25.4 270)
+ (length 2.54)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0C1KEUxN"
+ (extends "STM32G0C1KCUxN")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 24.13 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1KEUxN"
+ (id 1)
+ (at -5.08 24.13 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1kc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 29 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0C1KCUxN"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1KCUxN"
+ (id 1)
+ (at -5.08 24.13 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -22.86 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1ke.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 29 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0C1KCUxN_0_1"
+ (rectangle
+ (start -17.78 -22.86)
+ (end 15.24 22.86)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0C1KCUxN_1_1"
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 0.0 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin input line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -20.32 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -17.78 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -15.24 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -10.16 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -7.62 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -5.08 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 -2.54 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 0.0 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 2.54 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 5.08 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 7.62 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 10.16 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 12.7 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 17.78 15.24 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 25.4 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 25.4 270)
+ (length 2.54)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -25.4 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0C1KEUxN"
+ (extends "STM32G0C1KCUxN")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 24.13 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1KEUxN"
+ (id 1)
+ (at -5.08 24.13 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm"
+ (id 2)
+ (at -17.78 -22.86 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1ke.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 29 GPIO, UFQFPN-32"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "QFN*1EP*5x5mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0C1MCTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 62.23 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1MCTx"
+ (id 1)
+ (at -2.54 62.23 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -20.32 -60.96 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1mc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 74 GPIO, LQFP-80"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0C1MCTx_0_1"
+ (rectangle
+ (start -20.32 -60.96)
+ (end 17.78 60.96)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0C1MCTx_1_1"
+ (pin bidirectional line
+ (at -22.86 -58.42 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -55.88 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -53.34 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -50.8 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -48.26 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -45.72 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -43.18 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -40.64 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -38.1 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -35.56 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -33.02 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -30.48 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -27.94 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -25.4 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -22.86 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -20.32 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -15.24 0)
+ (length 2.54)
+ (name "PD15" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -12.7 0)
+ (length 2.54)
+ (name "PD14" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -10.16 0)
+ (length 2.54)
+ (name "PD13" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -7.62 0)
+ (length 2.54)
+ (name "PD12" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -5.08 0)
+ (length 2.54)
+ (name "PD11" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -2.54 0)
+ (length 2.54)
+ (name "PD10" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 0.0 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 2.54 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 5.08 0)
+ (length 2.54)
+ (name "PD7" (effects (font (size 1.27 1.27))))
+ (number "71" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO_2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "70" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "69" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "68" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "67" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "66" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "65" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 27.94 0)
+ (length 2.54)
+ (name "PE10" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 30.48 0)
+ (length 2.54)
+ (name "PE9" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 33.02 0)
+ (length 2.54)
+ (name "PE8" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 35.56 0)
+ (length 2.54)
+ (name "PE7" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 38.1 0)
+ (length 2.54)
+ (name "PE3" (effects (font (size 1.27 1.27))))
+ (number "77" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 40.64 0)
+ (length 2.54)
+ (name "PE1" (effects (font (size 1.27 1.27))))
+ (number "76" (effects (font (size 1.27 1.27))))
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 43.18 0)
+ (length 2.54)
+ (name "PE0" (effects (font (size 1.27 1.27))))
+ (number "75" (effects (font (size 1.27 1.27))))
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 48.26 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -22.86 50.8 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -22.86 53.34 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 58.42 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -58.42 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -55.88 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -53.34 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -50.8 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -48.26 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -45.72 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -43.18 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "80" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "79" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "78" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "74" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "73" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "72" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 0.0 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 63.5 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 63.5 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 63.5 270)
+ (length 2.54)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -63.5 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -63.5 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0C1METx"
+ (extends "STM32G0C1MCTx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 62.23 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1METx"
+ (id 1)
+ (at -2.54 62.23 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -20.32 -60.96 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1mc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 74 GPIO, LQFP-80"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0C1MCTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 62.23 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1MCTx"
+ (id 1)
+ (at -2.54 62.23 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -20.32 -60.96 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1me.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 74 GPIO, LQFP-80"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0C1MCTx_0_1"
+ (rectangle
+ (start -20.32 -60.96)
+ (end 17.78 60.96)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0C1MCTx_1_1"
+ (pin bidirectional line
+ (at -22.86 -58.42 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -55.88 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -53.34 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -50.8 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -48.26 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -45.72 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -43.18 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -40.64 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -38.1 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -35.56 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -33.02 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -30.48 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -27.94 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -25.4 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -22.86 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -20.32 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -15.24 0)
+ (length 2.54)
+ (name "PD15" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -12.7 0)
+ (length 2.54)
+ (name "PD14" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -10.16 0)
+ (length 2.54)
+ (name "PD13" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -7.62 0)
+ (length 2.54)
+ (name "PD12" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -5.08 0)
+ (length 2.54)
+ (name "PD11" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 -2.54 0)
+ (length 2.54)
+ (name "PD10" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 0.0 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 2.54 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 5.08 0)
+ (length 2.54)
+ (name "PD7" (effects (font (size 1.27 1.27))))
+ (number "71" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO_2" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "70" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "69" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "68" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "67" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "66" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "65" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 27.94 0)
+ (length 2.54)
+ (name "PE10" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 30.48 0)
+ (length 2.54)
+ (name "PE9" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 33.02 0)
+ (length 2.54)
+ (name "PE8" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 35.56 0)
+ (length 2.54)
+ (name "PE7" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 38.1 0)
+ (length 2.54)
+ (name "PE3" (effects (font (size 1.27 1.27))))
+ (number "77" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 40.64 0)
+ (length 2.54)
+ (name "PE1" (effects (font (size 1.27 1.27))))
+ (number "76" (effects (font (size 1.27 1.27))))
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 43.18 0)
+ (length 2.54)
+ (name "PE0" (effects (font (size 1.27 1.27))))
+ (number "75" (effects (font (size 1.27 1.27))))
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 48.26 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -22.86 50.8 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -22.86 53.34 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -22.86 58.42 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -58.42 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -55.88 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -53.34 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -50.8 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -48.26 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -45.72 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -43.18 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "80" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "79" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "78" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "74" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "73" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "72" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 0.0 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 63.5 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 63.5 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 63.5 270)
+ (length 2.54)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -63.5 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -63.5 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0C1METx"
+ (extends "STM32G0C1MCTx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 62.23 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1METx"
+ (id 1)
+ (at -2.54 62.23 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" ""
+ (id 2)
+ (at -20.32 -60.96 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1me.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 74 GPIO, LQFP-80"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" ""
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0C1RCTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1RCTx"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1rc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0C1RCTx_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0C1RCTx_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -27.94 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -2.54 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 5.08 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 27.94 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 30.48 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 33.02 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0C1RETx"
+ (extends "STM32G0C1RCTx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1RETx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1rc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0C1RCTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1RCTx"
+ (id 1)
+ (at -2.54 44.45 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1re.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0C1RCTx_0_1"
+ (rectangle
+ (start -17.78 -43.18)
+ (end 17.78 43.18)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0C1RCTx_1_1"
+ (pin bidirectional line
+ (at -20.32 -40.64 0)
+ (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -38.1 0)
+ (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -35.56 0)
+ (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -33.02 0)
+ (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -30.48 0)
+ (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -27.94 0)
+ (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -25.4 0)
+ (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -22.86 0)
+ (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -20.32 0)
+ (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -17.78 0)
+ (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -15.24 0)
+ (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -12.7 0)
+ (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -10.16 0)
+ (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -7.62 0)
+ (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -5.08 0)
+ (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 -2.54 0)
+ (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 2.54 0)
+ (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 5.08 0)
+ (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 7.62 0)
+ (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 10.16 0)
+ (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 12.7 0)
+ (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 15.24 0)
+ (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 17.78 0)
+ (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 20.32 0)
+ (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 22.86 0)
+ (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 27.94 0)
+ (length 2.54)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -20.32 30.48 0)
+ (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -20.32 33.02 0)
+ (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -20.32 40.64 0)
+ (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -40.64 180)
+ (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -38.1 180)
+ (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -35.56 180)
+ (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -33.02 180)
+ (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -30.48 180)
+ (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -27.94 180)
+ (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -25.4 180)
+ (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -22.86 180)
+ (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -20.32 180)
+ (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -17.78 180)
+ (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -15.24 180)
+ (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -12.7 180)
+ (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -10.16 180)
+ (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -7.62 180)
+ (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -5.08 180)
+ (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 -2.54 180)
+ (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 2.54 180)
+ (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 5.08 180)
+ (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 7.62 180)
+ (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 10.16 180)
+ (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 12.7 180)
+ (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 15.24 180)
+ (length 2.54)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 17.78 180)
+ (length 2.54)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 20.32 180)
+ (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 22.86 180)
+ (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 25.4 180)
+ (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 27.94 180)
+ (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 30.48 180)
+ (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 33.02 180)
+ (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 35.56 180)
+ (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 38.1 180)
+ (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 20.32 40.64 180)
+ (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -7.62 45.72 270)
+ (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 45.72 270)
+ (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -45.72 90)
+ (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0C1RETx"
+ (extends "STM32G0C1RCTx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -17.78 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1RETx"
+ (id 1)
+ (at -2.54 44.45 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm"
+ (id 2)
+ (at -17.78 -43.18 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1re.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 60 GPIO, LQFP-64"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0C1VCTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 64.77 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1VCTx"
+ (id 1)
+ (at -2.54 64.77 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-100_14x14mm_P0.5mm"
+ (id 2)
+ (at -20.32 -66.04 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1vc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 94 GPIO, LQFP-100"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*14x14mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0C1VCTx_0_1"
+ (rectangle
+ (start -20.32 -66.04)
+ (end 17.78 63.5)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0C1VCTx_1_1"
+ (pin bidirectional line
+ (at -25.4 -63.5 0)
+ (length 5.08)
+ (name "PD15" (effects (font (size 1.27 1.27))))
+ (number "68" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -60.96 0)
+ (length 5.08)
+ (name "PD14" (effects (font (size 1.27 1.27))))
+ (number "67" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -58.42 0)
+ (length 5.08)
+ (name "PD13" (effects (font (size 1.27 1.27))))
+ (number "66" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -55.88 0)
+ (length 5.08)
+ (name "PD12" (effects (font (size 1.27 1.27))))
+ (number "65" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -53.34 0)
+ (length 5.08)
+ (name "PD11" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -50.8 0)
+ (length 5.08)
+ (name "PD10" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -48.26 0)
+ (length 5.08)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -45.72 0)
+ (length 5.08)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -43.18 0)
+ (length 5.08)
+ (name "PD7" (effects (font (size 1.27 1.27))))
+ (number "85" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO_2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -40.64 0)
+ (length 5.08)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "84" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -38.1 0)
+ (length 5.08)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "83" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -35.56 0)
+ (length 5.08)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "82" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -33.02 0)
+ (length 5.08)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "81" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -30.48 0)
+ (length 5.08)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "80" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -27.94 0)
+ (length 5.08)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "79" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -25.4 0)
+ (length 5.08)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "78" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -20.32 0)
+ (length 5.08)
+ (name "PE15" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -17.78 0)
+ (length 5.08)
+ (name "PE14" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -15.24 0)
+ (length 5.08)
+ (name "PE13" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -12.7 0)
+ (length 5.08)
+ (name "PE12" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -10.16 0)
+ (length 5.08)
+ (name "PE11" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -7.62 0)
+ (length 5.08)
+ (name "PE10" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -5.08 0)
+ (length 5.08)
+ (name "PE9" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -2.54 0)
+ (length 5.08)
+ (name "PE8" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 0.0 0)
+ (length 5.08)
+ (name "PE7" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 2.54 0)
+ (length 5.08)
+ (name "PE6" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_TAMP_IN3" bidirectional line)
+ (alternate "SYS_WKUP3" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 5.08 0)
+ (length 5.08)
+ (name "PE5" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 7.62 0)
+ (length 5.08)
+ (name "PE4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 10.16 0)
+ (length 5.08)
+ (name "PE3" (effects (font (size 1.27 1.27))))
+ (number "97" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 12.7 0)
+ (length 5.08)
+ (name "PE2" (effects (font (size 1.27 1.27))))
+ (number "96" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 15.24 0)
+ (length 5.08)
+ (name "PE1" (effects (font (size 1.27 1.27))))
+ (number "95" (effects (font (size 1.27 1.27))))
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 17.78 0)
+ (length 5.08)
+ (name "PE0" (effects (font (size 1.27 1.27))))
+ (number "94" (effects (font (size 1.27 1.27))))
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 22.86 0)
+ (length 5.08)
+ (name "PF13" (effects (font (size 1.27 1.27))))
+ (number "90" (effects (font (size 1.27 1.27))))
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 25.4 0)
+ (length 5.08)
+ (name "PF12" (effects (font (size 1.27 1.27))))
+ (number "89" (effects (font (size 1.27 1.27))))
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 27.94 0)
+ (length 5.08)
+ (name "PF11" (effects (font (size 1.27 1.27))))
+ (number "88" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 30.48 0)
+ (length 5.08)
+ (name "PF10" (effects (font (size 1.27 1.27))))
+ (number "87" (effects (font (size 1.27 1.27))))
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 33.02 0)
+ (length 5.08)
+ (name "PF9" (effects (font (size 1.27 1.27))))
+ (number "86" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 35.56 0)
+ (length 5.08)
+ (name "PF8" (effects (font (size 1.27 1.27))))
+ (number "72" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at -25.4 38.1 0)
+ (length 5.08)
+ (name "PF7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 40.64 0)
+ (length 5.08)
+ (name "PF6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 43.18 0)
+ (length 5.08)
+ (name "PF5" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 45.72 0)
+ (length 5.08)
+ (name "PF4" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 48.26 0)
+ (length 5.08)
+ (name "PF3" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 50.8 0)
+ (length 5.08)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -25.4 53.34 0)
+ (length 5.08)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -25.4 55.88 0)
+ (length 5.08)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 60.96 0)
+ (length 5.08)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -63.5 180)
+ (length 5.08)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -60.96 180)
+ (length 5.08)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -58.42 180)
+ (length 5.08)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -55.88 180)
+ (length 5.08)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -53.34 180)
+ (length 5.08)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -50.8 180)
+ (length 5.08)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -48.26 180)
+ (length 5.08)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "77" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -45.72 180)
+ (length 5.08)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "76" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -43.18 180)
+ (length 5.08)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -40.64 180)
+ (length 5.08)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -38.1 180)
+ (length 5.08)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -35.56 180)
+ (length 5.08)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -33.02 180)
+ (length 5.08)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -30.48 180)
+ (length 5.08)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -27.94 180)
+ (length 5.08)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -25.4 180)
+ (length 5.08)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -20.32 180)
+ (length 5.08)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -17.78 180)
+ (length 5.08)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -15.24 180)
+ (length 5.08)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -12.7 180)
+ (length 5.08)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -10.16 180)
+ (length 5.08)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -7.62 180)
+ (length 5.08)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -5.08 180)
+ (length 5.08)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -2.54 180)
+ (length 5.08)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "100" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 0.0 180)
+ (length 5.08)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "99" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 2.54 180)
+ (length 5.08)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "98" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 5.08 180)
+ (length 5.08)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "93" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 7.62 180)
+ (length 5.08)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "92" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 10.16 180)
+ (length 5.08)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "91" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 12.7 180)
+ (length 5.08)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 15.24 180)
+ (length 5.08)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 17.78 180)
+ (length 5.08)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 22.86 180)
+ (length 5.08)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "75" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 25.4 180)
+ (length 5.08)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "74" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 27.94 180)
+ (length 5.08)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "73" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 30.48 180)
+ (length 5.08)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "71" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 33.02 180)
+ (length 5.08)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "70" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 35.56 180)
+ (length 5.08)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "69" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 38.1 180)
+ (length 5.08)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 40.64 180)
+ (length 5.08)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 43.18 180)
+ (length 5.08)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 45.72 180)
+ (length 5.08)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 48.26 180)
+ (length 5.08)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 50.8 180)
+ (length 5.08)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 53.34 180)
+ (length 5.08)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 55.88 180)
+ (length 5.08)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 58.42 180)
+ (length 5.08)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 60.96 180)
+ (length 5.08)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 68.58 270)
+ (length 5.08)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 68.58 270)
+ (length 5.08)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 68.58 270)
+ (length 5.08)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -71.12 90)
+ (length 5.08)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -71.12 90)
+ (length 5.08)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0C1VETx"
+ (extends "STM32G0C1VCTx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 64.77 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1VETx"
+ (id 1)
+ (at -2.54 64.77 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-100_14x14mm_P0.5mm"
+ (id 2)
+ (at -20.32 -66.04 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1vc.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 94 GPIO, LQFP-100"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*14x14mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27)))))
+ (symbol "STM32G0C1VCTx"
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 64.77 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1VCTx"
+ (id 1)
+ (at -2.54 64.77 0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-100_14x14mm_P0.5mm"
+ (id 2)
+ (at -20.32 -66.04 0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1ve.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 256KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 94 GPIO, LQFP-100"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*14x14mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))
+ (symbol "STM32G0C1VCTx_0_1"
+ (rectangle
+ (start -20.32 -66.04)
+ (end 17.78 63.5)
+ (stroke (width 0.254))
+ (fill (type background))))
+ (symbol "STM32G0C1VCTx_1_1"
+ (pin bidirectional line
+ (at -25.4 -63.5 0)
+ (length 5.08)
+ (name "PD15" (effects (font (size 1.27 1.27))))
+ (number "68" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -60.96 0)
+ (length 5.08)
+ (name "PD14" (effects (font (size 1.27 1.27))))
+ (number "67" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -58.42 0)
+ (length 5.08)
+ (name "PD13" (effects (font (size 1.27 1.27))))
+ (number "66" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -55.88 0)
+ (length 5.08)
+ (name "PD12" (effects (font (size 1.27 1.27))))
+ (number "65" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -53.34 0)
+ (length 5.08)
+ (name "PD11" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -50.8 0)
+ (length 5.08)
+ (name "PD10" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -48.26 0)
+ (length 5.08)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -45.72 0)
+ (length 5.08)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -43.18 0)
+ (length 5.08)
+ (name "PD7" (effects (font (size 1.27 1.27))))
+ (number "85" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_MCO_2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -40.64 0)
+ (length 5.08)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "84" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -38.1 0)
+ (length 5.08)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "83" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -35.56 0)
+ (length 5.08)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "82" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -33.02 0)
+ (length 5.08)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "81" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD2_DBCC2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -30.48 0)
+ (length 5.08)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "80" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "UCPD2_CC2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -27.94 0)
+ (length 5.08)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "79" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "UCPD2_DBCC1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -25.4 0)
+ (length 5.08)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "78" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "UCPD2_CC1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -20.32 0)
+ (length 5.08)
+ (name "PE15" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -17.78 0)
+ (length 5.08)
+ (name "PE14" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -15.24 0)
+ (length 5.08)
+ (name "PE13" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -12.7 0)
+ (length 5.08)
+ (name "PE12" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -10.16 0)
+ (length 5.08)
+ (name "PE11" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -7.62 0)
+ (length 5.08)
+ (name "PE10" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -5.08 0)
+ (length 5.08)
+ (name "PE9" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 -2.54 0)
+ (length 5.08)
+ (name "PE8" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 0.0 0)
+ (length 5.08)
+ (name "PE7" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 2.54 0)
+ (length 5.08)
+ (name "PE6" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_TAMP_IN3" bidirectional line)
+ (alternate "SYS_WKUP3" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 5.08 0)
+ (length 5.08)
+ (name "PE5" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH3" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 7.62 0)
+ (length 5.08)
+ (name "PE4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 10.16 0)
+ (length 5.08)
+ (name "PE3" (effects (font (size 1.27 1.27))))
+ (number "97" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 12.7 0)
+ (length 5.08)
+ (name "PE2" (effects (font (size 1.27 1.27))))
+ (number "96" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 15.24 0)
+ (length 5.08)
+ (name "PE1" (effects (font (size 1.27 1.27))))
+ (number "95" (effects (font (size 1.27 1.27))))
+ (alternate "TIM17_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 17.78 0)
+ (length 5.08)
+ (name "PE0" (effects (font (size 1.27 1.27))))
+ (number "94" (effects (font (size 1.27 1.27))))
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_ETR" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 22.86 0)
+ (length 5.08)
+ (name "PF13" (effects (font (size 1.27 1.27))))
+ (number "90" (effects (font (size 1.27 1.27))))
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 25.4 0)
+ (length 5.08)
+ (name "PF12" (effects (font (size 1.27 1.27))))
+ (number "89" (effects (font (size 1.27 1.27))))
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 27.94 0)
+ (length 5.08)
+ (name "PF11" (effects (font (size 1.27 1.27))))
+ (number "88" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 30.48 0)
+ (length 5.08)
+ (name "PF10" (effects (font (size 1.27 1.27))))
+ (number "87" (effects (font (size 1.27 1.27))))
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 33.02 0)
+ (length 5.08)
+ (name "PF9" (effects (font (size 1.27 1.27))))
+ (number "86" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 35.56 0)
+ (length 5.08)
+ (name "PF8" (effects (font (size 1.27 1.27))))
+ (number "72" (effects (font (size 1.27 1.27)))))
+ (pin bidirectional line
+ (at -25.4 38.1 0)
+ (length 5.08)
+ (name "PF7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 40.64 0)
+ (length 5.08)
+ (name "PF6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 43.18 0)
+ (length 5.08)
+ (name "PF5" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 45.72 0)
+ (length 5.08)
+ (name "PF4" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 48.26 0)
+ (length 5.08)
+ (name "PF3" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 50.8 0)
+ (length 5.08)
+ (name "PF2" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line))
+ (pin input line
+ (at -25.4 53.34 0)
+ (length 5.08)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line))
+ (pin input line
+ (at -25.4 55.88 0)
+ (length 5.08)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line))
+ (pin bidirectional line
+ (at -25.4 60.96 0)
+ (length 5.08)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "VREFBUF_OUT" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -63.5 180)
+ (length 5.08)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -60.96 180)
+ (length 5.08)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -58.42 180)
+ (length 5.08)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT1" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -55.88 180)
+ (length 5.08)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -53.34 180)
+ (length 5.08)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -50.8 180)
+ (length 5.08)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -48.26 180)
+ (length 5.08)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "77" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -45.72 180)
+ (length 5.08)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "76" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -43.18 180)
+ (length 5.08)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -40.64 180)
+ (length 5.08)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -38.1 180)
+ (length 5.08)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -35.56 180)
+ (length 5.08)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -33.02 180)
+ (length 5.08)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -30.48 180)
+ (length 5.08)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -27.94 180)
+ (length 5.08)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -25.4 180)
+ (length 5.08)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -20.32 180)
+ (length 5.08)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "UCPD1_CC2" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -17.78 180)
+ (length 5.08)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -15.24 180)
+ (length 5.08)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -12.7 180)
+ (length 5.08)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -10.16 180)
+ (length 5.08)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "USART3_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -7.62 180)
+ (length 5.08)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -5.08 180)
+ (length 5.08)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM4_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 -2.54 180)
+ (length 5.08)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "100" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM4_CH3" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 0.0 180)
+ (length 5.08)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "99" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM1_IN2" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TIM4_CH2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ (alternate "USART4_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 2.54 180)
+ (length 5.08)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "98" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_ETR" bidirectional line)
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TIM4_CH1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ (alternate "USART5_CTS" bidirectional line)
+ (alternate "USART5_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 5.08 180)
+ (length 5.08)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "93" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPTIM1_IN1" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SPI3_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BK" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 7.62 180)
+ (length 5.08)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "92" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "SPI3_MISO" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 10.16 180)
+ (length 5.08)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "91" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "SPI3_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 12.7 180)
+ (length 5.08)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN10" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "COMP3_INM" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART3_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 15.24 180)
+ (length 5.08)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN9" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP3_OUT" bidirectional line)
+ (alternate "FDCAN2_TX" bidirectional line)
+ (alternate "LPTIM2_IN1" bidirectional line)
+ (alternate "LPUART1_DE" bidirectional line)
+ (alternate "LPUART1_RTS" bidirectional line)
+ (alternate "LPUART2_DE" bidirectional line)
+ (alternate "LPUART2_RTS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 17.78 180)
+ (length 5.08)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "COMP3_INP" bidirectional line)
+ (alternate "FDCAN2_RX" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "LPUART2_CTS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART5_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 22.86 180)
+ (length 5.08)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "75" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 25.4 180)
+ (length 5.08)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "74" (effects (font (size 1.27 1.27))))
+ (alternate "LPUART2_TX" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 27.94 180)
+ (length 5.08)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "73" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "LPUART2_RX" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 30.48 180)
+ (length 5.08)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "71" (effects (font (size 1.27 1.27))))
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "FDCAN1_TX" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ (alternate "USB_DP" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 33.02 180)
+ (length 5.08)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "70" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "FDCAN1_RX" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ (alternate "USB_DM" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 35.56 180)
+ (length 5.08)
+ (name "PA10/UCPD1_DBCC2" (effects (font (size 1.27 1.27))))
+ (number "69" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RCC_MCO_2" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "TIM17_BK" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "UCPD1_DBCC2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 38.1 180)
+ (length 5.08)
+ (name "PA9/UCPD1_DBCC1" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "DAC1_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_BK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "UCPD1_DBCC1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 40.64 180)
+ (length 5.08)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "CRS1_SYNC" bidirectional line)
+ (alternate "I2C2_SMBA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "UCPD1_CC1" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 43.18 180)
+ (length 5.08)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2C3_SCL" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART6_CK" bidirectional line)
+ (alternate "USART6_DE" bidirectional line)
+ (alternate "USART6_RTS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 45.72 180)
+ (length 5.08)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2C3_SDA" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "LPUART1_CTS" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ (alternate "USART3_NSS" bidirectional line)
+ (alternate "USART6_CTS" bidirectional line)
+ (alternate "USART6_NSS" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 48.26 180)
+ (length 5.08)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "DAC1_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "LPTIM2_ETR" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART6_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 50.8 180)
+ (length 5.08)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "DAC1_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "LPTIM2_OUT" bidirectional line)
+ (alternate "RTC_OUT2" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SPI3_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USB_NOE" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 53.34 180)
+ (length 5.08)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "LPUART1_RX" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 55.88 180)
+ (length 5.08)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "LPUART1_TX" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "UCPD1_FRSTX1" bidirectional line)
+ (alternate "UCPD1_FRSTX2" bidirectional line)
+ (alternate "USART2_TX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 58.42 180)
+ (length 5.08)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line))
+ (pin bidirectional line
+ (at 22.86 60.96 180)
+ (length 5.08)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "LPTIM1_OUT" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "UCPD2_FRSTX1" bidirectional line)
+ (alternate "UCPD2_FRSTX2" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line))
+ (pin power_in line
+ (at -10.16 68.58 270)
+ (length 5.08)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 68.58 270)
+ (length 5.08)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -5.08 68.58 270)
+ (length 5.08)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -10.16 -71.12 90)
+ (length 5.08)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27)))))
+ (pin power_in line
+ (at -7.62 -71.12 90)
+ (length 5.08)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27)))))))
+ (symbol "STM32G0C1VETx"
+ (extends "STM32G0C1VCTx")
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (id 0)
+ (at -20.32 64.77 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Value" "STM32G0C1VETx"
+ (id 1)
+ (at -2.54 64.77 0.0)
+ (effects
+ (font (size 1.27 1.27))
+ (justify left)))
+ (property "Footprint" "Package_QFP:LQFP-100_14x14mm_P0.5mm"
+ (id 2)
+ (at -20.32 -66.04 0.0)
+ (effects
+ (font (size 1.27 1.27))hide
+ (justify right)))
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g0c1ve.pdf"
+ (id 3)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_locked" ""
+ (id 4)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x1"
+ (id 5)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_description" "ARM Cortex-M0+ MCU, 512KB flash, 144KB RAM, 64MHz, 1.7-3.6V, 94 GPIO, LQFP-100"
+ (id 6)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))hide))
+ (property "ki_fp_filters" "LQFP*14x14mm*P0.5mm*"
+ (id 7)
+ (at 0.0 0.0 0.0)
+ (effects
+ (font (size 1.27 1.27))))))
diff --git a/center/center.kicad_pcb b/center/center.kicad_pcb
index 5c33d27..3c53578 100644
--- a/center/center.kicad_pcb
+++ b/center/center.kicad_pcb
@@ -83,11 +83,11 @@
(net 1 "+12V")
(net 2 "GND")
(net 3 "+3V3")
- (net 4 "Net-(C12-Pad1)")
+ (net 4 "Net-(Q4-D)")
(net 5 "Net-(D5-K)")
(net 6 "Net-(U2-FB)")
(net 7 "/Vmeas_A")
- (net 8 "Net-(R11-Pad2)")
+ (net 8 "Net-(Q6-D)")
(net 9 "/CH2")
(net 10 "/CH3")
(net 11 "/Q2")
@@ -100,28 +100,29 @@
(net 18 "/LOAD")
(net 19 "/CH1")
(net 20 "+VSW")
- (net 21 "Net-(C11-Pad1)")
+ (net 21 "Net-(Q8-D)")
(net 22 "Net-(D7-K)")
- (net 23 "Net-(R12-Pad2)")
- (net 24 "Net-(R13-Pad2)")
+ (net 23 "Net-(Q10-D)")
+ (net 24 "Net-(R7-Pad1)")
(net 25 "/ILIM")
(net 26 "/PAD")
(net 27 "Net-(D7-A)")
(net 28 "Net-(C3-Pad1)")
(net 29 "Net-(D8-A)")
- (net 30 "Net-(R14-Pad2)")
- (net 31 "/TX_POK")
- (net 32 "/TX_EN")
- (net 33 "/Vdiff")
- (net 34 "/RECT1")
- (net 35 "/RECT2")
- (net 36 "Net-(U2-BS)")
- (net 37 "Net-(U3-NRST)")
- (net 38 "Net-(U3-BOOT0)")
- (net 39 "Net-(U4-+)")
- (net 40 "Net-(U4--)")
- (net 41 "/VIN_A")
- (net 42 "/VIN_B")
+ (net 30 "/TX_POK")
+ (net 31 "/TX_EN")
+ (net 32 "/Vdiff")
+ (net 33 "/RECT1")
+ (net 34 "/RECT2")
+ (net 35 "Net-(U2-BS)")
+ (net 36 "Net-(U3-PC14/PB9)")
+ (net 37 "Net-(U4-+)")
+ (net 38 "Net-(U4--)")
+ (net 39 "/VIN_A")
+ (net 40 "/VIN_B")
+ (net 41 "Net-(U3-PA12/PA10)")
+ (net 42 "Net-(U3-PA6)")
+ (net 43 "Net-(U3-PB7/PB8)")
(footprint "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu")
(tstamp 00000000-0000-0000-0000-00005c21d147)
@@ -288,7 +289,7 @@
(property "Sheetname" "")
(path "/00000000-0000-0000-0000-00005c1b07a6")
(attr smd)
- (fp_text reference "C10" (at 0.2 1.525 -180) (layer "F.SilkS")
+ (fp_text reference "C10" (at -0.55 -1.275 -180) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp d4835a0d-622e-4191-be12-0a9a93cb3c86)
)
@@ -331,110 +332,6 @@
)
)
- (footprint "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu")
- (tstamp 00000000-0000-0000-0000-00005c21d282)
- (at 166.95 74.975 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (property "Sheetfile" "center.kicad_sch")
- (property "Sheetname" "")
- (path "/00000000-0000-0000-0000-00005c31388f")
- (attr smd)
- (fp_text reference "C11" (at 0.025 -1.325 270) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp c2b95cc2-ac57-44ca-a7ad-ccb1acc7b59c)
- )
- (fp_text value "12p" (at 0 1.5 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp fe7df18b-20ac-4d0d-a650-b8afef281289)
- )
- (fp_text user "${REFERENCE}" (at 0 -1.25 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp ba8592be-7565-40e9-8f48-6f8645ef8945)
- )
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f8ed7ab7-df05-44ce-9d6d-e6be93034adb))
- (fp_line (start 0.35 0.6) (end -0.35 0.6)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5c8c6a95-6b5b-4904-b5f7-be96149f19e3))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6067ecf-e60c-4911-bbdd-2020eb0a7d95))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0612e35e-e0d8-4548-a409-81e07b691065))
- (fp_line (start 1.8 0.65) (end -1.8 0.65)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 100d3ea8-a4f3-4d70-a2f6-49e3e61239ec))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp da60ef39-5cf6-47cf-8e03-d82062082bbe))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 740314e8-6851-419e-8fec-7982c3d814c3))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f73ee99c-f5a6-45ff-8faa-0aee7aa60f85))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e714eb81-d10f-4464-9dc7-5901113db863))
- (fp_line (start 0.8 0.4) (end -0.8 0.4)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e2113665-8252-4dea-8181-61a916341d20))
- (pad "1" smd rect locked (at -0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 21 "Net-(C11-Pad1)") (pintype "passive") (tstamp 6f3a4677-90fc-4e18-9329-20da0008075c))
- (pad "2" smd rect locked (at 0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND") (pintype "passive") (tstamp c42d94ce-2852-42d9-92ae-2327863282f2))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (offset (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (footprint "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu")
- (tstamp 00000000-0000-0000-0000-00005c21d293)
- (at 168.85 71.975 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (property "Sheetfile" "center.kicad_sch")
- (property "Sheetname" "")
- (path "/00000000-0000-0000-0000-00005c310cd7")
- (attr smd)
- (fp_text reference "C12" (at -2.825 0.075 270) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp 3b6e1332-75e6-4562-a1e9-8c8ba7aeb21c)
- )
- (fp_text value "12p" (at 0 1.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp 0be1e4a8-f77c-4bb3-9af8-81e6b37a8047)
- )
- (fp_text user "${REFERENCE}" (at 0 -1.25) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp 6b1177d9-a7e7-4fa9-a75c-86016d1078fe)
- )
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c7429c6-a2f8-4537-a138-47660487e126))
- (fp_line (start 0.35 0.6) (end -0.35 0.6)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c85e3b3d-1e74-453d-af2a-04a65d0e98a1))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9a6f5d78-bdf1-4255-b9ab-a455bd98f26f))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 20c96a64-9dc4-4d69-b2db-a6d5c43f8c30))
- (fp_line (start 1.8 0.65) (end -1.8 0.65)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7a0b79be-12c3-4a48-bd26-0b131b9481a2))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7c8615d3-df1f-4ef1-a933-77327bf689b6))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a607040e-c584-47c7-b0e9-1eaa3c3a123a))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c2ff3078-891f-413a-96f6-ab110fbefbb3))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b74f0591-3e9e-4e3d-b24b-10cd7ad67f88))
- (fp_line (start 0.8 0.4) (end -0.8 0.4)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bc46e712-b596-4c74-9247-9d97091bb691))
- (pad "1" smd rect locked (at -0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 4 "Net-(C12-Pad1)") (pintype "passive") (tstamp 4d580a21-2c2b-4f69-abc1-de92c654d26b))
- (pad "2" smd rect locked (at 0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND") (pintype "passive") (tstamp 88c9cd3a-b14c-42bb-9d0b-f36721ef7d83))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (offset (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
(footprint "Diode_SMD:D_SMA" (layer "F.Cu")
(tstamp 00000000-0000-0000-0000-00005c21d2f6)
(at 191.775 98.3 180)
@@ -494,7 +391,7 @@
(pad "1" smd rect locked (at -2 0 180) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
(net 20 "+VSW") (pinfunction "K") (pintype "passive") (tstamp 0e9f296b-db82-4cdb-9787-d57470f67348))
(pad "2" smd rect locked (at 2 0 180) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 42 "/VIN_B") (pinfunction "A") (pintype "passive") (tstamp 4e30fcb9-59df-4efc-b550-9009a08c9d2d))
+ (net 40 "/VIN_B") (pinfunction "A") (pintype "passive") (tstamp 4e30fcb9-59df-4efc-b550-9009a08c9d2d))
(model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -562,7 +459,7 @@
(pad "1" smd oval locked (at -2 6 217) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
(net 11 "/Q2") (pinfunction "Pin_1") (pintype "passive") (tstamp f6dfbc9b-a4f2-4d2b-9920-8d00909f1ca3))
(pad "2" smd oval locked (at 2 6 217) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
- (net 41 "/VIN_A") (pinfunction "Pin_2") (pintype "passive") (tstamp a76926ff-2717-4500-a663-6c3d1ba66441))
+ (net 39 "/VIN_A") (pinfunction "Pin_2") (pintype "passive") (tstamp a76926ff-2717-4500-a663-6c3d1ba66441))
)
(footprint "center:led_tape_3528_2835" (layer "F.Cu")
@@ -625,7 +522,7 @@
(pad "1" smd oval locked (at -2 6 143) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
(net 12 "/Q0") (pinfunction "Pin_1") (pintype "passive") (tstamp 07d7a07e-b62a-416f-a829-c43916b072de))
(pad "2" smd oval locked (at 2 6 143) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
- (net 42 "/VIN_B") (pinfunction "Pin_2") (pintype "passive") (tstamp ac96bb2e-d418-4e74-9c85-1e152afd1a10))
+ (net 40 "/VIN_B") (pinfunction "Pin_2") (pintype "passive") (tstamp ac96bb2e-d418-4e74-9c85-1e152afd1a10))
)
(footprint "Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm" (layer "F.Cu")
@@ -736,7 +633,7 @@
(fp_line (start 0.8 0.4) (end -0.8 0.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8d996278-809a-42a2-a79f-37095c737f45))
(pad "1" smd roundrect locked (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 41 "/VIN_A") (pintype "passive") (tstamp 28ac3a0b-8a2e-431d-8cf0-17d3851e0e19))
+ (net 39 "/VIN_A") (pintype "passive") (tstamp 28ac3a0b-8a2e-431d-8cf0-17d3851e0e19))
(pad "2" smd roundrect locked (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 7 "/Vmeas_A") (pintype "passive") (tstamp eabc7088-aae0-481a-ae4e-dbc7f3879b07))
(model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
@@ -748,7 +645,7 @@
(footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu")
(tstamp 00000000-0000-0000-0000-00005c21d433)
- (at 171.95 79.475 -90)
+ (at 170.35 76.025 90)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags "resistor handsolder")
(property "Sheetfile" "center.kicad_sch")
@@ -787,9 +684,9 @@
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 43b14629-291d-4469-a756-193f7f6c3e04))
(fp_line (start 0.8 0.4) (end -0.8 0.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4d5d9d50-c566-4ed7-af77-94c175c81727))
- (pad "1" smd roundrect locked (at -0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 37 "Net-(U3-NRST)") (pintype "passive") (tstamp 76ec26ce-024b-465d-b0a6-4a513fac25a0))
- (pad "2" smd roundrect locked (at 0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (pad "1" smd roundrect locked (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 24 "Net-(R7-Pad1)") (pintype "passive") (tstamp 76ec26ce-024b-465d-b0a6-4a513fac25a0))
+ (pad "2" smd roundrect locked (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 3 "+3V3") (pintype "passive") (tstamp 83675065-fc38-4102-bcc8-507d5146732c))
(model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(offset (xyz 0 0 0))
@@ -798,116 +695,6 @@
)
)
- (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu")
- (tstamp 00000000-0000-0000-0000-00005c21d466)
- (at 169 69.975 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (property "Sheetfile" "center.kicad_sch")
- (property "Sheetname" "")
- (path "/00000000-0000-0000-0000-00005c382d39")
- (attr smd)
- (fp_text reference "R8" (at -0.2 1.4) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp af614f35-0c43-4dc8-9c10-0fadf20f8277)
- )
- (fp_text value "0" (at 0 1.43) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp 584af8e7-ac5a-4d42-bf90-825e80df9532)
- )
- (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- (tstamp aa80bbbd-658b-4db2-a0b7-032326d254b3)
- )
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bd404594-936c-4243-8308-0b1ade9d028e))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0e69725-b7ff-439a-9b73-0af91ace2a14))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 49eacfc0-62c9-4f2d-b54d-f422859e2d16))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a2c07582-2f09-4941-a274-95118da3f5fc))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c9dd9ce1-20ec-4566-bf81-5ef0b6ca3aff))
- (fp_line (start 1.65 0.73) (end -1.65 0.73)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 219873fe-bec3-4785-9e4e-76b492d1e16e))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 830a59b1-682b-42f5-803d-5f9080c542da))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 62e19e75-2727-4914-8271-34cf85a1d30d))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c896049e-5ae7-4989-83bc-e6bf737d4359))
- (fp_line (start 0.8 0.4) (end -0.8 0.4)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 67e1ad86-d812-4c0d-91b9-6c07c76664ba))
- (pad "1" smd roundrect locked (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 38 "Net-(U3-BOOT0)") (pintype "passive") (tstamp dd87b5cf-1955-4b2c-8622-e5a94ae9ba82))
- (pad "2" smd roundrect locked (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 2 "GND") (pintype "passive") (tstamp da4c2259-c811-4584-9f9c-2e9a5ea9cb43))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (offset (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (footprint "Crystals:Crystal_SMD_3225-4pin_3.2x2.5mm" (layer "F.Cu")
- (tstamp 00000000-0000-0000-0000-00005c21d504)
- (at 170.45 75.225)
- (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package")
- (tags "SMD SMT crystal")
- (property "Sheetfile" "center.kicad_sch")
- (property "Sheetname" "")
- (path "/00000000-0000-0000-0000-00005c30eb37")
- (attr smd)
- (fp_text reference "Y1" (at -1.3 2.75) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp 4a82c44f-bbf2-4096-87b5-f543edc8cdad)
- )
- (fp_text value "8MHz" (at 0 2.45) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp c65bbf00-c161-465d-a277-6166f17b1102)
- )
- (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.7 0.7) (thickness 0.105)))
- (tstamp 83cff55d-6221-4379-a99c-f21ed81c06c4)
- )
- (fp_line (start -2 -1.65) (end -2 1.65)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c3fdaa7-f785-4a53-b3e7-3a7889988d92))
- (fp_line (start -2 1.65) (end 2 1.65)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp af50997e-a810-49af-98ef-03cb9f31c9e7))
- (fp_line (start -2.1 -1.7) (end -2.1 1.7)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c9fa03b6-10ac-41cf-a479-e37efbee5f53))
- (fp_line (start -2.1 1.7) (end 2.1 1.7)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9e686507-3a8b-45c3-a3b5-520a7ee5f19b))
- (fp_line (start 2.1 -1.7) (end -2.1 -1.7)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3f126a59-0cce-4af9-a630-4836fd7df416))
- (fp_line (start 2.1 1.7) (end 2.1 -1.7)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9211a41b-80b4-44fb-bc2f-8227fe9d251e))
- (fp_line (start -1.6 -1.25) (end -1.6 1.25)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 92fb3ea9-6e01-477a-9db9-317f01cda20a))
- (fp_line (start -1.6 0.25) (end -0.6 1.25)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e3d10750-7f52-4468-aa48-0942555afb3c))
- (fp_line (start -1.6 1.25) (end 1.6 1.25)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9fe80fa1-05fc-426c-b27a-55010eb5702c))
- (fp_line (start 1.6 -1.25) (end -1.6 -1.25)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e19f601-46d1-49e9-8756-913f989d2ddd))
- (fp_line (start 1.6 1.25) (end 1.6 -1.25)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ef9904b1-58fe-4293-a2c1-3ee7f3183aab))
- (pad "1" smd rect locked (at -1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 21 "Net-(C11-Pad1)") (pinfunction "1") (pintype "passive") (tstamp 84bc0b41-a7fe-49e5-a5f4-7ae0fe617b2c))
- (pad "2" smd rect locked (at 1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp 303056e6-e667-4e56-803b-d9e16608ce9d))
- (pad "3" smd rect locked (at 1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 4 "Net-(C12-Pad1)") (pinfunction "3") (pintype "passive") (tstamp 9a3c1153-fa3e-41cb-9920-63086936c6be))
- (pad "4" smd rect locked (at -1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND") (pinfunction "4") (pintype "passive") (tstamp 3f930490-3805-42fb-855f-9f6278b2271a))
- (model "${KISYS3DMOD}/Crystals.3dshapes/Crystal_SMD_3225-4pin_3.2x2.5mm.wrl"
- (offset (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
(footprint "Package_TO_SOT_SMD:SOT-223" (layer "F.Cu")
(tstamp 00000000-0000-0000-0000-00005c29a0a0)
(at 164.95 99.975)
@@ -970,92 +757,6 @@
)
)
- (footprint "Housings_SSOP:TSSOP-20_4.4x6.5mm_Pitch0.65mm" (layer "F.Cu")
- (tstamp 00000000-0000-0000-0000-00005c29a0c4)
- (at 178.1 77.725)
- (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)")
- (tags "SSOP 0.65")
- (property "Sheetfile" "center.kicad_sch")
- (property "Sheetname" "")
- (path "/00000000-0000-0000-0000-00005c1966aa")
- (attr smd allow_soldermask_bridges)
- (fp_text reference "U3" (at -3.025 -4.25 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp 01e9fe90-9f49-405d-920f-850763266ca7)
- )
- (fp_text value "STM32F030F4P6" (at 0 4.3) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp 61c32b80-047d-408d-89e8-88eb8886fc59)
- )
- (fp_line (start -3.75 -3.45) (end 2.225 -3.45)
- (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b7061125-9545-4589-bac9-99ea6b6cacd6))
- (fp_line (start -2.225 3.45) (end 2.225 3.45)
- (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 95f29e03-a43f-4c9f-a6cf-2ba758f08adb))
- (fp_line (start -3.95 -3.55) (end -3.95 3.55)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c6e92431-0226-4d34-bbd8-650fd2aa1cc4))
- (fp_line (start -3.95 -3.55) (end 3.95 -3.55)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp df4be082-c004-4947-945d-32814161a08f))
- (fp_line (start -3.95 3.55) (end 3.95 3.55)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 85997c93-9343-4d56-abdc-8e681b684fc5))
- (fp_line (start 3.95 -3.55) (end 3.95 3.55)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ba5adc2-fb06-4bde-9b10-f8732c18fff1))
- (fp_line (start -2.2 -2.25) (end -1.2 -3.25)
- (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a3383e6c-79b2-4b85-b6e0-1b5c6002deea))
- (fp_line (start -2.2 3.25) (end -2.2 -2.25)
- (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp af8a761e-3434-4cc3-b442-20b30dca1405))
- (fp_line (start -1.2 -3.25) (end 2.2 -3.25)
- (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 300637f6-3896-40e8-8f19-aa7d65ae72df))
- (fp_line (start 2.2 -3.25) (end 2.2 3.25)
- (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 33406235-9cfd-467e-a68c-a80495b0c00d))
- (fp_line (start 2.2 3.25) (end -2.2 3.25)
- (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fdcfc4aa-a744-4386-ae8c-59831f89b11f))
- (pad "1" smd rect locked (at -2.95 -2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 38 "Net-(U3-BOOT0)") (pinfunction "BOOT0") (pintype "input") (tstamp 9f059292-32a0-4a7f-930f-16889a4a2161))
- (pad "2" smd rect locked (at -2.95 -2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 4 "Net-(C12-Pad1)") (pinfunction "PF0") (pintype "input") (tstamp 334cb1c6-6d65-4974-a7d0-f1e80b26d6cb))
- (pad "3" smd rect locked (at -2.95 -1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 21 "Net-(C11-Pad1)") (pinfunction "PF1") (pintype "input") (tstamp e17aa586-d80c-4e55-84df-20781fd8d7dd))
- (pad "4" smd rect locked (at -2.95 -0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 37 "Net-(U3-NRST)") (pinfunction "NRST") (pintype "input") (tstamp bc688b5b-6ca8-4d11-85ad-26f783565dc8))
- (pad "5" smd rect locked (at -2.95 -0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 3 "+3V3") (pinfunction "VDDA") (pintype "power_in") (tstamp 8a53c55a-d45f-430f-a2ac-5392ee0d5e38))
- (pad "6" smd rect locked (at -2.95 0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 33 "/Vdiff") (pinfunction "PA0") (pintype "bidirectional") (tstamp e851f179-271d-46ac-a0ae-a6ab68e88748))
- (pad "7" smd rect locked (at -2.95 0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 7 "/Vmeas_A") (pinfunction "PA1") (pintype "bidirectional") (tstamp 52ad332f-c6c1-4f46-96d0-810a64a50a06))
- (pad "8" smd rect locked (at -2.95 1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 18 "/LOAD") (pinfunction "PA2") (pintype "bidirectional") (tstamp 2a71f3f8-53c0-4739-a3fa-19d0f0c8156c))
- (pad "9" smd rect locked (at -2.95 2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 17 "/CH0") (pinfunction "PA3") (pintype "bidirectional") (tstamp d73b5a3a-2f49-4c95-b62a-af4a0b7155aa))
- (pad "10" smd rect locked (at -2.95 2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 10 "/CH3") (pinfunction "PA4") (pintype "bidirectional") (tstamp 1fc98005-674f-4eec-8b22-22caa832a74f))
- (pad "11" smd rect locked (at 2.95 2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 31 "/TX_POK") (pinfunction "PA5") (pintype "bidirectional") (tstamp 6dcf5bbc-9e77-4baf-9e81-1b3d7cb23245))
- (pad "12" smd rect locked (at 2.95 2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 9 "/CH2") (pinfunction "PA6") (pintype "bidirectional") (tstamp e7062d08-b1d9-417a-9614-87a612c29d11))
- (pad "13" smd rect locked (at 2.95 1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 19 "/CH1") (pinfunction "PA7") (pintype "bidirectional") (tstamp 0872f285-f53d-4999-a8e5-f129353c9662))
- (pad "14" smd rect locked (at 2.95 0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 32 "/TX_EN") (pinfunction "PB1") (pintype "bidirectional") (tstamp 01c2eaba-5a09-4ff0-ae44-86faa9f269d2))
- (pad "15" smd rect locked (at 2.95 0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 31da8c1d-0191-4253-a28a-0222ff7c0b91))
- (pad "16" smd rect locked (at 2.95 -0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 3 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 03fffb4e-64e4-435d-b70d-51c1bab72925))
- (pad "17" smd rect locked (at 2.95 -0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 34 "/RECT1") (pinfunction "PA9") (pintype "bidirectional") (tstamp 5afdccf6-1776-4405-b4a7-904384d85eab))
- (pad "18" smd rect locked (at 2.95 -1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 35 "/RECT2") (pinfunction "PA10") (pintype "bidirectional") (tstamp 1ab837f0-b9d1-4daf-b212-869673cf457c))
- (pad "19" smd rect locked (at 2.95 -2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 16 "/SWDIO") (pinfunction "PA13") (pintype "bidirectional") (tstamp 71254d05-d0e6-4b6c-a751-34ccb5f2060b))
- (pad "20" smd rect locked (at 2.95 -2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 15 "/SWCLK") (pinfunction "PA14") (pintype "bidirectional") (tstamp 18b990f3-15c7-4ac6-b84f-ba615c7a593f))
- (model "${KISYS3DMOD}/Housings_SSOP.3dshapes/TSSOP-20_4.4x6.5mm_Pitch0.65mm.wrl"
- (offset (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
(footprint "Capacitor_THT:CP_Radial_D5.0mm_P2.00mm" (layer "F.Cu")
(tstamp 00000000-0000-0000-0000-00005c2a26df)
(at 158.7 97.725 180)
@@ -1398,7 +1099,7 @@
(pad "1" smd rect locked (at -2 0 90) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
(net 20 "+VSW") (pinfunction "K") (pintype "passive") (tstamp 3f90e501-d1be-4627-b1f5-72620904c294))
(pad "2" smd rect locked (at 2 0 90) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 41 "/VIN_A") (pinfunction "A") (pintype "passive") (tstamp 27bc46a2-e2f1-4974-90fb-e5539e6e9f21))
+ (net 39 "/VIN_A") (pinfunction "A") (pintype "passive") (tstamp 27bc46a2-e2f1-4974-90fb-e5539e6e9f21))
(model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -1463,7 +1164,7 @@
(fp_line (start 2.3 1.5) (end -2.3 1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f7750d3c-d8b7-41cc-8863-59b0b06df41c))
(pad "1" smd rect locked (at -2 0 127) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 41 "/VIN_A") (pinfunction "K") (pintype "passive") (tstamp 8dbca53a-554a-49f7-91c7-fa50548add7a))
+ (net 39 "/VIN_A") (pinfunction "K") (pintype "passive") (tstamp 8dbca53a-554a-49f7-91c7-fa50548add7a))
(pad "2" smd rect locked (at 2 0 127) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
(net 2 "GND") (pinfunction "A") (pintype "passive") (tstamp e0ca4fc9-e880-4135-af14-70979dca5274))
(model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
@@ -1530,7 +1231,7 @@
(fp_line (start 2.3 1.5) (end -2.3 1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e7bd9476-6747-4b72-9d85-79f21f3c6316))
(pad "1" smd rect locked (at -2 0) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 42 "/VIN_B") (pinfunction "K") (pintype "passive") (tstamp a4ad4900-c5c5-4270-9736-f3312366df30))
+ (net 40 "/VIN_B") (pinfunction "K") (pintype "passive") (tstamp a4ad4900-c5c5-4270-9736-f3312366df30))
(pad "2" smd rect locked (at 2 0) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
(net 2 "GND") (pinfunction "A") (pintype "passive") (tstamp 3c5bb9ca-2bfe-4ac5-88ec-6e46b3a25a47))
(model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
@@ -1600,7 +1301,7 @@
(pad "1" smd oval locked (at -2 6 323) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
(net 14 "/Q1") (pinfunction "Pin_1") (pintype "passive") (tstamp d7831d8f-8128-4375-8727-778041aec1a7))
(pad "2" smd oval locked (at 2 6 323) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
- (net 42 "/VIN_B") (pinfunction "Pin_2") (pintype "passive") (tstamp 4a1674f4-f323-46af-9245-ef6ca47c57c0))
+ (net 40 "/VIN_B") (pinfunction "Pin_2") (pintype "passive") (tstamp 4a1674f4-f323-46af-9245-ef6ca47c57c0))
)
(footprint "center:led_tape_3528_2835" (layer "F.Cu")
@@ -1663,7 +1364,7 @@
(pad "1" smd oval locked (at -2 6 37) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
(net 13 "/Q3") (pinfunction "Pin_1") (pintype "passive") (tstamp 91fd154e-7fa2-4241-9054-a9b4b6e5a66f))
(pad "2" smd oval locked (at 2 6 37) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
- (net 41 "/VIN_A") (pinfunction "Pin_2") (pintype "passive") (tstamp a5d000c0-0422-44bd-b083-6fa59aaa7a5d))
+ (net 39 "/VIN_A") (pinfunction "Pin_2") (pintype "passive") (tstamp a5d000c0-0422-44bd-b083-6fa59aaa7a5d))
)
(footprint "Diode_SMD:D_SMA" (layer "F.Cu")
@@ -1829,7 +1530,7 @@
(pad "1" smd roundrect locked (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 28 "Net-(C3-Pad1)") (pintype "passive") (tstamp e7163ba1-42f6-4f37-878e-f5750aea58e2))
(pad "2" smd roundrect locked (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 36 "Net-(U2-BS)") (pintype "passive") (tstamp 16d8d426-74d8-4044-889f-adaadc95add2))
+ (net 35 "Net-(U2-BS)") (pintype "passive") (tstamp 16d8d426-74d8-4044-889f-adaadc95add2))
(model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -2174,11 +1875,11 @@
(pad "5" smd roundrect locked (at 2.475 1.905 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 6 "Net-(U2-FB)") (pinfunction "FB") (pintype "input") (tstamp 9a20c217-106f-45fb-ab40-63575cbd1622))
(pad "6" smd roundrect locked (at 2.475 0.635 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 32 "/TX_EN") (pinfunction "EN") (pintype "input") (tstamp 396e0774-ee60-45a9-af66-a3db0f42e74c))
+ (net 31 "/TX_EN") (pinfunction "EN") (pintype "input") (tstamp 396e0774-ee60-45a9-af66-a3db0f42e74c))
(pad "7" smd roundrect locked (at 2.475 -0.635 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 31 "/TX_POK") (pinfunction "POK") (pintype "input") (tstamp 32a8d238-b409-4e7f-981f-a0aa82c836ad))
+ (net 30 "/TX_POK") (pinfunction "POK") (pintype "input") (tstamp 32a8d238-b409-4e7f-981f-a0aa82c836ad))
(pad "8" smd roundrect locked (at 2.475 -1.905 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 36 "Net-(U2-BS)") (pinfunction "BS") (pintype "input") (tstamp 51a05366-fc03-418d-95ec-b21c10b079fb))
+ (net 35 "Net-(U2-BS)") (pinfunction "BS") (pintype "input") (tstamp 51a05366-fc03-418d-95ec-b21c10b079fb))
(pad "9" smd roundrect locked (at 0 0 180) (size 2.29 3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.109169869)
(net 25 "/ILIM") (pinfunction "ILIM") (pintype "input") (tstamp 46b665bc-ec0c-494f-bc83-df770871abd3))
(model "${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm.wrl"
@@ -2885,7 +2586,7 @@
(pad "1" smd roundrect locked (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "+12V") (pintype "passive") (tstamp 80107b59-03b6-4095-9eb0-7ff0adf095fc))
(pad "2" smd roundrect locked (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 23 "Net-(R12-Pad2)") (pintype "passive") (tstamp 5b5b6810-7e9b-42fe-aa7a-a625e6ee04c4))
+ (net 8 "Net-(Q6-D)") (pintype "passive") (tstamp 5b5b6810-7e9b-42fe-aa7a-a625e6ee04c4))
(model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -2937,7 +2638,7 @@
(pad "1" smd roundrect locked (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "+12V") (pintype "passive") (tstamp b54fddfa-bdcc-4ab0-af63-1666a8f98cfe))
(pad "2" smd roundrect locked (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 24 "Net-(R13-Pad2)") (pintype "passive") (tstamp b6dcaff1-b475-4571-b3a0-7a00dc5a817c))
+ (net 21 "Net-(Q8-D)") (pintype "passive") (tstamp b6dcaff1-b475-4571-b3a0-7a00dc5a817c))
(model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -2989,7 +2690,7 @@
(pad "1" smd roundrect locked (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "+12V") (pintype "passive") (tstamp 23d7f465-f5d0-489a-8554-55bf2f1ff345))
(pad "2" smd roundrect locked (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 30 "Net-(R14-Pad2)") (pintype "passive") (tstamp 417bd333-d5f8-47ea-aa80-4b789d85c63b))
+ (net 23 "Net-(Q10-D)") (pintype "passive") (tstamp 417bd333-d5f8-47ea-aa80-4b789d85c63b))
(model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -3041,7 +2742,7 @@
(pad "1" smd roundrect locked (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "+12V") (pintype "passive") (tstamp 9dbecd1c-6323-4098-a2e9-a453ff70a71b))
(pad "2" smd roundrect locked (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 8 "Net-(R11-Pad2)") (pintype "passive") (tstamp e55a3fa6-422d-4207-9770-899454020b17))
+ (net 4 "Net-(Q4-D)") (pintype "passive") (tstamp e55a3fa6-422d-4207-9770-899454020b17))
(model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -3108,6 +2809,92 @@
)
)
+ (footprint "TestPoint:TestPoint_Pad_1.5x1.5mm" (layer "F.Cu")
+ (tstamp 0244c177-865b-448c-856a-b293998de516)
+ (at 167 76)
+ (descr "SMD rectangular pad as test Point, square 1.5mm side length")
+ (tags "test point SMD pad rectangle square")
+ (property "Sheetfile" "center.kicad_sch")
+ (property "Sheetname" "")
+ (property "ki_description" "test point")
+ (property "ki_keywords" "test point tp")
+ (path "/48d9a037-f6dc-48af-9ccb-b93cfbfc8147")
+ (attr exclude_from_pos_files)
+ (fp_text reference "TP4" (at 0 -1.648) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 5d24a592-983f-4c70-ad30-974b2e13d45c)
+ )
+ (fp_text value "PB7" (at -1.5 0.35 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 31ac60d0-d6ea-4129-bd31-27849c4ea2cd)
+ )
+ (fp_text user "${REFERENCE}" (at 0 -1.65) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 6483aa62-159e-48a3-995f-c6e40174a960)
+ )
+ (fp_line (start -0.95 -0.95) (end 0.95 -0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 118968b4-eea7-4b94-ad92-70b2c688f9c5))
+ (fp_line (start -0.95 0.95) (end -0.95 -0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 31ac6f9e-ae0e-4363-849b-e04b6c5a2764))
+ (fp_line (start 0.95 -0.95) (end 0.95 0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dee45f0e-5602-4d62-a69c-9c1029763e62))
+ (fp_line (start 0.95 0.95) (end -0.95 0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e97230ce-3828-4705-9368-3e69eba53e7f))
+ (fp_line (start -1.25 -1.25) (end -1.25 1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7673f3ca-393b-493b-ac02-8aad646c86e4))
+ (fp_line (start -1.25 -1.25) (end 1.25 -1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5bab84cb-3910-4e82-bbb9-9ced89bbdc48))
+ (fp_line (start 1.25 1.25) (end -1.25 1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4e2b42a5-c83f-41b8-89ce-556ffc717168))
+ (fp_line (start 1.25 1.25) (end 1.25 -1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3aae4b79-2e59-454a-b69c-ce57a568ba3d))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 43 "Net-(U3-PB7/PB8)") (pinfunction "1") (pintype "passive") (tstamp c0d6da80-5c5f-4fd0-bc0b-62c87f3ab3a7))
+ )
+
+ (footprint "TestPoint:TestPoint_Pad_1.5x1.5mm" (layer "F.Cu")
+ (tstamp 056f03e4-c263-49fc-b967-90437d651aa3)
+ (at 179.1 86)
+ (descr "SMD rectangular pad as test Point, square 1.5mm side length")
+ (tags "test point SMD pad rectangle square")
+ (property "Sheetfile" "center.kicad_sch")
+ (property "Sheetname" "")
+ (property "ki_description" "test point")
+ (property "ki_keywords" "test point tp")
+ (path "/7631aaaa-43ec-4000-83ff-460feb9b6a4d")
+ (attr exclude_from_pos_files)
+ (fp_text reference "TP2" (at 0 -1.648) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp c6cc003a-e3f8-4f16-a367-40dfaa92e3a6)
+ )
+ (fp_text value "PA6" (at 2.45 0.1) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 115a31ab-fecb-4d76-9bac-fd06a9d2e931)
+ )
+ (fp_text user "${REFERENCE}" (at 0 -1.65) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp d10fecaa-90f7-42bb-a83c-e846636e22ca)
+ )
+ (fp_line (start -0.95 -0.95) (end 0.95 -0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9c00a346-83b8-4f65-8381-4347392bcb19))
+ (fp_line (start -0.95 0.95) (end -0.95 -0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59249d85-605c-4817-86c6-a0877a20ea1a))
+ (fp_line (start 0.95 -0.95) (end 0.95 0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9cf97c17-6623-4e44-b9b1-0e3797003bf1))
+ (fp_line (start 0.95 0.95) (end -0.95 0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 74abf475-0bcf-46eb-8d1f-c1a629f07d15))
+ (fp_line (start -1.25 -1.25) (end -1.25 1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8f0b7ad4-7ae1-424f-8719-024e7aba45d2))
+ (fp_line (start -1.25 -1.25) (end 1.25 -1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7ddae915-d0f6-4777-a6ac-c302deb1b130))
+ (fp_line (start 1.25 1.25) (end -1.25 1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 63edbb3e-2334-4685-8264-7be9ab4a6372))
+ (fp_line (start 1.25 1.25) (end 1.25 -1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d1927d2a-6f15-4494-bd65-bd9059266f5d))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 42 "Net-(U3-PA6)") (pinfunction "1") (pintype "passive") (tstamp ae61cce0-a794-4ddd-b335-bbcb45d0b954))
+ )
+
(footprint "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu")
(tstamp 07de6a91-266e-4b48-8fae-12b0e68b80e7)
(at 203.3 90.095 90)
@@ -3162,7 +2949,7 @@
(pad "2" smd roundrect locked (at -0.9375 0.95 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp 087fdc3a-3fcf-4120-8ee4-9fa07124b22d))
(pad "3" smd roundrect locked (at 0.9375 0 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 24 "Net-(R13-Pad2)") (pinfunction "D") (pintype "passive") (tstamp 2ceed09b-ecf9-4a5d-ac90-c94e9949e27b))
+ (net 21 "Net-(Q8-D)") (pinfunction "D") (pintype "passive") (tstamp 2ceed09b-ecf9-4a5d-ac90-c94e9949e27b))
(model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -3179,7 +2966,7 @@
(property "Sheetname" "")
(path "/aabfeb48-c9bc-4f58-b5e9-7aa0e294e61e")
(attr smd)
- (fp_text reference "R20" (at 3.05 -0.43 -90) (layer "F.SilkS")
+ (fp_text reference "R20" (at 3.85 -0.38 -90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 979615dc-7b61-4ca3-ba79-9b76f351bf75)
)
@@ -3212,7 +2999,7 @@
(fp_line (start 0.8 0.4125) (end -0.8 0.4125)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 417c0322-240f-4748-b1d7-7e10d83471f1))
(pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 40 "Net-(U4--)") (pintype "passive") (tstamp 14ecefec-2fb8-4a76-b8ff-e1f3d22d504d))
+ (net 38 "Net-(U4--)") (pintype "passive") (tstamp 14ecefec-2fb8-4a76-b8ff-e1f3d22d504d))
(pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "GND") (pintype "passive") (tstamp 73e7d274-cc58-4904-bb95-96172eb46d8a))
(model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
@@ -3264,7 +3051,7 @@
(fp_line (start 0.8 0.4125) (end -0.8 0.4125)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 365217ca-d09b-4e2e-865b-1ae888f69f84))
(pad "1" smd roundrect (at -0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 40 "Net-(U4--)") (pintype "passive") (tstamp b7a11be4-412e-495e-83ec-f6553510fe58))
+ (net 38 "Net-(U4--)") (pintype "passive") (tstamp b7a11be4-412e-495e-83ec-f6553510fe58))
(pad "2" smd roundrect (at 0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 3 "+3V3") (pintype "passive") (tstamp 8af21016-15b7-4758-8436-437abd63db84))
(model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
@@ -3328,7 +3115,7 @@
(pad "2" smd roundrect locked (at -0.9375 0.95) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp 0b2ad9b1-49f6-413d-a780-94f6631248e8))
(pad "3" smd roundrect locked (at 0.9375 0) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 30 "Net-(R14-Pad2)") (pinfunction "D") (pintype "passive") (tstamp 419ce444-b017-49ee-91bd-00b49e2f04c1))
+ (net 23 "Net-(Q10-D)") (pinfunction "D") (pintype "passive") (tstamp 419ce444-b017-49ee-91bd-00b49e2f04c1))
(model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -3337,6 +3124,58 @@
)
(footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu")
+ (tstamp 36950bd8-68e8-4336-ab24-1aa09853a7de)
+ (at 172 76 -90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (property "Sheetfile" "center.kicad_sch")
+ (property "Sheetname" "")
+ (path "/add0d31e-023f-412b-b4e3-406f5dbc629f")
+ (attr smd)
+ (fp_text reference "C11" (at -2.18 -0.75 -180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 226f6c24-c7fe-4078-b630-092c7dc26f36)
+ )
+ (fp_text value "10u" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp e7b1423f-db71-482e-a8a0-714ae15935cb)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ (tstamp 0361bf1d-3707-4129-9812-fd12310ed559)
+ )
+ (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09311956-7991-466f-9a85-397878e53137))
+ (fp_line (start -0.146267 0.51) (end 0.146267 0.51)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dcbf4ab1-79b1-43b4-8180-16031940f64a))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 97cb92c5-129b-490b-bb1a-ea806f1f96c7))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7c6f0f06-1bdc-4ade-b674-46c7f3866207))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4fedd02e-5497-4a32-b506-8dc3e50eb783))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cc038293-12bb-4854-93ea-5f7d526a0484))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4)
+ (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d395fec-0d62-4f5d-b02b-552b3bc916bc))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4)
+ (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b0d0583d-cba7-4b2d-ad82-a3adbf6be024))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4)
+ (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b5cf371f-b062-411d-a18c-f494f47a3995))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4)
+ (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 44f6b870-9648-44b5-865d-bb1bad99af50))
+ (pad "1" smd roundrect (at -0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 3 "+3V3") (pintype "passive") (tstamp 6d391427-a2f3-4f9d-a64c-333b1f4ca50f))
+ (pad "2" smd roundrect (at 0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "GND") (pintype "passive") (tstamp 1c115de4-9dd0-499e-bf6b-8076287cc6e0))
+ (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu")
(tstamp 375bebd6-5a13-4291-977f-4762dcdf75de)
(at 175.85 86.975 180)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
@@ -3345,7 +3184,7 @@
(property "Sheetname" "")
(path "/3a29c16a-9073-48d5-b432-6616ca7a5350")
(attr smd)
- (fp_text reference "C13" (at -3.2 -0.03) (layer "F.SilkS")
+ (fp_text reference "C13" (at 3.65 2.83 180) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 1458899e-b5d3-4805-9491-058655389d7b)
)
@@ -3388,6 +3227,102 @@
)
)
+ (footprint "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu")
+ (tstamp 37bf4d4b-83a8-49c9-b698-28b563aee813)
+ (at 178.1 77.8)
+ (descr "TSSOP, 20 Pin (JEDEC MO-153 Var AC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+ (tags "TSSOP SO")
+ (property "Sheetfile" "center.kicad_sch")
+ (property "Sheetname" "")
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 2-3.6V, 26 GPIO, TSSOP-20")
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line")
+ (path "/f91ac3d8-e10f-41a5-97d3-600c50296cb0")
+ (attr smd)
+ (fp_text reference "U3" (at 0 -4.2) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 9f25456a-697c-4a24-8e56-6cbbc19404f2)
+ )
+ (fp_text value "STM32G030F6Px" (at 0 4.2) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 174fdbd4-ced7-4fcf-af29-c8b2f3d484bb)
+ )
+ (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 68030665-8223-4a98-9fee-676681576b4b)
+ )
+ (fp_line (start 0 -3.385) (end -3.6 -3.385)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aaad7023-b3fd-44bd-bdf4-a6deba6f06eb))
+ (fp_line (start 0 -3.385) (end 2.2 -3.385)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp efd2b794-5f80-4a80-8f23-6fc772cfd9a9))
+ (fp_line (start 0 3.385) (end -2.2 3.385)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f756650e-228a-4cab-b6ca-5bd89f62bd73))
+ (fp_line (start 0 3.385) (end 2.2 3.385)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 552a2bd0-a05d-4dbc-8940-c29e73769d17))
+ (fp_line (start -3.85 -3.5) (end -3.85 3.5)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1119e2ec-2060-4f9d-b407-9508b9b4f630))
+ (fp_line (start -3.85 3.5) (end 3.85 3.5)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9bfb6908-aca1-496b-aadd-14f614735932))
+ (fp_line (start 3.85 -3.5) (end -3.85 -3.5)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72748699-e314-444a-8d9a-4e3c553de675))
+ (fp_line (start 3.85 3.5) (end 3.85 -3.5)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 68823c98-894b-4844-98a9-397fff0b9189))
+ (fp_line (start -2.2 -2.25) (end -1.2 -3.25)
+ (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66ab899e-e0b9-4a05-852f-e089f1588a99))
+ (fp_line (start -2.2 3.25) (end -2.2 -2.25)
+ (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 88645308-01b4-44e1-9b94-b189a391826c))
+ (fp_line (start -1.2 -3.25) (end 2.2 -3.25)
+ (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0842b4d6-5ea1-41fb-83f3-70cfb0542fba))
+ (fp_line (start 2.2 -3.25) (end 2.2 3.25)
+ (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0d6acc5d-c74a-4ddc-8aa0-d1f733462882))
+ (fp_line (start 2.2 3.25) (end -2.2 3.25)
+ (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 647d2e3a-65f2-45a6-812a-730cd052d089))
+ (pad "1" smd roundrect (at -2.8625 -2.925) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 43 "Net-(U3-PB7/PB8)") (pinfunction "PB7/PB8") (pintype "bidirectional") (tstamp fb57e28d-e1b0-49c8-a9b8-bf6db7fb5105))
+ (pad "2" smd roundrect (at -2.8625 -2.275) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 36 "Net-(U3-PC14/PB9)") (pinfunction "PC14/PB9") (pintype "input") (tstamp 1c91f6b8-6caf-4d00-b9c4-6af29d16e4da))
+ (pad "3" smd roundrect (at -2.8625 -1.625) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 34 "/RECT2") (pinfunction "PC15") (pintype "bidirectional") (tstamp 0b84467a-e0ab-4a74-befa-6d78c2bb867e))
+ (pad "4" smd roundrect (at -2.8625 -0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 3 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 6b641075-84d4-4c39-842a-dd62217e08d5))
+ (pad "5" smd roundrect (at -2.8625 -0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 9db8cca1-24c8-4710-bc94-c8dfe3ac70b6))
+ (pad "6" smd roundrect (at -2.8625 0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 24 "Net-(R7-Pad1)") (pinfunction "NRST") (pintype "input") (tstamp dac2f9ed-e5f7-4992-a9ca-b8b2a459f921))
+ (pad "7" smd roundrect (at -2.8625 0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 18 "/LOAD") (pinfunction "PA0") (pintype "bidirectional") (tstamp dab80c4d-aa40-444b-94c4-463a16f0cafb))
+ (pad "8" smd roundrect (at -2.8625 1.625) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 7 "/Vmeas_A") (pinfunction "PA1") (pintype "bidirectional") (tstamp 4bf97b85-4448-4087-81d4-dce4341660a8))
+ (pad "9" smd roundrect (at -2.8625 2.275) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 17 "/CH0") (pinfunction "PA2") (pintype "bidirectional") (tstamp 8880944e-8e0f-4d98-9ce7-ac27bc9e5732))
+ (pad "10" smd roundrect (at -2.8625 2.925) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 10 "/CH3") (pinfunction "PA3") (pintype "bidirectional") (tstamp fd719ed6-8981-4fba-ad1e-723bbbb6307f))
+ (pad "11" smd roundrect (at 2.8625 2.925) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 32 "/Vdiff") (pinfunction "PA4") (pintype "bidirectional") (tstamp 1dd8057d-a793-432e-8f09-c898fba0ffe9))
+ (pad "12" smd roundrect (at 2.8625 2.275) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 9 "/CH2") (pinfunction "PA5") (pintype "bidirectional") (tstamp 883912a7-643b-462c-a70d-83b42a3a7f42))
+ (pad "13" smd roundrect (at 2.8625 1.625) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 42 "Net-(U3-PA6)") (pinfunction "PA6") (pintype "bidirectional") (tstamp 765cfeca-d042-4479-8896-9b6c290511d9))
+ (pad "14" smd roundrect (at 2.8625 0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 30 "/TX_POK") (pinfunction "PA7") (pintype "bidirectional") (tstamp 37715393-01fc-45b0-adf3-a9081a301286))
+ (pad "15" smd roundrect (at 2.8625 0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 31 "/TX_EN") (pinfunction "PB0/PB1/PB2/PA8") (pintype "bidirectional") (tstamp 9aaf4c1c-649f-45b7-aea0-12133eec67dc))
+ (pad "16" smd roundrect (at 2.8625 -0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 33 "/RECT1") (pinfunction "PA11/PA9") (pintype "bidirectional") (tstamp e8cd40fe-3f6c-4a10-94e8-5836c77e446b))
+ (pad "17" smd roundrect (at 2.8625 -0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 41 "Net-(U3-PA12/PA10)") (pinfunction "PA12/PA10") (pintype "bidirectional") (tstamp a6deb8a8-c43b-4b29-b88d-17bf0bf8ad97))
+ (pad "18" smd roundrect (at 2.8625 -1.625) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 16 "/SWDIO") (pinfunction "PA13") (pintype "bidirectional") (tstamp 66d0a240-2da2-4a97-92b1-2648fd12d7d9))
+ (pad "19" smd roundrect (at 2.8625 -2.275) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 15 "/SWCLK") (pinfunction "PA14/PA15") (pintype "bidirectional") (tstamp 0500de38-6149-46b9-aaba-ae3f1212b2e0))
+ (pad "20" smd roundrect (at 2.8625 -2.925) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 19 "/CH1") (pinfunction "PB3/PB4/PB5/PB6") (pintype "bidirectional") (tstamp b6cf6fb4-8817-41b2-8a93-a0b7623553d4))
+ (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl"
+ (offset (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
(footprint "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu")
(tstamp 37dd91a1-d482-4079-a6df-c00c7c033c37)
(at 161.675 91.25 90)
@@ -3438,7 +3373,7 @@
(fp_line (start 0.65 1.45) (end -0.65 1.45)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 68602146-b4ed-4e1c-a939-e38101c85296))
(pad "1" smd roundrect locked (at -0.9375 -0.95 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 30 "Net-(R14-Pad2)") (pinfunction "G") (pintype "input") (tstamp 5d756f65-7430-42fd-823e-3aed0f58908d))
+ (net 23 "Net-(Q10-D)") (pinfunction "G") (pintype "input") (tstamp 5d756f65-7430-42fd-823e-3aed0f58908d))
(pad "2" smd roundrect locked (at -0.9375 0.95 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "+12V") (pinfunction "S") (pintype "passive") (tstamp 42937cbb-5167-4c08-84ae-034c6d364c34))
(pad "3" smd roundrect locked (at 0.9375 0 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
@@ -3450,6 +3385,92 @@
)
)
+ (footprint "TestPoint:TestPoint_Pad_1.5x1.5mm" (layer "F.Cu")
+ (tstamp 3cd9347f-74db-4bde-9822-1f2198a7c3a3)
+ (at 170.9 70.2)
+ (descr "SMD rectangular pad as test Point, square 1.5mm side length")
+ (tags "test point SMD pad rectangle square")
+ (property "Sheetfile" "center.kicad_sch")
+ (property "Sheetname" "")
+ (property "ki_description" "test point")
+ (property "ki_keywords" "test point tp")
+ (path "/bfe27944-af05-48a3-9640-824c4594e485")
+ (attr exclude_from_pos_files)
+ (fp_text reference "TP1" (at 0 -1.648) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp e732a3b5-81e0-40fd-a719-38d345fa14e0)
+ )
+ (fp_text value "PA12" (at 0 1.75) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 8b47667c-f0bf-4dec-9273-6abfd3ffde04)
+ )
+ (fp_text user "${REFERENCE}" (at 0 -1.65) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp a5232d15-80ab-4558-b5e6-ee269ae22ad1)
+ )
+ (fp_line (start -0.95 -0.95) (end 0.95 -0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e83ab6d-7383-4729-b9ef-736c0f9e7f6a))
+ (fp_line (start -0.95 0.95) (end -0.95 -0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 264177d2-1185-4430-91e1-b171da49ac23))
+ (fp_line (start 0.95 -0.95) (end 0.95 0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d8576e23-d7b1-46f8-bdb9-629a74c8a2fe))
+ (fp_line (start 0.95 0.95) (end -0.95 0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e25ebf2-c490-4977-b570-7d0e4fe9c38c))
+ (fp_line (start -1.25 -1.25) (end -1.25 1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8983a902-b6c4-411f-8293-1fcd873daef3))
+ (fp_line (start -1.25 -1.25) (end 1.25 -1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 511a966f-5cff-4f68-9669-aaac6fa12477))
+ (fp_line (start 1.25 1.25) (end -1.25 1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fef578f8-8d3a-492a-9c4f-e2267bad91a3))
+ (fp_line (start 1.25 1.25) (end 1.25 -1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 378b5ff6-a2c0-43f9-87a5-e6b30ffbe953))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 41 "Net-(U3-PA12/PA10)") (pinfunction "1") (pintype "passive") (tstamp 467ef2db-3a61-4716-9d0a-90c1d40c8d0c))
+ )
+
+ (footprint "TestPoint:TestPoint_Pad_1.5x1.5mm" (layer "F.Cu")
+ (tstamp 431a3654-aaed-4f82-8fdc-2aa88e5e6f82)
+ (at 185.35 83.6)
+ (descr "SMD rectangular pad as test Point, square 1.5mm side length")
+ (tags "test point SMD pad rectangle square")
+ (property "Sheetfile" "center.kicad_sch")
+ (property "Sheetname" "")
+ (property "ki_description" "test point")
+ (property "ki_keywords" "test point tp")
+ (path "/b9acfe7d-3624-444e-a270-0fd85ca9f91d")
+ (attr exclude_from_pos_files)
+ (fp_text reference "TP6" (at 0 -1.648) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp b077934f-1029-4741-8ea9-723d0874dd83)
+ )
+ (fp_text value "RECT1" (at -2.95 1.3) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 5f58130d-132d-42b4-9582-ab8ca8707dd4)
+ )
+ (fp_text user "${REFERENCE}" (at 0 -1.65) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 3518aca2-3b42-49fb-80f4-7b5c46894b98)
+ )
+ (fp_line (start -0.95 -0.95) (end 0.95 -0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f7481dc0-61c7-499a-8f01-a4f6f1a1041b))
+ (fp_line (start -0.95 0.95) (end -0.95 -0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2337b200-b921-4b3f-ab12-96a87a5a28e0))
+ (fp_line (start 0.95 -0.95) (end 0.95 0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4617a6ac-5bd3-4dcf-8386-87f9f8affd09))
+ (fp_line (start 0.95 0.95) (end -0.95 0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e36026e-88cb-46ee-8fbf-80d315829ecb))
+ (fp_line (start -1.25 -1.25) (end -1.25 1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 91ddefb4-bc18-4e30-a754-a5ecd1626974))
+ (fp_line (start -1.25 -1.25) (end 1.25 -1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8274cbe1-e1b8-49ef-b4a4-31c7d34d7053))
+ (fp_line (start 1.25 1.25) (end -1.25 1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp eac3151b-2cda-40a8-88ac-f21df34e08bb))
+ (fp_line (start 1.25 1.25) (end 1.25 -1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 756ab054-a0f7-4c24-b9b3-c8bf0214d1cf))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 33 "/RECT1") (pinfunction "1") (pintype "passive") (tstamp 89603aac-aa77-446e-9387-9140e10e577b))
+ )
+
(footprint "Diode_SMD:D_SOD-323_HandSoldering" (layer "F.Cu")
(tstamp 4388999a-40fc-4ec1-9f0f-11d2140e8a33)
(at 151.8 84.05 -90)
@@ -3509,7 +3530,7 @@
(fp_line (start 0.9 0.7) (end -0.9 0.7)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a742925e-3f1d-4afd-95dc-89384014050f))
(pad "1" smd rect (at -1.25 0 270) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 35 "/RECT2") (pinfunction "K") (pintype "passive") (tstamp f17e0428-8144-4ff4-ade0-938ae9ab9d05))
+ (net 34 "/RECT2") (pinfunction "K") (pintype "passive") (tstamp f17e0428-8144-4ff4-ade0-938ae9ab9d05))
(pad "2" smd rect (at 1.25 0 270) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask")
(net 2 "GND") (pinfunction "A") (pintype "passive") (tstamp c88a92cb-c5c3-4d0a-af51-95e22d7027b7))
(model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-323.wrl"
@@ -3573,7 +3594,7 @@
(pad "2" smd roundrect locked (at -0.9375 0.95 180) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp 4cd42578-3459-41b1-ba34-12c08377f6a6))
(pad "3" smd roundrect locked (at 0.9375 0 180) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 8 "Net-(R11-Pad2)") (pinfunction "D") (pintype "passive") (tstamp d70ab426-fd97-40d3-b5bd-9af12f2cbac4))
+ (net 4 "Net-(Q4-D)") (pinfunction "D") (pintype "passive") (tstamp d70ab426-fd97-40d3-b5bd-9af12f2cbac4))
(model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -3593,7 +3614,7 @@
(property "Sheetname" "")
(path "/e1bf02ea-143c-4d11-a667-05b04efa654b")
(attr smd)
- (fp_text reference "D9" (at -2.05 1.7) (layer "F.SilkS")
+ (fp_text reference "D9" (at -3.05 0.15) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 843b3cc3-994b-406a-ac4f-b8e6ae405ec1)
)
@@ -3640,7 +3661,7 @@
(fp_line (start 0.9 0.7) (end -0.9 0.7)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16ad06a6-1047-4181-8484-b904acdd6a15))
(pad "1" smd rect (at -1.25 0) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 34 "/RECT1") (pinfunction "K") (pintype "passive") (tstamp 09010c4f-5e8a-4c41-9f32-74ea2695ed1c))
+ (net 33 "/RECT1") (pinfunction "K") (pintype "passive") (tstamp 09010c4f-5e8a-4c41-9f32-74ea2695ed1c))
(pad "2" smd rect (at 1.25 0) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask")
(net 2 "GND") (pinfunction "A") (pintype "passive") (tstamp f0105ec4-1400-46b3-8511-f9e8bda92b2c))
(model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-323.wrl"
@@ -3650,6 +3671,49 @@
)
)
+ (footprint "TestPoint:TestPoint_Pad_1.5x1.5mm" (layer "F.Cu")
+ (tstamp 517c0b16-d2e5-42b4-a875-cbbf64a3a3d9)
+ (at 168.3 78.6)
+ (descr "SMD rectangular pad as test Point, square 1.5mm side length")
+ (tags "test point SMD pad rectangle square")
+ (property "Sheetfile" "center.kicad_sch")
+ (property "Sheetname" "")
+ (property "ki_description" "test point")
+ (property "ki_keywords" "test point tp")
+ (path "/5b730b53-80c0-4f9e-8483-157934fa2ed2")
+ (attr exclude_from_pos_files)
+ (fp_text reference "TP5" (at 0 -1.648) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 3bb5ef1a-43cd-4fd9-a9b2-4c68a17d343b)
+ )
+ (fp_text value "RECT2" (at 3.55 -0.1) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp c4299a70-09c8-407f-bca3-a77858a60649)
+ )
+ (fp_text user "${REFERENCE}" (at 0 -1.65) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp a7a0444a-4707-4f0e-86bd-b580c8936069)
+ )
+ (fp_line (start -0.95 -0.95) (end 0.95 -0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce3232a2-d2ae-4895-95a5-722212abf2aa))
+ (fp_line (start -0.95 0.95) (end -0.95 -0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9fcac141-a624-4006-9da7-857792bab0ad))
+ (fp_line (start 0.95 -0.95) (end 0.95 0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d5e1e29a-237c-4da0-9f3b-25a682ab2422))
+ (fp_line (start 0.95 0.95) (end -0.95 0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3c000873-732e-455a-8a75-3dd6f8564f4b))
+ (fp_line (start -1.25 -1.25) (end -1.25 1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2c7159c1-af7c-4553-8fe2-1145b7f8dd09))
+ (fp_line (start -1.25 -1.25) (end 1.25 -1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f7810e58-09bb-4087-ae15-cd91711b855f))
+ (fp_line (start 1.25 1.25) (end -1.25 1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6c64c5e2-3256-4543-b7c3-9f31f52a9640))
+ (fp_line (start 1.25 1.25) (end 1.25 -1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4a400423-4c0f-43e5-879c-d3ae2da0e12a))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 34 "/RECT2") (pinfunction "1") (pintype "passive") (tstamp 4beb7f95-9b6b-484a-b244-0effc8c9a79c))
+ )
+
(footprint "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu")
(tstamp 554750cc-4534-4c02-ba7b-fa60dfcf82b6)
(at 203.275 83.87 90)
@@ -3700,7 +3764,7 @@
(fp_line (start 0.65 1.45) (end -0.65 1.45)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 843e0610-74f0-4f28-ab5c-ae0f5a4eb0da))
(pad "1" smd roundrect locked (at -0.9375 -0.95 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 24 "Net-(R13-Pad2)") (pinfunction "G") (pintype "input") (tstamp 70b73828-f675-4afc-b1d6-f5777f40851a))
+ (net 21 "Net-(Q8-D)") (pinfunction "G") (pintype "input") (tstamp 70b73828-f675-4afc-b1d6-f5777f40851a))
(pad "2" smd roundrect locked (at -0.9375 0.95 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "+12V") (pinfunction "S") (pintype "passive") (tstamp d3287247-aabb-4b3b-a02f-6eb7bec66970))
(pad "3" smd roundrect locked (at 0.9375 0 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
@@ -3762,7 +3826,7 @@
(fp_line (start 0.65 1.45) (end -0.65 1.45)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 83d4852e-f5c3-4962-90c8-c9b7d97b3a23))
(pad "1" smd roundrect locked (at -0.9375 -0.95) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 23 "Net-(R12-Pad2)") (pinfunction "G") (pintype "input") (tstamp 3a5035be-2222-4379-9bfd-a84b445b9f09))
+ (net 8 "Net-(Q6-D)") (pinfunction "G") (pintype "input") (tstamp 3a5035be-2222-4379-9bfd-a84b445b9f09))
(pad "2" smd roundrect locked (at -0.9375 0.95) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "+12V") (pinfunction "S") (pintype "passive") (tstamp b1120e12-431f-4a87-a029-60edff8b2e1a))
(pad "3" smd roundrect locked (at 0.9375 0) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
@@ -3783,7 +3847,7 @@
(property "Sheetname" "")
(path "/f1181824-68e0-45a5-ae7e-ae63db9d6233")
(attr smd)
- (fp_text reference "R15" (at -3.2 -0.03) (layer "F.SilkS")
+ (fp_text reference "R15" (at 0.55 1.22) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 3e8e0322-37e6-4728-81f5-c777adb24721)
)
@@ -3868,7 +3932,7 @@
(fp_line (start 0.8 0.4125) (end -0.8 0.4125)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f574d234-c7e9-4b76-a235-2257ef564d43))
(pad "1" smd roundrect (at -0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 34 "/RECT1") (pintype "passive") (tstamp ab769aae-a762-424c-abd1-d001d3e688ba))
+ (net 33 "/RECT1") (pintype "passive") (tstamp ab769aae-a762-424c-abd1-d001d3e688ba))
(pad "2" smd roundrect (at 0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "GND") (pintype "passive") (tstamp 0b54cf68-ddff-4a7f-a370-daafd7fe5ecd))
(model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
@@ -3920,9 +3984,9 @@
(fp_line (start 0.8 0.4125) (end -0.8 0.4125)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7b1c064c-f0b3-42ba-97ff-33141227741d))
(pad "1" smd roundrect (at -0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 40 "Net-(U4--)") (pintype "passive") (tstamp c40b42cc-8203-4c3d-aebf-b51d15bfa221))
+ (net 38 "Net-(U4--)") (pintype "passive") (tstamp c40b42cc-8203-4c3d-aebf-b51d15bfa221))
(pad "2" smd roundrect (at 0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 42 "/VIN_B") (pintype "passive") (tstamp 886c6495-322c-48aa-a737-9fca5d59388b))
+ (net 40 "/VIN_B") (pintype "passive") (tstamp 886c6495-322c-48aa-a737-9fca5d59388b))
(model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -3980,7 +4044,7 @@
(fp_line (start 0.65 1.45) (end -0.65 1.45)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0c12ea8f-108b-4a16-8f40-c442b82cebed))
(pad "1" smd roundrect locked (at -0.9375 -0.95 180) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 8 "Net-(R11-Pad2)") (pinfunction "G") (pintype "input") (tstamp 96a36d02-b444-4aeb-ae30-f42f2d2542ac))
+ (net 4 "Net-(Q4-D)") (pinfunction "G") (pintype "input") (tstamp 96a36d02-b444-4aeb-ae30-f42f2d2542ac))
(pad "2" smd roundrect locked (at -0.9375 0.95 180) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "+12V") (pinfunction "S") (pintype "passive") (tstamp ba710bee-d787-49d4-adbf-2aa13c10fce3))
(pad "3" smd roundrect locked (at 0.9375 0 180) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
@@ -4046,7 +4110,7 @@
(pad "2" smd roundrect locked (at -0.9375 0.95 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp b97465b1-5d3d-4a7f-b0af-1de40e83361e))
(pad "3" smd roundrect locked (at 0.9375 0 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 23 "Net-(R12-Pad2)") (pinfunction "D") (pintype "passive") (tstamp 91bd7ea8-95f7-44b3-9491-5a9790c4abec))
+ (net 8 "Net-(Q6-D)") (pinfunction "D") (pintype "passive") (tstamp 91bd7ea8-95f7-44b3-9491-5a9790c4abec))
(model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -4104,11 +4168,11 @@
(fp_line (start 0.65 1.45) (end -0.65 1.45)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c53ae04a-518e-4b1a-a2a4-c219a79a28c5))
(pad "1" smd roundrect (at -0.9375 -0.95) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 34 "/RECT1") (pinfunction "G") (pintype "input") (tstamp 0927c23b-18fe-4005-91c7-f352fedbc25f))
+ (net 33 "/RECT1") (pinfunction "G") (pintype "input") (tstamp 0927c23b-18fe-4005-91c7-f352fedbc25f))
(pad "2" smd roundrect (at -0.9375 0.95) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp df32ab29-d87a-4e27-ba67-f6097be11c8b))
(pad "3" smd roundrect (at 0.9375 0) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 42 "/VIN_B") (pinfunction "D") (pintype "passive") (tstamp 1cee1b44-ede8-44e3-9828-72f5270bdc98))
+ (net 40 "/VIN_B") (pinfunction "D") (pintype "passive") (tstamp 1cee1b44-ede8-44e3-9828-72f5270bdc98))
(model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -4158,7 +4222,7 @@
(fp_line (start 0.8 0.4125) (end -0.8 0.4125)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eacab79f-3468-4d85-bfb2-0f26c114d13a))
(pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 35 "/RECT2") (pintype "passive") (tstamp 2b79411b-05d0-4dfc-ab09-47f9d5923ff3))
+ (net 34 "/RECT2") (pintype "passive") (tstamp 2b79411b-05d0-4dfc-ab09-47f9d5923ff3))
(pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "GND") (pintype "passive") (tstamp 0ae70bda-ea90-4481-9943-5a62e331423a))
(model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
@@ -4218,11 +4282,11 @@
(fp_line (start 0.65 1.45) (end -0.65 1.45)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1171ebab-b9f8-4c7e-a106-a103ad9cd38c))
(pad "1" smd roundrect (at -0.9375 -0.95) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 35 "/RECT2") (pinfunction "G") (pintype "input") (tstamp 7add99fb-68b6-444c-ade1-a293d1c6b5f3))
+ (net 34 "/RECT2") (pinfunction "G") (pintype "input") (tstamp 7add99fb-68b6-444c-ade1-a293d1c6b5f3))
(pad "2" smd roundrect (at -0.9375 0.95) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp b44d5715-4a0f-42b2-b44b-f95e0344c67d))
(pad "3" smd roundrect (at 0.9375 0) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 41 "/VIN_A") (pinfunction "D") (pintype "passive") (tstamp 2d2ea939-909b-43a2-be4e-d809dcd0ead3))
+ (net 39 "/VIN_A") (pinfunction "D") (pintype "passive") (tstamp 2d2ea939-909b-43a2-be4e-d809dcd0ead3))
(model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -4272,9 +4336,9 @@
(fp_line (start 0.8 0.4125) (end -0.8 0.4125)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bf7b6899-fb31-4b5d-bf9b-3e40edf7a94f))
(pad "1" smd roundrect (at -0.9125 0) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 33 "/Vdiff") (pintype "passive") (tstamp 34c77173-65f9-404e-8f94-3fd270e1e602))
+ (net 32 "/Vdiff") (pintype "passive") (tstamp 34c77173-65f9-404e-8f94-3fd270e1e602))
(pad "2" smd roundrect (at 0.9125 0) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 39 "Net-(U4-+)") (pintype "passive") (tstamp 8694ca2c-9dc5-480e-a9da-7d5d18de400b))
+ (net 37 "Net-(U4-+)") (pintype "passive") (tstamp 8694ca2c-9dc5-480e-a9da-7d5d18de400b))
(model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -4332,13 +4396,13 @@
(fp_line (start 0.8 1.45) (end -0.8 1.45)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ece4dadf-466e-4e18-be0c-06ed8347129c))
(pad "1" smd roundrect locked (at -1.1375 -0.95 90) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 33 "/Vdiff") (pintype "output") (tstamp ff6cd671-13e8-4ece-83c5-39f3209dcd8e))
+ (net 32 "/Vdiff") (pintype "output") (tstamp ff6cd671-13e8-4ece-83c5-39f3209dcd8e))
(pad "2" smd roundrect locked (at -1.1375 0 90) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "GND") (pinfunction "V-") (pintype "power_in") (tstamp a689e2a8-c202-4eb2-82e8-203892a1278e))
(pad "3" smd roundrect locked (at -1.1375 0.95 90) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 39 "Net-(U4-+)") (pinfunction "+") (pintype "input") (tstamp 0eb67c7f-efde-427a-98ef-33c55255102a))
+ (net 37 "Net-(U4-+)") (pinfunction "+") (pintype "input") (tstamp 0eb67c7f-efde-427a-98ef-33c55255102a))
(pad "4" smd roundrect locked (at 1.1375 0.95 90) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 40 "Net-(U4--)") (pinfunction "-") (pintype "input") (tstamp 54193ccd-adb0-4522-a9a9-7d212d2ca16c))
+ (net 38 "Net-(U4--)") (pinfunction "-") (pintype "input") (tstamp 54193ccd-adb0-4522-a9a9-7d212d2ca16c))
(pad "5" smd roundrect locked (at 1.1375 -0.95 90) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 3 "+3V3") (pinfunction "V+") (pintype "power_in") (tstamp 773210cd-8c82-4136-a3eb-a6f80476630a))
(model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl"
@@ -4410,6 +4474,49 @@
)
)
+ (footprint "TestPoint:TestPoint_Pad_1.5x1.5mm" (layer "F.Cu")
+ (tstamp d5b62a2d-50ca-4ccc-9b8f-5ad0dca593e8)
+ (at 167 73)
+ (descr "SMD rectangular pad as test Point, square 1.5mm side length")
+ (tags "test point SMD pad rectangle square")
+ (property "Sheetfile" "center.kicad_sch")
+ (property "Sheetname" "")
+ (property "ki_description" "test point")
+ (property "ki_keywords" "test point tp")
+ (path "/a1726701-fcaf-4acd-a5d3-a0fa3a7cad68")
+ (attr exclude_from_pos_files)
+ (fp_text reference "TP3" (at 0 -1.648) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 0fa1700b-f0b0-4fe7-9f5a-be3027efd3f6)
+ )
+ (fp_text value "PC14" (at -1.9 0.05 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 15de281d-a1de-47c7-9131-a5cc42311dba)
+ )
+ (fp_text user "${REFERENCE}" (at 0 -1.65) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 2e29cec3-2157-40b4-8d0e-e1417d21e5b2)
+ )
+ (fp_line (start -0.95 -0.95) (end 0.95 -0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bedf109e-ddeb-4346-8f87-95bb6aec6f69))
+ (fp_line (start -0.95 0.95) (end -0.95 -0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8b76875f-a0f4-4a67-a28a-b04b271bbd22))
+ (fp_line (start 0.95 -0.95) (end 0.95 0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 198ef127-c995-41e1-8700-91869c099933))
+ (fp_line (start 0.95 0.95) (end -0.95 0.95)
+ (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec93dbaa-2bb5-4013-aa37-da5836042224))
+ (fp_line (start -1.25 -1.25) (end -1.25 1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6db234b9-04b0-4f37-a541-cfefa0986f5a))
+ (fp_line (start -1.25 -1.25) (end 1.25 -1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 53f6672d-b02b-47f4-9b4a-1be229fd7f58))
+ (fp_line (start 1.25 1.25) (end -1.25 1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7617511b-19dc-4d62-911a-01d6d0a4538d))
+ (fp_line (start 1.25 1.25) (end 1.25 -1.25)
+ (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 30521a44-9893-408b-b641-126fc1338b8f))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 36 "Net-(U3-PC14/PB9)") (pinfunction "1") (pintype "passive") (tstamp d0389cb9-6991-4aa7-b5f3-d43426b3696c))
+ )
+
(footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu")
(tstamp df70ede3-d175-4b09-9b25-2f6536c79f3d)
(at 198.0125 84.825)
@@ -4556,9 +4663,9 @@
(fp_line (start 0.8 0.4125) (end -0.8 0.4125)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3f0b3baf-bc4d-493b-9efe-7e0abd64294a))
(pad "1" smd roundrect (at -0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 41 "/VIN_A") (pintype "passive") (tstamp e7d5c202-0468-4b68-a175-bf219d92b1d2))
+ (net 39 "/VIN_A") (pintype "passive") (tstamp e7d5c202-0468-4b68-a175-bf219d92b1d2))
(pad "2" smd roundrect (at 0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 39 "Net-(U4-+)") (pintype "passive") (tstamp 59220601-932f-410a-86a2-8bf4dc9ebe51))
+ (net 37 "Net-(U4-+)") (pintype "passive") (tstamp 59220601-932f-410a-86a2-8bf4dc9ebe51))
(model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@@ -5150,6 +5257,8 @@
)
)
+ (gr_line (start 174.15 86.25) (end 173.3 84.8)
+ (stroke (width 0.15) (type default)) (layer "F.SilkS") (tstamp 791d8e43-89dd-4d77-bee5-79c8c7f5dbc7))
(gr_line (start 205.95 109.225) (end 205.95 86.725)
(stroke (width 0.1) (type solid)) (layer "Dwgs.User") (tstamp 00452949-3f6a-4757-b83b-e5da814ffed4))
(gr_line (start 171.2 90.975) (end 184.7 90.975)
@@ -5340,7 +5449,7 @@
(stroke (width 0.2) (type solid)) (layer "Edge.Cuts") (tstamp fb41711d-128e-4767-b7cb-02764255fff7))
(gr_curve (pts (xy 159.757247 132.669029) (xy 159.757247 132.669029) (xy 160.810413 131.271699) (xy 160.810413 131.271699))
(stroke (width 0.2) (type solid)) (layer "Edge.Cuts") (tstamp fc051803-fc31-41b1-8afb-85315da3269f))
- (gr_text "↑UP↑" (at 177.95 83.975) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005c2a4e04)
+ (gr_text locked "↑UP↑" (at 177.95 83.975) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005c2a4e04)
(effects (font (size 3 3) (thickness 0.6)) (justify mirror))
)
(gr_text "CK" (at 179.2 73.23) (layer "B.SilkS") (tstamp 894b0c6e-71fd-46d0-96ac-81a2a88ae02f)
@@ -5364,527 +5473,567 @@
(gr_text "▶" (at 193.35 107.675 307) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005de80428)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text "8seg R04" (at 166.056995 114.061317 53) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005de93595)
+ (gr_text "8seg R05" (at 166.056995 114.061317 53) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005de93595)
(effects (font (face "Fredoka One") (size 2.5 2.5) (thickness 0.4)))
- (render_cache "8seg R04" 53
+ (render_cache "8seg R05" 53
(polygon
(pts
- (xy 161.611027 117.951567) (xy 161.650693 117.955429) (xy 161.68996 117.96147) (xy 161.728829 117.969689)
- (xy 161.767298 117.980086) (xy 161.805368 117.992661) (xy 161.843039 118.007415) (xy 161.880311 118.024347)
- (xy 161.917184 118.043457) (xy 161.953658 118.064745) (xy 161.989733 118.088211) (xy 162.02541 118.113855)
- (xy 162.046064 118.130423) (xy 162.065845 118.14834) (xy 162.084753 118.167605) (xy 162.102788 118.18822)
- (xy 162.11995 118.210184) (xy 162.136238 118.233496) (xy 162.151654 118.258158) (xy 162.166196 118.284169)
- (xy 162.179593 118.310177) (xy 162.191634 118.335258) (xy 162.202318 118.359413) (xy 162.211646 118.382641)
- (xy 162.221398 118.410373) (xy 162.22903 118.436657) (xy 162.234543 118.461494) (xy 162.235391 118.466287)
- (xy 162.248653 118.542033) (xy 162.272802 118.536355) (xy 162.297884 118.531495) (xy 162.322623 118.527386)
- (xy 162.349968 118.523412) (xy 162.354087 118.522855) (xy 162.382302 118.520381) (xy 162.410985 118.520123)
- (xy 162.437316 118.521096) (xy 162.466656 118.523117) (xy 162.499005 118.526187) (xy 162.525241 118.529178)
- (xy 162.553169 118.532759) (xy 162.58279 118.53693) (xy 162.612931 118.54188) (xy 162.642284 118.547984)
- (xy 162.670849 118.555241) (xy 162.698626 118.563651) (xy 162.725615 118.573214) (xy 162.751815 118.583931)
- (xy 162.777227 118.5958) (xy 162.80185 118.608822) (xy 162.825685 118.622998) (xy 162.848732 118.638327)
- (xy 162.863659 118.649187) (xy 162.88306 118.664157) (xy 162.920132 118.694901) (xy 162.954899 118.726714)
- (xy 162.98736 118.759597) (xy 163.017516 118.793551) (xy 163.045366 118.828574) (xy 163.07091 118.864668)
- (xy 163.094149 118.901831) (xy 163.115083 118.940065) (xy 163.133711 118.979368) (xy 163.150033 119.019742)
- (xy 163.164049 119.061185) (xy 163.175761 119.103699) (xy 163.185166 119.147282) (xy 163.192266 119.191936)
- (xy 163.19706 119.237659) (xy 163.198593 119.260922) (xy 163.200138 119.30728) (xy 163.199827 119.352978)
- (xy 163.197658 119.398018) (xy 163.193632 119.442399) (xy 163.187749 119.486122) (xy 163.180009 119.529185)
- (xy 163.170412 119.57159) (xy 163.158958 119.613337) (xy 163.145647 119.654424) (xy 163.130479 119.694853)
- (xy 163.113454 119.734623) (xy 163.094572 119.773735) (xy 163.073832 119.812187) (xy 163.051236 119.849981)
- (xy 163.026783 119.887117) (xy 163.000472 119.923593) (xy 162.972663 119.958944) (xy 162.943714 119.9927)
- (xy 162.913624 120.024862) (xy 162.882394 120.05543) (xy 162.850024 120.084405) (xy 162.816513 120.111785)
- (xy 162.781862 120.137571) (xy 162.746071 120.161764) (xy 162.709139 120.184362) (xy 162.671067 120.205366)
- (xy 162.631855 120.224777) (xy 162.591503 120.242593) (xy 162.55001 120.258816) (xy 162.507377 120.273444)
- (xy 162.463603 120.286478) (xy 162.418689 120.297919) (xy 162.373431 120.307236) (xy 162.328533 120.314023)
- (xy 162.283994 120.31828) (xy 162.239815 120.320006) (xy 162.195995 120.319202) (xy 162.152536 120.315867)
- (xy 162.109436 120.310003) (xy 162.066695 120.301608) (xy 162.024315 120.290682) (xy 161.982294 120.277226)
- (xy 161.940633 120.26124) (xy 161.899332 120.242724) (xy 161.85839 120.221677) (xy 161.817808 120.198099)
- (xy 161.777586 120.171992) (xy 161.737723 120.143354) (xy 161.716041 120.126223) (xy 161.69525 120.108177)
- (xy 161.67535 120.089216) (xy 161.65634 120.069341) (xy 161.638222 120.048551) (xy 161.620994 120.026847)
- (xy 161.604657 120.004228) (xy 161.589211 119.980694) (xy 161.574655 119.956246) (xy 161.560991 119.930883)
- (xy 161.552376 119.913466) (xy 161.540185 119.887384) (xy 161.529046 119.862135) (xy 161.51896 119.837719)
- (xy 161.509925 119.814137) (xy 161.499516 119.783989) (xy 161.490978 119.755322) (xy 161.484309 119.728137)
- (xy 161.479511 119.702433) (xy 161.476584 119.67821) (xy 161.467406 119.578782) (xy 161.44144 119.584087)
- (xy 161.41597 119.588578) (xy 161.39007 119.592674) (xy 161.387018 119.593132) (xy 161.361661 119.594481)
- (xy 161.333849 119.59327) (xy 161.306386 119.590592) (xy 161.28161 119.587357) (xy 161.254341 119.58315)
- (xy 161.224578 119.577973) (xy 161.208761 119.57502) (xy 161.184811 119.569952) (xy 161.153632 119.561779)
- (xy 161.123316 119.551985) (xy 161.093862 119.540571) (xy 161.06527 119.527537) (xy 161.037541 119.512884)
- (xy 161.010674 119.49661) (xy 160.984669 119.478717) (xy 160.978302 119.47399) (xy 160.951215 119.452546)
- (xy 162.025188 119.452546) (xy 162.027922 119.481258) (xy 162.033218 119.508902) (xy 162.04044 119.534845)
- (xy 162.04959 119.559086) (xy 162.060667 119.581625) (xy 162.073672 119.602463) (xy 162.092637 119.626118)
- (xy 162.114615 119.647114) (xy 162.124249 119.654768) (xy 162.149694 119.671478) (xy 162.17696 119.684633)
- (xy 162.200084 119.692597) (xy 162.224374 119.698285) (xy 162.249828 119.701697) (xy 162.276448 119.702834)
- (xy 162.304234 119.701695) (xy 162.318563 119.700272) (xy 162.347157 119.695143) (xy 162.375037 119.686585)
- (xy 162.402204 119.674599) (xy 162.428658 119.659184) (xy 162.454397 119.64034) (xy 162.473234 119.623957)
- (xy 162.491669 119.605646) (xy 162.509703 119.585406) (xy 162.527336 119.563237) (xy 162.543835 119.540111)
- (xy 162.558331 119.517182) (xy 162.570824 119.494451) (xy 162.581313 119.471917) (xy 162.592183 119.442178)
- (xy 162.599491 119.41279) (xy 162.603238 119.383753) (xy 162.603423 119.355066) (xy 162.600047 119.32673)
- (xy 162.594009 119.299422) (xy 162.586207 119.27382) (xy 162.576642 119.249923) (xy 162.565315 119.227733)
- (xy 162.548675 119.202393) (xy 162.529281 119.179718) (xy 162.507131 119.159708) (xy 162.502371 119.156026)
- (xy 162.477224 119.139504) (xy 162.450245 119.126453) (xy 162.421434 119.116875) (xy 162.397065 119.111713)
- (xy 162.371523 119.108772) (xy 162.344809 119.108054) (xy 162.316922 119.109559) (xy 162.309767 119.110282)
- (xy 162.281328 119.114967) (xy 162.253607 119.123107) (xy 162.226604 119.134702) (xy 162.200317 119.149753)
- (xy 162.174748 119.16826) (xy 162.156042 119.184407) (xy 162.137739 119.202498) (xy 162.119839 119.222533)
- (xy 162.102343 119.244511) (xy 162.086017 119.26739) (xy 162.071624 119.290128) (xy 162.059166 119.312724)
- (xy 162.048643 119.335179) (xy 162.03762 119.364898) (xy 162.030037 119.394366) (xy 162.025893 119.423582)
- (xy 162.025188 119.452546) (xy 160.951215 119.452546) (xy 160.944908 119.447553) (xy 160.913483 119.420056)
- (xy 160.884028 119.391498) (xy 160.856542 119.361881) (xy 160.831026 119.331202) (xy 160.80748 119.299464)
- (xy 160.785904 119.266666) (xy 160.766297 119.232807) (xy 160.74866 119.197888) (xy 160.732993 119.161908)
- (xy 160.719295 119.124868) (xy 160.707568 119.086768) (xy 160.69781 119.047608) (xy 160.690021 119.007388)
- (xy 160.684203 118.966107) (xy 160.680354 118.923766) (xy 160.678329 118.881115) (xy 160.678107 118.838999)
- (xy 160.678777 118.821371) (xy 161.277238 118.821371) (xy 161.279535 118.846968) (xy 161.284968 118.871326)
- (xy 161.294295 118.898138) (xy 161.306685 118.922312) (xy 161.322138 118.943848) (xy 161.340654 118.962746)
- (xy 161.351061 118.971206) (xy 161.373704 118.985555) (xy 161.398477 118.996078) (xy 161.42538 119.002777)
- (xy 161.454412 119.00565) (xy 161.480232 119.005123) (xy 161.496434 119.003532) (xy 161.523274 118.998021)
- (xy 161.549205 118.988614) (xy 161.574226 118.97531) (xy 161.598337 118.958111) (xy 161.616972 118.941547)
- (xy 161.635024 118.922489) (xy 161.652494 118.900938) (xy 161.668361 118.87825) (xy 161.681601 118.855781)
- (xy 161.692215 118.833531) (xy 161.70179 118.806027) (xy 161.707261 118.778866) (xy 161.708629 118.752047)
- (xy 161.705893 118.72557) (xy 161.699971 118.700183) (xy 161.692082 118.67686) (xy 161.680019 118.651598)
- (xy 161.665124 118.629308) (xy 161.647398 118.609993) (xy 161.634007 118.598767) (xy 161.611803 118.584669)
- (xy 161.587615 118.574344) (xy 161.561443 118.567793) (xy 161.533288 118.565016) (xy 161.50831 118.565584)
- (xy 161.492662 118.567183) (xy 161.466367 118.572556) (xy 161.440772 118.582003) (xy 161.415878 118.595525)
- (xy 161.391683 118.613121) (xy 161.372832 118.630132) (xy 161.354429 118.64975) (xy 161.336475 118.671975)
- (xy 161.320071 118.695297) (xy 161.30632 118.718206) (xy 161.295223 118.740701) (xy 161.285082 118.768237)
- (xy 161.279087 118.795128) (xy 161.277238 118.821371) (xy 160.678777 118.821371) (xy 160.679687 118.797415)
- (xy 160.683069 118.756366) (xy 160.688253 118.71585) (xy 160.695239 118.675868) (xy 160.704027 118.636419)
- (xy 160.714617 118.597504) (xy 160.727009 118.559122) (xy 160.741203 118.521274) (xy 160.757198 118.48396)
- (xy 160.774996 118.447179) (xy 160.794596 118.410932) (xy 160.815998 118.375218) (xy 160.839202 118.340039)
- (xy 160.864208 118.305392) (xy 160.890657 118.271774) (xy 160.918102 118.239802) (xy 160.94654 118.209474)
- (xy 160.975972 118.180792) (xy 161.006399 118.153755) (xy 161.03782 118.128363) (xy 161.070235 118.104616)
- (xy 161.103645 118.082514) (xy 161.138049 118.062058) (xy 161.173446 118.043247) (xy 161.209839 118.02608)
- (xy 161.247225 118.010559) (xy 161.285606 117.996684) (xy 161.32498 117.984453) (xy 161.365349 117.973868)
- (xy 161.406713 117.964928) (xy 161.448374 117.957899) (xy 161.489636 117.953049) (xy 161.530498 117.950377)
- (xy 161.570962 117.949883)
+ (xy 161.676438 117.864765) (xy 161.716104 117.868627) (xy 161.755371 117.874668) (xy 161.794239 117.882887)
+ (xy 161.832708 117.893284) (xy 161.870778 117.905859) (xy 161.908449 117.920613) (xy 161.945721 117.937544)
+ (xy 161.982594 117.956654) (xy 162.019068 117.977943) (xy 162.055144 118.001409) (xy 162.09082 118.027053)
+ (xy 162.111474 118.043621) (xy 162.131255 118.061538) (xy 162.150163 118.080803) (xy 162.168198 118.101418)
+ (xy 162.18536 118.123382) (xy 162.201648 118.146694) (xy 162.217064 118.171356) (xy 162.231606 118.197367)
+ (xy 162.245003 118.223375) (xy 162.257044 118.248456) (xy 162.267728 118.272611) (xy 162.277056 118.295839)
+ (xy 162.286808 118.323571) (xy 162.29444 118.349855) (xy 162.299953 118.374691) (xy 162.300802 118.379485)
+ (xy 162.314063 118.455231) (xy 162.338212 118.449553) (xy 162.363294 118.444692) (xy 162.388033 118.440584)
+ (xy 162.415378 118.436609) (xy 162.419498 118.436053) (xy 162.447712 118.433579) (xy 162.476395 118.433321)
+ (xy 162.502726 118.434294) (xy 162.532066 118.436315) (xy 162.564415 118.439385) (xy 162.590651 118.442376)
+ (xy 162.618579 118.445957) (xy 162.6482 118.450128) (xy 162.678341 118.455078) (xy 162.707695 118.461182)
+ (xy 162.73626 118.468439) (xy 162.764036 118.476849) (xy 162.791025 118.486412) (xy 162.817225 118.497128)
+ (xy 162.842637 118.508998) (xy 162.86726 118.52202) (xy 162.891095 118.536196) (xy 162.914142 118.551525)
+ (xy 162.929069 118.562385) (xy 162.94847 118.577355) (xy 162.985542 118.608098) (xy 163.020309 118.639912)
+ (xy 163.05277 118.672795) (xy 163.082926 118.706749) (xy 163.110776 118.741772) (xy 163.13632 118.777866)
+ (xy 163.159559 118.815029) (xy 163.180493 118.853263) (xy 163.199121 118.892566) (xy 163.215443 118.93294)
+ (xy 163.22946 118.974383) (xy 163.241171 119.016897) (xy 163.250576 119.06048) (xy 163.257676 119.105134)
+ (xy 163.262471 119.150857) (xy 163.264003 119.17412) (xy 163.265548 119.220477) (xy 163.265237 119.266176)
+ (xy 163.263068 119.311216) (xy 163.259042 119.355597) (xy 163.253159 119.399319) (xy 163.245419 119.442383)
+ (xy 163.235822 119.484788) (xy 163.224368 119.526535) (xy 163.211057 119.567622) (xy 163.195889 119.608051)
+ (xy 163.178864 119.647821) (xy 163.159982 119.686933) (xy 163.139242 119.725385) (xy 163.116646 119.763179)
+ (xy 163.092193 119.800315) (xy 163.065882 119.836791) (xy 163.038073 119.872142) (xy 163.009124 119.905898)
+ (xy 162.979034 119.93806) (xy 162.947804 119.968628) (xy 162.915434 119.997603) (xy 162.881923 120.024983)
+ (xy 162.847272 120.050769) (xy 162.811481 120.074962) (xy 162.774549 120.09756) (xy 162.736478 120.118564)
+ (xy 162.697265 120.137975) (xy 162.656913 120.155791) (xy 162.61542 120.172013) (xy 162.572787 120.186642)
+ (xy 162.529013 120.199676) (xy 162.484099 120.211117) (xy 162.438841 120.220434) (xy 162.393943 120.227221)
+ (xy 162.349404 120.231478) (xy 162.305225 120.233204) (xy 162.261405 120.2324) (xy 162.217946 120.229065)
+ (xy 162.174846 120.223201) (xy 162.132106 120.214805) (xy 162.089725 120.20388) (xy 162.047704 120.190424)
+ (xy 162.006043 120.174438) (xy 161.964742 120.155921) (xy 161.9238 120.134875) (xy 161.883218 120.111297)
+ (xy 161.842996 120.08519) (xy 161.803133 120.056552) (xy 161.781451 120.039421) (xy 161.76066 120.021375)
+ (xy 161.74076 120.002414) (xy 161.721751 119.982539) (xy 161.703632 119.961749) (xy 161.686404 119.940045)
+ (xy 161.670067 119.917426) (xy 161.654621 119.893892) (xy 161.640065 119.869444) (xy 161.626401 119.844081)
+ (xy 161.617786 119.826664) (xy 161.605595 119.800582) (xy 161.594456 119.775333) (xy 161.58437 119.750917)
+ (xy 161.575336 119.727334) (xy 161.564926 119.697187) (xy 161.556388 119.66852) (xy 161.549719 119.641335)
+ (xy 161.544921 119.615631) (xy 161.541994 119.591408) (xy 161.532816 119.49198) (xy 161.50685 119.497285)
+ (xy 161.48138 119.501775) (xy 161.45548 119.505872) (xy 161.452428 119.50633) (xy 161.427071 119.507679)
+ (xy 161.399259 119.506468) (xy 161.371796 119.50379) (xy 161.34702 119.500554) (xy 161.319751 119.496348)
+ (xy 161.289988 119.49117) (xy 161.274171 119.488217) (xy 161.250221 119.48315) (xy 161.219042 119.474976)
+ (xy 161.188726 119.465183) (xy 161.159272 119.453769) (xy 161.13068 119.440735) (xy 161.102951 119.426082)
+ (xy 161.076084 119.409808) (xy 161.050079 119.391914) (xy 161.043712 119.387188) (xy 161.016625 119.365744)
+ (xy 162.090598 119.365744) (xy 162.093332 119.394456) (xy 162.098628 119.4221) (xy 162.10585 119.448043)
+ (xy 162.115 119.472284) (xy 162.126077 119.494823) (xy 162.139082 119.515661) (xy 162.158048 119.539316)
+ (xy 162.180025 119.560312) (xy 162.189659 119.567966) (xy 162.215104 119.584676) (xy 162.24237 119.597831)
+ (xy 162.265494 119.605795) (xy 162.289784 119.611483) (xy 162.315238 119.614895) (xy 162.341858 119.616032)
+ (xy 162.369644 119.614893) (xy 162.383973 119.61347) (xy 162.412567 119.608341) (xy 162.440447 119.599783)
+ (xy 162.467614 119.587797) (xy 162.494068 119.572382) (xy 162.519808 119.553538) (xy 162.538644 119.537155)
+ (xy 162.55708 119.518844) (xy 162.575114 119.498604) (xy 162.592746 119.476435) (xy 162.609245 119.453309)
+ (xy 162.623741 119.43038) (xy 162.636234 119.407649) (xy 162.646723 119.385115) (xy 162.657593 119.355376)
+ (xy 162.664901 119.325988) (xy 162.668648 119.296951) (xy 162.668833 119.268264) (xy 162.665457 119.239928)
+ (xy 162.659419 119.21262) (xy 162.651617 119.187018) (xy 162.642053 119.163121) (xy 162.630725 119.140931)
+ (xy 162.614085 119.115591) (xy 162.594691 119.092916) (xy 162.572542 119.072906) (xy 162.567781 119.069224)
+ (xy 162.542635 119.052702) (xy 162.515655 119.039651) (xy 162.486844 119.030073) (xy 162.462475 119.02491)
+ (xy 162.436934 119.02197) (xy 162.410219 119.021252) (xy 162.382332 119.022756) (xy 162.375177 119.02348)
+ (xy 162.346739 119.028165) (xy 162.319018 119.036305) (xy 162.292014 119.0479) (xy 162.265727 119.062951)
+ (xy 162.240158 119.081458) (xy 162.221452 119.097605) (xy 162.203149 119.115696) (xy 162.185249 119.135731)
+ (xy 162.167754 119.157709) (xy 162.151427 119.180588) (xy 162.137034 119.203326) (xy 162.124576 119.225922)
+ (xy 162.114053 119.248377) (xy 162.10303 119.278096) (xy 162.095447 119.307564) (xy 162.091303 119.33678)
+ (xy 162.090598 119.365744) (xy 161.016625 119.365744) (xy 161.010318 119.360751) (xy 160.978893 119.333254)
+ (xy 160.949438 119.304696) (xy 160.921952 119.275078) (xy 160.896436 119.2444) (xy 160.87289 119.212662)
+ (xy 160.851314 119.179863) (xy 160.831707 119.146005) (xy 160.81407 119.111085) (xy 160.798403 119.075106)
+ (xy 160.784706 119.038066) (xy 160.772978 118.999966) (xy 160.76322 118.960806) (xy 160.755431 118.920585)
+ (xy 160.749613 118.879305) (xy 160.745764 118.836964) (xy 160.74374 118.794313) (xy 160.743517 118.752196)
+ (xy 160.744187 118.734569) (xy 161.342648 118.734569) (xy 161.344945 118.760166) (xy 161.350378 118.784524)
+ (xy 161.359705 118.811336) (xy 161.372095 118.83551) (xy 161.387548 118.857046) (xy 161.406064 118.875944)
+ (xy 161.416471 118.884404) (xy 161.439114 118.898753) (xy 161.463887 118.909276) (xy 161.49079 118.915975)
+ (xy 161.519822 118.918848) (xy 161.545642 118.918321) (xy 161.561844 118.91673) (xy 161.588684 118.911219)
+ (xy 161.614615 118.901812) (xy 161.639636 118.888508) (xy 161.663747 118.871309) (xy 161.682382 118.854745)
+ (xy 161.700434 118.835687) (xy 161.717905 118.814136) (xy 161.733771 118.791448) (xy 161.747011 118.768979)
+ (xy 161.757625 118.746729) (xy 161.7672 118.719225) (xy 161.772671 118.692064) (xy 161.774039 118.665245)
+ (xy 161.771304 118.638768) (xy 161.765381 118.613381) (xy 161.757492 118.590058) (xy 161.745429 118.564795)
+ (xy 161.730535 118.542506) (xy 161.712808 118.52319) (xy 161.699418 118.511965) (xy 161.677213 118.497867)
+ (xy 161.653025 118.487542) (xy 161.626853 118.480991) (xy 161.598698 118.478214) (xy 161.57372 118.478782)
+ (xy 161.558072 118.480381) (xy 161.531777 118.485754) (xy 161.506182 118.495201) (xy 161.481288 118.508723)
+ (xy 161.457094 118.526319) (xy 161.438242 118.54333) (xy 161.41984 118.562947) (xy 161.401885 118.585173)
+ (xy 161.385481 118.608495) (xy 161.37173 118.631404) (xy 161.360633 118.653899) (xy 161.350492 118.681435)
+ (xy 161.344498 118.708325) (xy 161.342648 118.734569) (xy 160.744187 118.734569) (xy 160.745097 118.710613)
+ (xy 160.748479 118.669564) (xy 160.753663 118.629048) (xy 160.760649 118.589065) (xy 160.769437 118.549617)
+ (xy 160.780027 118.510702) (xy 160.792419 118.47232) (xy 160.806613 118.434472) (xy 160.822608 118.397158)
+ (xy 160.840406 118.360377) (xy 160.860006 118.32413) (xy 160.881408 118.288416) (xy 160.904612 118.253236)
+ (xy 160.929618 118.21859) (xy 160.956068 118.184972) (xy 160.983512 118.153) (xy 161.01195 118.122672)
+ (xy 161.041382 118.09399) (xy 161.071809 118.066953) (xy 161.10323 118.041561) (xy 161.135645 118.017814)
+ (xy 161.169055 117.995712) (xy 161.203459 117.975256) (xy 161.238857 117.956444) (xy 161.275249 117.939278)
+ (xy 161.312635 117.923757) (xy 161.351016 117.909882) (xy 161.39039 117.897651) (xy 161.43076 117.887066)
+ (xy 161.472123 117.878125) (xy 161.513784 117.871097) (xy 161.555046 117.866247) (xy 161.595909 117.863574)
+ (xy 161.636373 117.863081)
)
)
(polygon
(pts
- (xy 163.531794 118.861364) (xy 163.504192 118.868886) (xy 163.476663 118.870604) (xy 163.449208 118.866517)
- (xy 163.421826 118.856626) (xy 163.399064 118.84395) (xy 163.385431 118.834409) (xy 163.367213 118.818064)
- (xy 163.349132 118.796591) (xy 163.331189 118.769989) (xy 163.317822 118.746671) (xy 163.304533 118.720469)
- (xy 163.29132 118.691381) (xy 163.278186 118.659409) (xy 163.269472 118.636492) (xy 163.260793 118.612292)
- (xy 163.252148 118.58681) (xy 163.243538 118.560046) (xy 163.236986 118.534949) (xy 163.23581 118.50971)
- (xy 163.240009 118.484329) (xy 163.249584 118.458805) (xy 163.261669 118.437427) (xy 163.270712 118.424552)
- (xy 163.289501 118.403136) (xy 163.308271 118.386107) (xy 163.330365 118.369169) (xy 163.355782 118.352322)
- (xy 163.377027 118.339747) (xy 163.400141 118.327224) (xy 163.425125 118.314752) (xy 163.451978 118.302331)
- (xy 163.480701 118.289962) (xy 163.509514 118.277292) (xy 163.536822 118.264105) (xy 163.562624 118.250402)
- (xy 163.58692 118.236182) (xy 163.60971 118.221445) (xy 163.630995 118.206193) (xy 163.650774 118.190423)
- (xy 163.669047 118.174138) (xy 163.691068 118.15162) (xy 163.710413 118.128184) (xy 163.725812 118.107198)
- (xy 163.746022 118.077486) (xy 163.762767 118.049893) (xy 163.776047 118.02442) (xy 163.785862 118.001066)
- (xy 163.793558 117.973224) (xy 163.794514 117.943721) (xy 163.785845 117.920105) (xy 163.771979 117.905452)
- (xy 163.748037 117.897785) (xy 163.72196 117.902632) (xy 163.697088 117.913863) (xy 163.676096 117.926576)
- (xy 163.653098 117.942966) (xy 163.628096 117.963034) (xy 163.601089 117.986778) (xy 163.581971 118.00465)
- (xy 163.561962 118.024156) (xy 163.551623 118.034523) (xy 163.53147 118.05401) (xy 163.510697 118.072995)
- (xy 163.489306 118.091478) (xy 163.467296 118.109459) (xy 163.444666 118.126937) (xy 163.421418 118.143913)
- (xy 163.397551 118.160387) (xy 163.373064 118.176358) (xy 163.347959 118.191828) (xy 163.322235 118.206794)
- (xy 163.295891 118.221259) (xy 163.268929 118.235221) (xy 163.241347 118.248681) (xy 163.213147 118.261639)
- (xy 163.184327 118.274094) (xy 163.154889 118.286047) (xy 163.12641 118.295827) (xy 163.096773 118.303371)
- (xy 163.065977 118.30868) (xy 163.034022 118.311754) (xy 163.009295 118.312593) (xy 162.983916 118.312174)
- (xy 162.957885 118.310498) (xy 162.931203 118.307565) (xy 162.903868 118.303375) (xy 162.894612 118.301698)
- (xy 162.8668 118.295634) (xy 162.83898 118.288098) (xy 162.811152 118.279092) (xy 162.783318 118.268616)
- (xy 162.755476 118.256669) (xy 162.727626 118.243252) (xy 162.69977 118.228364) (xy 162.671905 118.212006)
- (xy 162.644034 118.194177) (xy 162.616155 118.174878) (xy 162.597565 118.161195) (xy 162.570711 118.140026)
- (xy 162.545622 118.11832) (xy 162.522296 118.096076) (xy 162.500735 118.073295) (xy 162.480937 118.049977)
- (xy 162.462903 118.026121) (xy 162.446633 118.001727) (xy 162.432127 117.976797) (xy 162.419384 117.951329)
- (xy 162.408406 117.925323) (xy 162.399191 117.89878) (xy 162.391741 117.871699) (xy 162.386054 117.844081)
- (xy 162.382131 117.815926) (xy 162.379972 117.787233) (xy 162.379577 117.758003) (xy 162.380946 117.728236)
- (xy 162.384078 117.69793) (xy 162.388975 117.667088) (xy 162.395635 117.635708) (xy 162.404059 117.603791)
- (xy 162.414247 117.571336) (xy 162.426199 117.538344) (xy 162.439915 117.504814) (xy 162.455395 117.470747)
- (xy 162.472638 117.436142) (xy 162.491646 117.401) (xy 162.512417 117.365321) (xy 162.534953 117.329104)
- (xy 162.559252 117.29235) (xy 162.585315 117.255058) (xy 162.613141 117.217229) (xy 162.632335 117.192535)
- (xy 162.652341 117.168317) (xy 162.67316 117.144573) (xy 162.694792 117.121304) (xy 162.717236 117.098511)
- (xy 162.740493 117.076193) (xy 162.764563 117.054349) (xy 162.789446 117.032981) (xy 162.815141 117.012088)
- (xy 162.841649 116.99167) (xy 162.86897 116.971727) (xy 162.897104 116.952259) (xy 162.92605 116.933266)
- (xy 162.955809 116.914748) (xy 162.986381 116.896705) (xy 163.017766 116.879138) (xy 163.039575 116.868108)
- (xy 163.067247 116.856545) (xy 163.093313 116.848573) (xy 163.117771 116.844192) (xy 163.146083 116.843766)
- (xy 163.171885 116.848951) (xy 163.195175 116.859748) (xy 163.203788 116.865638) (xy 163.22444 116.883572)
- (xy 163.244338 116.905678) (xy 163.259713 116.926366) (xy 163.274604 116.949725) (xy 163.289013 116.975755)
- (xy 163.302938 117.004454) (xy 163.313065 117.027732) (xy 163.322921 117.052511) (xy 163.331821 117.077327)
- (xy 163.338944 117.100899) (xy 163.345677 117.130391) (xy 163.349252 117.157671) (xy 163.349669 117.182737)
- (xy 163.345747 117.210958) (xy 163.33689 117.235721) (xy 163.326251 117.253042) (xy 163.308242 117.271488)
- (xy 163.287738 117.287449) (xy 163.26607 117.302144) (xy 163.240237 117.318106) (xy 163.216571 117.331787)
- (xy 163.19024 117.346279) (xy 163.162933 117.361827) (xy 163.136585 117.378863) (xy 163.111195 117.397385)
- (xy 163.086764 117.417393) (xy 163.06329 117.438889) (xy 163.040775 117.461871) (xy 163.019219 117.48634)
- (xy 163.00368 117.505667) (xy 162.99862 117.512295) (xy 162.983731 117.532554) (xy 162.964127 117.561191)
- (xy 162.947799 117.587728) (xy 162.934748 117.612164) (xy 162.922442 117.641477) (xy 162.915961 117.667057)
- (xy 162.916051 117.693779) (xy 162.928172 117.718144) (xy 162.935124 117.724399) (xy 162.957544 117.73661)
- (xy 162.982621 117.741459) (xy 163.010356 117.738944) (xy 163.040749 117.729066) (xy 163.065287 117.716825)
- (xy 163.091321 117.700442) (xy 163.118849 117.679918) (xy 163.138032 117.663934) (xy 163.157879 117.646109)
- (xy 163.17839 117.626444) (xy 163.188895 117.61592) (xy 163.20902 117.594838) (xy 163.229608 117.574242)
- (xy 163.250661 117.554132) (xy 163.272176 117.534509) (xy 163.294155 117.515373) (xy 163.316598 117.496724)
- (xy 163.339504 117.478561) (xy 163.362874 117.460885) (xy 163.386707 117.443696) (xy 163.411004 117.426993)
- (xy 163.435764 117.410777) (xy 163.460988 117.395048) (xy 163.486675 117.379805) (xy 163.512826 117.365049)
- (xy 163.53944 117.35078) (xy 163.566518 117.336997) (xy 163.592318 117.325175) (xy 163.619285 117.315547)
- (xy 163.647419 117.308112) (xy 163.676719 117.30287) (xy 163.707186 117.299821) (xy 163.738819 117.298966)
- (xy 163.76331 117.299763) (xy 163.788457 117.301795) (xy 163.805586 117.303834) (xy 163.831548 117.307958)
- (xy 163.857654 117.31371) (xy 163.883905 117.321089) (xy 163.910301 117.330095) (xy 163.936841 117.340729)
- (xy 163.963525 117.352991) (xy 163.990354 117.36688) (xy 164.017327 117.382397) (xy 164.044444 117.399541)
- (xy 164.071706 117.418312) (xy 164.089961 117.431731) (xy 164.116558 117.452532) (xy 164.141671 117.473734)
- (xy 164.165299 117.495336) (xy 164.187443 117.517338) (xy 164.208103 117.539741) (xy 164.227279 117.562543)
- (xy 164.24497 117.585746) (xy 164.261177 117.609349) (xy 164.2759 117.633352) (xy 164.289138 117.657755)
- (xy 164.297139 117.674247) (xy 164.307875 117.698997) (xy 164.317111 117.723827) (xy 164.324849 117.748738)
- (xy 164.331089 117.773729) (xy 164.335829 117.7988) (xy 164.339072 117.823952) (xy 164.340816 117.849184)
- (xy 164.341061 117.874496) (xy 164.339807 117.899889) (xy 164.337055 117.925362) (xy 164.334388 117.942388)
- (xy 164.327785 117.973144) (xy 164.31996 118.004101) (xy 164.310913 118.035262) (xy 164.300645 118.066624)
- (xy 164.289155 118.098189) (xy 164.276443 118.129956) (xy 164.26251 118.161925) (xy 164.247355 118.194097)
- (xy 164.230978 118.226472) (xy 164.213379 118.259048) (xy 164.194559 118.291827) (xy 164.174517 118.324808)
- (xy 164.153254 118.357992) (xy 164.130769 118.391378) (xy 164.107062 118.424966) (xy 164.082134 118.458757)
- (xy 164.056041 118.492103) (xy 164.028841 118.524358) (xy 164.000533 118.555522) (xy 163.971119 118.585595)
- (xy 163.940598 118.614577) (xy 163.908969 118.642468) (xy 163.876234 118.669268) (xy 163.842391 118.694976)
- (xy 163.807441 118.719593) (xy 163.771384 118.74312) (xy 163.73422 118.765555) (xy 163.695949 118.786899)
- (xy 163.656571 118.807152) (xy 163.616086 118.826314) (xy 163.574493 118.844384)
+ (xy 163.597204 118.774562) (xy 163.569602 118.782084) (xy 163.542073 118.783802) (xy 163.514618 118.779715)
+ (xy 163.487236 118.769824) (xy 163.464474 118.757148) (xy 163.450841 118.747607) (xy 163.432623 118.731262)
+ (xy 163.414542 118.709789) (xy 163.396599 118.683187) (xy 163.383232 118.659869) (xy 163.369943 118.633667)
+ (xy 163.35673 118.604579) (xy 163.343596 118.572607) (xy 163.334882 118.549689) (xy 163.326203 118.52549)
+ (xy 163.317558 118.500008) (xy 163.308948 118.473243) (xy 163.302396 118.448147) (xy 163.30122 118.422908)
+ (xy 163.305419 118.397527) (xy 163.314994 118.372003) (xy 163.327079 118.350625) (xy 163.336122 118.33775)
+ (xy 163.354911 118.316334) (xy 163.373681 118.299305) (xy 163.395775 118.282367) (xy 163.421192 118.26552)
+ (xy 163.442437 118.252945) (xy 163.465551 118.240422) (xy 163.490535 118.22795) (xy 163.517388 118.215529)
+ (xy 163.546111 118.20316) (xy 163.574924 118.19049) (xy 163.602232 118.177303) (xy 163.628034 118.1636)
+ (xy 163.65233 118.14938) (xy 163.67512 118.134643) (xy 163.696405 118.119391) (xy 163.716184 118.103621)
+ (xy 163.734457 118.087336) (xy 163.756478 118.064818) (xy 163.775823 118.041382) (xy 163.791222 118.020396)
+ (xy 163.811432 117.990684) (xy 163.828177 117.963091) (xy 163.841457 117.937618) (xy 163.851272 117.914264)
+ (xy 163.858968 117.886422) (xy 163.859924 117.856919) (xy 163.851255 117.833303) (xy 163.83739 117.81865)
+ (xy 163.813447 117.810983) (xy 163.78737 117.81583) (xy 163.762498 117.827061) (xy 163.741506 117.839774)
+ (xy 163.718508 117.856164) (xy 163.693506 117.876232) (xy 163.666499 117.899976) (xy 163.647381 117.917848)
+ (xy 163.627372 117.937354) (xy 163.617033 117.94772) (xy 163.59688 117.967208) (xy 163.576107 117.986193)
+ (xy 163.554716 118.004676) (xy 163.532706 118.022657) (xy 163.510076 118.040135) (xy 163.486828 118.057111)
+ (xy 163.462961 118.073585) (xy 163.438474 118.089556) (xy 163.413369 118.105025) (xy 163.387645 118.119992)
+ (xy 163.361301 118.134457) (xy 163.334339 118.148419) (xy 163.306757 118.161879) (xy 163.278557 118.174837)
+ (xy 163.249737 118.187292) (xy 163.220299 118.199245) (xy 163.191821 118.209025) (xy 163.162183 118.216569)
+ (xy 163.131387 118.221878) (xy 163.099432 118.224952) (xy 163.074705 118.225791) (xy 163.049326 118.225372)
+ (xy 163.023295 118.223696) (xy 162.996613 118.220763) (xy 162.969278 118.216573) (xy 162.960022 118.214896)
+ (xy 162.93221 118.208831) (xy 162.90439 118.201296) (xy 162.876563 118.19229) (xy 162.848728 118.181814)
+ (xy 162.820886 118.169867) (xy 162.793036 118.15645) (xy 162.76518 118.141562) (xy 162.737315 118.125204)
+ (xy 162.709444 118.107375) (xy 162.681565 118.088076) (xy 162.662975 118.074392) (xy 162.636121 118.053224)
+ (xy 162.611032 118.031518) (xy 162.587706 118.009274) (xy 162.566145 117.986493) (xy 162.546347 117.963175)
+ (xy 162.528313 117.939319) (xy 162.512043 117.914925) (xy 162.497537 117.889995) (xy 162.484795 117.864526)
+ (xy 162.473816 117.838521) (xy 162.464602 117.811978) (xy 162.457151 117.784897) (xy 162.451464 117.757279)
+ (xy 162.447541 117.729124) (xy 162.445382 117.700431) (xy 162.444987 117.671201) (xy 162.446356 117.641433)
+ (xy 162.449488 117.611128) (xy 162.454385 117.580286) (xy 162.461045 117.548906) (xy 162.469469 117.516989)
+ (xy 162.479657 117.484534) (xy 162.491609 117.451541) (xy 162.505325 117.418012) (xy 162.520805 117.383945)
+ (xy 162.538049 117.34934) (xy 162.557056 117.314198) (xy 162.577827 117.278519) (xy 162.600363 117.242302)
+ (xy 162.624662 117.205548) (xy 162.650725 117.168256) (xy 162.678552 117.130427) (xy 162.697745 117.105733)
+ (xy 162.717751 117.081514) (xy 162.73857 117.057771) (xy 162.760202 117.034502) (xy 162.782646 117.011709)
+ (xy 162.805903 116.989391) (xy 162.829973 116.967547) (xy 162.854856 116.946179) (xy 162.880551 116.925286)
+ (xy 162.907059 116.904868) (xy 162.93438 116.884925) (xy 162.962514 116.865457) (xy 162.99146 116.846464)
+ (xy 163.021219 116.827946) (xy 163.051791 116.809903) (xy 163.083176 116.792336) (xy 163.104985 116.781306)
+ (xy 163.132657 116.769743) (xy 163.158723 116.76177) (xy 163.183181 116.75739) (xy 163.211494 116.756964)
+ (xy 163.237295 116.762149) (xy 163.260585 116.772946) (xy 163.269198 116.778836) (xy 163.289851 116.79677)
+ (xy 163.309748 116.818875) (xy 163.325123 116.839564) (xy 163.340015 116.862923) (xy 163.354423 116.888953)
+ (xy 163.368348 116.917652) (xy 163.378475 116.940929) (xy 163.388331 116.965709) (xy 163.397231 116.990525)
+ (xy 163.404354 117.014097) (xy 163.411088 117.043589) (xy 163.414663 117.070869) (xy 163.415079 117.095935)
+ (xy 163.411157 117.124156) (xy 163.4023 117.148919) (xy 163.391661 117.16624) (xy 163.373652 117.184685)
+ (xy 163.353148 117.200647) (xy 163.33148 117.215342) (xy 163.305647 117.231304) (xy 163.281981 117.244985)
+ (xy 163.25565 117.259477) (xy 163.228343 117.275025) (xy 163.201995 117.29206) (xy 163.176605 117.310582)
+ (xy 163.152174 117.330591) (xy 163.1287 117.352087) (xy 163.106185 117.375069) (xy 163.084629 117.399538)
+ (xy 163.06909 117.418865) (xy 163.06403 117.425493) (xy 163.049141 117.445752) (xy 163.029537 117.474389)
+ (xy 163.013209 117.500926) (xy 163.000158 117.525362) (xy 162.987852 117.554675) (xy 162.981371 117.580255)
+ (xy 162.981461 117.606977) (xy 162.993582 117.631342) (xy 163.000534 117.637597) (xy 163.022954 117.649808)
+ (xy 163.048031 117.654657) (xy 163.075766 117.652142) (xy 163.106159 117.642264) (xy 163.130697 117.630023)
+ (xy 163.156731 117.61364) (xy 163.184259 117.593116) (xy 163.203442 117.577132) (xy 163.223289 117.559307)
+ (xy 163.2438 117.539641) (xy 163.254305 117.529118) (xy 163.27443 117.508036) (xy 163.295019 117.487439)
+ (xy 163.316071 117.46733) (xy 163.337586 117.447707) (xy 163.359565 117.428571) (xy 163.382008 117.409922)
+ (xy 163.404914 117.391759) (xy 163.428284 117.374083) (xy 163.452117 117.356894) (xy 163.476414 117.340191)
+ (xy 163.501174 117.323975) (xy 163.526398 117.308245) (xy 163.552085 117.293003) (xy 163.578236 117.278247)
+ (xy 163.60485 117.263978) (xy 163.631928 117.250195) (xy 163.657729 117.238373) (xy 163.684695 117.228745)
+ (xy 163.712829 117.22131) (xy 163.742129 117.216068) (xy 163.772596 117.213019) (xy 163.804229 117.212164)
+ (xy 163.82872 117.212961) (xy 163.853867 117.214993) (xy 163.870996 117.217032) (xy 163.896958 117.221156)
+ (xy 163.923064 117.226908) (xy 163.949315 117.234287) (xy 163.975711 117.243293) (xy 164.002251 117.253927)
+ (xy 164.028935 117.266189) (xy 164.055764 117.280078) (xy 164.082737 117.295595) (xy 164.109854 117.312739)
+ (xy 164.137116 117.33151) (xy 164.155371 117.344929) (xy 164.181968 117.36573) (xy 164.207081 117.386932)
+ (xy 164.230709 117.408534) (xy 164.252853 117.430536) (xy 164.273513 117.452938) (xy 164.292689 117.475741)
+ (xy 164.31038 117.498944) (xy 164.326587 117.522547) (xy 164.34131 117.54655) (xy 164.354548 117.570953)
+ (xy 164.36255 117.587444) (xy 164.373285 117.612195) (xy 164.382521 117.637025) (xy 164.390259 117.661936)
+ (xy 164.396499 117.686927) (xy 164.40124 117.711998) (xy 164.404482 117.73715) (xy 164.406226 117.762382)
+ (xy 164.406471 117.787694) (xy 164.405217 117.813087) (xy 164.402466 117.83856) (xy 164.399798 117.855586)
+ (xy 164.393195 117.886342) (xy 164.38537 117.917299) (xy 164.376323 117.948459) (xy 164.366055 117.979822)
+ (xy 164.354565 118.011387) (xy 164.341853 118.043154) (xy 164.32792 118.075123) (xy 164.312765 118.107295)
+ (xy 164.296388 118.139669) (xy 164.278789 118.172246) (xy 164.259969 118.205025) (xy 164.239928 118.238006)
+ (xy 164.218664 118.27119) (xy 164.196179 118.304576) (xy 164.172472 118.338164) (xy 164.147544 118.371955)
+ (xy 164.121451 118.405301) (xy 164.094251 118.437556) (xy 164.065944 118.46872) (xy 164.036529 118.498793)
+ (xy 164.006008 118.527775) (xy 163.97438 118.555666) (xy 163.941644 118.582465) (xy 163.907801 118.608174)
+ (xy 163.872851 118.632791) (xy 163.836795 118.656318) (xy 163.799631 118.678753) (xy 163.761359 118.700097)
+ (xy 163.721981 118.72035) (xy 163.681496 118.739511) (xy 163.639903 118.757582)
)
)
(polygon
(pts
- (xy 164.426558 115.342641) (xy 164.452275 115.343783) (xy 164.479285 115.346083) (xy 164.505948 115.349286)
- (xy 164.532265 115.353393) (xy 164.558236 115.358404) (xy 164.58386 115.364318) (xy 164.609137 115.371136)
- (xy 164.634068 115.378858) (xy 164.658653 115.387483) (xy 164.682891 115.397012) (xy 164.706783 115.407445)
- (xy 164.730328 115.418781) (xy 164.753526 115.431021) (xy 164.776379 115.444165) (xy 164.798884 115.458212)
- (xy 164.821044 115.473163) (xy 164.842857 115.489018) (xy 164.863832 115.50535) (xy 164.883601 115.521824)
- (xy 164.902164 115.53844) (xy 164.927747 115.563632) (xy 164.950615 115.589143) (xy 164.97077 115.614975)
- (xy 164.98821 115.641126) (xy 165.002936 115.667598) (xy 165.014948 115.69439) (xy 165.024246 115.721502)
- (xy 165.03083 115.748935) (xy 165.033711 115.767401) (xy 165.036259 115.794663) (xy 165.037113 115.82116)
- (xy 165.036272 115.84689) (xy 165.033737 115.871855) (xy 165.029509 115.896054) (xy 165.021235 115.927127)
- (xy 165.009949 115.956839) (xy 164.995652 115.985189) (xy 164.978343 116.012178) (xy 164.973545 116.018713)
- (xy 164.471945 116.684358) (xy 164.497718 116.700233) (xy 164.525662 116.710651) (xy 164.555777 116.715611)
- (xy 164.581432 116.71565) (xy 164.608476 116.712197) (xy 164.63691 116.705251) (xy 164.666734 116.694812)
- (xy 164.674407 116.691657) (xy 164.696997 116.681442) (xy 164.725664 116.666728) (xy 164.75267 116.650762)
- (xy 164.778015 116.633544) (xy 164.801699 116.615074) (xy 164.823722 116.595353) (xy 164.844084 116.57438)
- (xy 164.862785 116.552155) (xy 164.8672 116.546403) (xy 164.882202 116.526218) (xy 164.896524 116.50638)
- (xy 164.916732 116.477275) (xy 164.935409 116.448952) (xy 164.952556 116.421411) (xy 164.968173 116.394652)
- (xy 164.98226 116.368676) (xy 164.994816 116.343482) (xy 165.005843 116.31907) (xy 165.015339 116.29544)
- (xy 165.02562 116.26515) (xy 165.037922 116.225489) (xy 165.044506 116.198337) (xy 165.051765 116.173411)
- (xy 165.061366 116.146438) (xy 165.071939 116.122669) (xy 165.085501 116.098991) (xy 165.091719 116.090178)
- (xy 165.110303 116.069622) (xy 165.132249 116.052817) (xy 165.157556 116.039763) (xy 165.186224 116.030461)
- (xy 165.218254 116.024911) (xy 165.244482 116.02321) (xy 165.2726 116.023619) (xy 165.30261 116.026138)
- (xy 165.33451 116.030767) (xy 165.35963 116.036257) (xy 165.388811 116.043967) (xy 165.415527 116.05262)
- (xy 165.439779 116.062215) (xy 165.465628 116.074974) (xy 165.487927 116.089089) (xy 165.491298 116.091574)
- (xy 165.51983 116.116739) (xy 165.542886 116.145106) (xy 165.560464 116.176675) (xy 165.572565 116.211445)
- (xy 165.579189 116.249418) (xy 165.580337 116.290592) (xy 165.576007 116.334968) (xy 165.5662 116.382546)
- (xy 165.559243 116.407536) (xy 165.550917 116.433326) (xy 165.541221 116.459917) (xy 165.530156 116.487308)
- (xy 165.517722 116.515499) (xy 165.503918 116.544491) (xy 165.488745 116.574284) (xy 165.472204 116.604877)
- (xy 165.454292 116.63627) (xy 165.435012 116.668464) (xy 165.414362 116.701458) (xy 165.392343 116.735253)
- (xy 165.368955 116.769848) (xy 165.344198 116.805244) (xy 165.318071 116.84144) (xy 165.290575 116.878437)
- (xy 165.270862 116.904014) (xy 165.250859 116.928812) (xy 165.230566 116.952829) (xy 165.209982 116.976066)
- (xy 165.189108 116.998523) (xy 165.167944 117.020199) (xy 165.14649 117.041096) (xy 165.124746 117.061212)
- (xy 165.102712 117.080549) (xy 165.080387 117.099105) (xy 165.057772 117.116881) (xy 165.034867 117.133877)
- (xy 165.011672 117.150093) (xy 164.988187 117.165528) (xy 164.964412 117.180184) (xy 164.940346 117.194059)
- (xy 164.916211 117.207067) (xy 164.892137 117.219242) (xy 164.868122 117.230584) (xy 164.844167 117.241094)
- (xy 164.820273 117.250771) (xy 164.796439 117.259615) (xy 164.772665 117.267626) (xy 164.74895 117.274805)
- (xy 164.725296 117.281151) (xy 164.689928 117.289108) (xy 164.654695 117.295191) (xy 164.619597 117.299401)
- (xy 164.584634 117.301737) (xy 164.561401 117.302253) (xy 164.516632 117.302126) (xy 164.472487 117.3003)
- (xy 164.428965 117.296775) (xy 164.386066 117.291551) (xy 164.34379 117.284629) (xy 164.302137 117.276007)
- (xy 164.261107 117.265688) (xy 164.2207 117.253669) (xy 164.180916 117.239951) (xy 164.141755 117.224535)
- (xy 164.103217 117.20742) (xy 164.065303 117.188607) (xy 164.028011 117.168094) (xy 163.991342 117.145883)
- (xy 163.955297 117.121973) (xy 163.919874 117.096365) (xy 163.898054 117.079572) (xy 163.876847 117.062541)
- (xy 163.856255 117.045272) (xy 163.836276 117.027766) (xy 163.81691 117.010021) (xy 163.798159 116.992039)
- (xy 163.780021 116.973819) (xy 163.762497 116.955361) (xy 163.745587 116.936666) (xy 163.729291 116.917732)
- (xy 163.713608 116.898561) (xy 163.698539 116.879151) (xy 163.670243 116.839619) (xy 163.644401 116.799136)
- (xy 163.621015 116.757701) (xy 163.600084 116.715315) (xy 163.581608 116.671978) (xy 163.565587 116.627689)
- (xy 163.552021 116.582449) (xy 163.540911 116.536257) (xy 163.532255 116.489114) (xy 163.526054 116.44102)
- (xy 163.52229 116.39257) (xy 163.520852 116.344481) (xy 163.521741 116.296752) (xy 163.523528 116.270409)
- (xy 164.120599 116.270409) (xy 164.121134 116.288498) (xy 164.123038 116.315074) (xy 164.126311 116.340209)
- (xy 164.132804 116.371479) (xy 164.14173 116.400186) (xy 164.153089 116.426331) (xy 164.166881 116.449913)
- (xy 164.183106 116.470932) (xy 164.201764 116.489388) (xy 164.212006 116.497655) (xy 164.478791 116.143619)
- (xy 164.49625 116.117532) (xy 164.508391 116.09267) (xy 164.515213 116.069032) (xy 164.516261 116.041206)
- (xy 164.508998 116.015294) (xy 164.493424 115.991294) (xy 164.474981 115.973472) (xy 164.469539 115.969208)
- (xy 164.448996 115.955613) (xy 164.423618 115.943728) (xy 164.397447 115.936677) (xy 164.370484 115.934457)
- (xy 164.342729 115.93707) (xy 164.328554 115.940189) (xy 164.304805 115.94803) (xy 164.281442 115.959371)
- (xy 164.258463 115.974214) (xy 164.235871 115.992558) (xy 164.218075 116.009754) (xy 164.200525 116.02919)
- (xy 164.183222 116.050868) (xy 164.16722 116.074529) (xy 164.153573 116.099916) (xy 164.14228 116.12703)
- (xy 164.133342 116.155871) (xy 164.126758 116.186438) (xy 164.122528 116.218731) (xy 164.120902 116.244085)
- (xy 164.120599 116.270409) (xy 163.523528 116.270409) (xy 163.524955 116.249386) (xy 163.530496 116.20238)
- (xy 163.538363 116.155735) (xy 163.548556 116.109452) (xy 163.561075 116.06353) (xy 163.57592 116.017969)
- (xy 163.593092 115.972769) (xy 163.61259 115.92793) (xy 163.623211 115.905646) (xy 163.634414 115.883452)
- (xy 163.646198 115.861349) (xy 163.658564 115.839336) (xy 163.671511 115.817413) (xy 163.68504 115.79558)
- (xy 163.69915 115.773838) (xy 163.713842 115.752186) (xy 163.729116 115.730624) (xy 163.744971 115.709153)
- (xy 163.763461 115.685176) (xy 163.782184 115.662008) (xy 163.801141 115.639652) (xy 163.82033 115.618105)
- (xy 163.839753 115.597369) (xy 163.859408 115.577443) (xy 163.879297 115.558327) (xy 163.899419 115.540022)
- (xy 163.919774 115.522527) (xy 163.940362 115.505842) (xy 163.961183 115.489967) (xy 163.982238 115.474903)
- (xy 164.003525 115.460649) (xy 164.025045 115.447206) (xy 164.046799 115.434573) (xy 164.068786 115.42275)
- (xy 164.091006 115.411737) (xy 164.113458 115.401535) (xy 164.136144 115.392143) (xy 164.159064 115.383561)
- (xy 164.205601 115.368828) (xy 164.253071 115.357337) (xy 164.277156 115.352807) (xy 164.301473 115.349087)
- (xy 164.326024 115.346177) (xy 164.350808 115.344078) (xy 164.375825 115.342789) (xy 164.401075 115.34231)
+ (xy 164.491968 115.255839) (xy 164.517685 115.256981) (xy 164.544695 115.259281) (xy 164.571358 115.262484)
+ (xy 164.597675 115.266591) (xy 164.623646 115.271602) (xy 164.64927 115.277516) (xy 164.674547 115.284334)
+ (xy 164.699478 115.292056) (xy 164.724063 115.300681) (xy 164.748301 115.31021) (xy 164.772193 115.320643)
+ (xy 164.795738 115.331979) (xy 164.818937 115.344219) (xy 164.841789 115.357363) (xy 164.864295 115.37141)
+ (xy 164.886454 115.386361) (xy 164.908267 115.402216) (xy 164.929242 115.418548) (xy 164.949011 115.435022)
+ (xy 164.967574 115.451638) (xy 164.993157 115.47683) (xy 165.016025 115.502341) (xy 165.03618 115.528173)
+ (xy 165.05362 115.554324) (xy 165.068346 115.580796) (xy 165.080358 115.607588) (xy 165.089656 115.6347)
+ (xy 165.09624 115.662132) (xy 165.099121 115.680598) (xy 165.101669 115.707861) (xy 165.102523 115.734357)
+ (xy 165.101682 115.760088) (xy 165.099148 115.785053) (xy 165.094919 115.809251) (xy 165.086645 115.840325)
+ (xy 165.07536 115.870037) (xy 165.061062 115.898387) (xy 165.043753 115.925376) (xy 165.038955 115.931911)
+ (xy 164.537355 116.597556) (xy 164.563128 116.613431) (xy 164.591072 116.623849) (xy 164.621187 116.628809)
+ (xy 164.646842 116.628848) (xy 164.673886 116.625395) (xy 164.70232 116.618449) (xy 164.732144 116.60801)
+ (xy 164.739817 116.604855) (xy 164.762407 116.59464) (xy 164.791074 116.579926) (xy 164.81808 116.56396)
+ (xy 164.843425 116.546742) (xy 164.867109 116.528272) (xy 164.889132 116.508551) (xy 164.909494 116.487578)
+ (xy 164.928195 116.465353) (xy 164.93261 116.459601) (xy 164.947612 116.439416) (xy 164.961934 116.419578)
+ (xy 164.982142 116.390472) (xy 165.000819 116.362149) (xy 165.017966 116.334609) (xy 165.033583 116.30785)
+ (xy 165.04767 116.281874) (xy 165.060226 116.25668) (xy 165.071253 116.232268) (xy 165.080749 116.208638)
+ (xy 165.09103 116.178348) (xy 165.103332 116.138687) (xy 165.109916 116.111535) (xy 165.117175 116.086609)
+ (xy 165.126776 116.059636) (xy 165.137349 116.035867) (xy 165.150912 116.012188) (xy 165.157129 116.003376)
+ (xy 165.175713 115.98282) (xy 165.197659 115.966015) (xy 165.222966 115.952961) (xy 165.251634 115.943659)
+ (xy 165.283664 115.938109) (xy 165.309892 115.936408) (xy 165.33801 115.936817) (xy 165.36802 115.939336)
+ (xy 165.39992 115.943965) (xy 165.42504 115.949455) (xy 165.454221 115.957165) (xy 165.480938 115.965818)
+ (xy 165.505189 115.975413) (xy 165.531038 115.988171) (xy 165.553337 116.002287) (xy 165.556708 116.004772)
+ (xy 165.58524 116.029937) (xy 165.608296 116.058304) (xy 165.625874 116.089872) (xy 165.637975 116.124643)
+ (xy 165.644599 116.162616) (xy 165.645747 116.20379) (xy 165.641417 116.248166) (xy 165.63161 116.295744)
+ (xy 165.624653 116.320734) (xy 165.616327 116.346524) (xy 165.606631 116.373115) (xy 165.595566 116.400506)
+ (xy 165.583132 116.428697) (xy 165.569328 116.457689) (xy 165.554156 116.487482) (xy 165.537614 116.518075)
+ (xy 165.519702 116.549468) (xy 165.500422 116.581662) (xy 165.479772 116.614656) (xy 165.457753 116.648451)
+ (xy 165.434365 116.683046) (xy 165.409608 116.718442) (xy 165.383481 116.754638) (xy 165.355985 116.791635)
+ (xy 165.336272 116.817212) (xy 165.316269 116.842009) (xy 165.295976 116.866027) (xy 165.275392 116.889264)
+ (xy 165.254518 116.91172) (xy 165.233354 116.933397) (xy 165.2119 116.954294) (xy 165.190156 116.97441)
+ (xy 165.168122 116.993747) (xy 165.145797 117.012303) (xy 165.123182 117.030079) (xy 165.100278 117.047075)
+ (xy 165.077082 117.06329) (xy 165.053597 117.078726) (xy 165.029822 117.093382) (xy 165.005756 117.107257)
+ (xy 164.981621 117.120265) (xy 164.957547 117.13244) (xy 164.933532 117.143782) (xy 164.909578 117.154292)
+ (xy 164.885683 117.163969) (xy 164.861849 117.172813) (xy 164.838075 117.180824) (xy 164.814361 117.188003)
+ (xy 164.790707 117.194348) (xy 164.755338 117.202306) (xy 164.720105 117.208389) (xy 164.685007 117.212599)
+ (xy 164.650044 117.214935) (xy 164.626811 117.215451) (xy 164.582043 117.215324) (xy 164.537897 117.213498)
+ (xy 164.494375 117.209973) (xy 164.451476 117.204749) (xy 164.4092 117.197827) (xy 164.367547 117.189205)
+ (xy 164.326517 117.178885) (xy 164.28611 117.166867) (xy 164.246326 117.153149) (xy 164.207165 117.137733)
+ (xy 164.168627 117.120618) (xy 164.130713 117.101805) (xy 164.093421 117.081292) (xy 164.056752 117.059081)
+ (xy 164.020707 117.035171) (xy 163.985284 117.009563) (xy 163.963464 116.99277) (xy 163.942257 116.975739)
+ (xy 163.921665 116.95847) (xy 163.901686 116.940964) (xy 163.88232 116.923219) (xy 163.863569 116.905237)
+ (xy 163.845431 116.887017) (xy 163.827907 116.868559) (xy 163.810997 116.849863) (xy 163.794701 116.83093)
+ (xy 163.779018 116.811758) (xy 163.763949 116.792349) (xy 163.735653 116.752817) (xy 163.709812 116.712334)
+ (xy 163.686425 116.670899) (xy 163.665494 116.628513) (xy 163.647018 116.585176) (xy 163.630997 116.540887)
+ (xy 163.617431 116.495647) (xy 163.606321 116.449455) (xy 163.597665 116.402312) (xy 163.591464 116.354218)
+ (xy 163.5877 116.305768) (xy 163.586263 116.257678) (xy 163.587151 116.20995) (xy 163.588938 116.183607)
+ (xy 164.186009 116.183607) (xy 164.186544 116.201696) (xy 164.188448 116.228272) (xy 164.191721 116.253407)
+ (xy 164.198214 116.284677) (xy 164.20714 116.313384) (xy 164.218499 116.339529) (xy 164.232291 116.363111)
+ (xy 164.248516 116.384129) (xy 164.267174 116.402585) (xy 164.277416 116.410852) (xy 164.544201 116.056817)
+ (xy 164.56166 116.03073) (xy 164.573801 116.005868) (xy 164.580623 115.98223) (xy 164.581671 115.954404)
+ (xy 164.574408 115.928491) (xy 164.558834 115.904492) (xy 164.540391 115.88667) (xy 164.534949 115.882406)
+ (xy 164.514406 115.868811) (xy 164.489028 115.856926) (xy 164.462857 115.849875) (xy 164.435894 115.847655)
+ (xy 164.408139 115.850268) (xy 164.393964 115.853387) (xy 164.370215 115.861228) (xy 164.346852 115.872569)
+ (xy 164.323874 115.887412) (xy 164.301281 115.905756) (xy 164.283485 115.922952) (xy 164.265935 115.942388)
+ (xy 164.248633 115.964066) (xy 164.23263 115.987727) (xy 164.218983 116.013114) (xy 164.20769 116.040228)
+ (xy 164.198752 116.069069) (xy 164.192168 116.099636) (xy 164.187939 116.131929) (xy 164.186312 116.157282)
+ (xy 164.186009 116.183607) (xy 163.588938 116.183607) (xy 163.590365 116.162584) (xy 163.595906 116.115578)
+ (xy 163.603773 116.068933) (xy 163.613966 116.02265) (xy 163.626485 115.976728) (xy 163.64133 115.931166)
+ (xy 163.658502 115.885967) (xy 163.678 115.841128) (xy 163.688621 115.818844) (xy 163.699824 115.79665)
+ (xy 163.711608 115.774547) (xy 163.723974 115.752534) (xy 163.736921 115.730611) (xy 163.75045 115.708778)
+ (xy 163.76456 115.687036) (xy 163.779252 115.665384) (xy 163.794526 115.643822) (xy 163.810381 115.622351)
+ (xy 163.828871 115.598373) (xy 163.847594 115.575206) (xy 163.866551 115.552849) (xy 163.88574 115.531303)
+ (xy 163.905163 115.510567) (xy 163.924818 115.490641) (xy 163.944707 115.471525) (xy 163.964829 115.45322)
+ (xy 163.985184 115.435725) (xy 164.005772 115.41904) (xy 164.026593 115.403165) (xy 164.047648 115.388101)
+ (xy 164.068935 115.373847) (xy 164.090456 115.360404) (xy 164.112209 115.34777) (xy 164.134196 115.335948)
+ (xy 164.156416 115.324935) (xy 164.178869 115.314733) (xy 164.201555 115.30534) (xy 164.224474 115.296759)
+ (xy 164.271011 115.282026) (xy 164.318481 115.270535) (xy 164.342566 115.266004) (xy 164.366883 115.262285)
+ (xy 164.391434 115.259375) (xy 164.416218 115.257276) (xy 164.441235 115.255987) (xy 164.466485 115.255508)
)
)
(polygon
(pts
- (xy 165.526861 113.552499) (xy 165.553617 113.557895) (xy 165.577606 113.564552) (xy 165.601288 113.573548)
- (xy 165.617287 113.581647) (xy 165.638829 113.594554) (xy 165.662007 113.609977) (xy 165.685398 113.626522)
- (xy 165.707759 113.642969) (xy 165.715687 113.648916) (xy 166.878738 114.525338) (xy 166.898627 114.54067)
- (xy 166.917942 114.556257) (xy 166.936682 114.572099) (xy 166.972438 114.60455) (xy 167.005894 114.638023)
- (xy 167.037053 114.672517) (xy 167.065912 114.708032) (xy 167.092472 114.744568) (xy 167.116734 114.782126)
- (xy 167.138697 114.820706) (xy 167.158362 114.860306) (xy 167.175727 114.900928) (xy 167.190794 114.942572)
- (xy 167.203561 114.985236) (xy 167.214031 115.028923) (xy 167.222201 115.07363) (xy 167.228072 115.119359)
- (xy 167.230146 115.142606) (xy 167.232884 115.188978) (xy 167.233738 115.234624) (xy 167.232709 115.279543)
- (xy 167.229796 115.323735) (xy 167.224999 115.367201) (xy 167.218319 115.40994) (xy 167.209755 115.451953)
- (xy 167.199308 115.493239) (xy 167.186977 115.533799) (xy 167.172762 115.573632) (xy 167.156664 115.612739)
- (xy 167.138682 115.65112) (xy 167.118817 115.688773) (xy 167.097068 115.725701) (xy 167.073435 115.761901)
- (xy 167.047919 115.797376) (xy 167.02745 115.823691) (xy 167.00628 115.84924) (xy 166.98441 115.874022)
- (xy 166.961839 115.898037) (xy 166.938568 115.921286) (xy 166.914596 115.943768) (xy 166.889924 115.965483)
- (xy 166.864552 115.986431) (xy 166.838479 116.006613) (xy 166.811705 116.026027) (xy 166.784231 116.044675)
- (xy 166.756057 116.062557) (xy 166.727182 116.079671) (xy 166.697607 116.096019) (xy 166.667331 116.1116)
- (xy 166.636355 116.126414) (xy 166.605781 116.140131) (xy 166.5765 116.15245) (xy 166.54851 116.163372)
- (xy 166.521813 116.172896) (xy 166.496408 116.181022) (xy 166.472295 116.187751) (xy 166.438549 116.195224)
- (xy 166.40771 116.199551) (xy 166.379778 116.200734) (xy 166.354753 116.198773) (xy 166.325909 116.191266)
- (xy 166.302234 116.178168) (xy 166.28253 116.162157) (xy 166.264381 116.144993) (xy 166.247786 116.126675)
- (xy 166.232746 116.107204) (xy 166.21926 116.086579) (xy 166.20733 116.064801) (xy 166.196953 116.041869)
- (xy 166.188132 116.017784) (xy 166.180865 115.992545) (xy 166.175153 115.966153) (xy 166.172209 115.947917)
- (xy 166.16674 115.918668) (xy 166.162177 115.891931) (xy 166.158522 115.867706) (xy 166.155331 115.841953)
- (xy 166.15326 115.816481) (xy 166.152874 115.804136) (xy 166.155191 115.778499) (xy 166.162162 115.754071)
- (xy 166.173787 115.730851) (xy 166.182255 115.718473) (xy 166.199379 115.700125) (xy 166.220984 115.684581)
- (xy 166.243564 115.673279) (xy 166.269576 115.664125) (xy 166.277639 115.661903) (xy 166.302962 115.654468)
- (xy 166.327609 115.646242) (xy 166.351579 115.637226) (xy 166.374872 115.627419) (xy 166.39749 115.616821)
- (xy 166.41943 115.605433) (xy 166.440694 115.593254) (xy 166.471321 115.573504) (xy 166.500427 115.551975)
- (xy 166.528009 115.528667) (xy 166.55407 115.50358) (xy 166.578609 115.476715) (xy 166.594122 115.457817)
- (xy 166.601625 115.448071) (xy 166.615711 115.427835) (xy 166.631372 115.400196) (xy 166.643466 115.371804)
- (xy 166.651994 115.34266) (xy 166.656956 115.312764) (xy 166.65835 115.282116) (xy 166.656179 115.250715)
- (xy 166.65044 115.218562) (xy 166.648449 115.210406) (xy 166.641484 115.186303) (xy 166.633029 115.16293)
- (xy 166.623082 115.140288) (xy 166.611643 115.118376) (xy 166.598713 115.097196) (xy 166.584292 115.076746)
- (xy 166.568379 115.057027) (xy 166.550975 115.038039) (xy 166.532079 115.019782) (xy 166.511692 115.002255)
- (xy 166.497272 114.990977) (xy 166.412908 114.927404) (xy 166.419483 114.954062) (xy 166.424218 114.980881)
- (xy 166.427112 115.007859) (xy 166.428165 115.034997) (xy 166.427378 115.062295) (xy 166.424749 115.089753)
- (xy 166.42028 115.117371) (xy 166.41397 115.145149) (xy 166.40582 115.173087) (xy 166.395828 115.201185)
- (xy 166.383996 115.229443) (xy 166.370323 115.25786) (xy 166.354809 115.286438) (xy 166.337455 115.315175)
- (xy 166.318259 115.344072) (xy 166.297223 115.37313) (xy 166.274515 115.401521) (xy 166.250302 115.428421)
- (xy 166.224586 115.453828) (xy 166.197365 115.477745) (xy 166.16864 115.500169) (xy 166.138411 115.521101)
- (xy 166.106679 115.540542) (xy 166.073442 115.558491) (xy 166.038701 115.574948) (xy 166.002456 115.589913)
- (xy 165.964707 115.603387) (xy 165.925454 115.615369) (xy 165.884697 115.625859) (xy 165.842435 115.634857)
- (xy 165.79867 115.642364) (xy 165.753401 115.648379) (xy 165.707685 115.652537) (xy 165.66261 115.654687)
- (xy 165.618176 115.654829) (xy 165.574383 115.652964) (xy 165.531231 115.64909) (xy 165.488719 115.643209)
- (xy 165.446849 115.63532) (xy 165.40562 115.625424) (xy 165.365031 115.613519) (xy 165.325084 115.599607)
- (xy 165.285777 115.583686) (xy 165.247111 115.565758) (xy 165.209087 115.545823) (xy 165.171703 115.523879)
- (xy 165.13496 115.499927) (xy 165.098858 115.473968) (xy 165.063893 115.446365) (xy 165.030682 115.417576)
- (xy 164.999225 115.3876) (xy 164.969523 115.356437) (xy 164.941575 115.324088) (xy 164.915382 115.290552)
- (xy 164.890943 115.255829) (xy 164.868258 115.219919) (xy 164.847328 115.182823) (xy 164.828152 115.14454)
- (xy 164.810731 115.105071) (xy 164.795064 115.064414) (xy 164.781151 115.022571) (xy 164.768993 114.979542)
- (xy 164.758589 114.935325) (xy 164.749939 114.889922) (xy 164.743173 114.84435) (xy 164.738328 114.799747)
- (xy 164.735405 114.756114) (xy 164.735383 114.755184) (xy 165.340015 114.755184) (xy 165.342979 114.785874)
- (xy 165.345728 114.801393) (xy 165.352983 114.831589) (xy 165.36231 114.860049) (xy 165.37371 114.886774)
- (xy 165.387182 114.911763) (xy 165.402726 114.935017) (xy 165.420343 114.956535) (xy 165.440032 114.976318)
- (xy 165.461794 114.994365) (xy 165.485154 115.010212) (xy 165.509638 115.023394) (xy 165.535246 115.03391)
- (xy 165.561979 115.041762) (xy 165.589835 115.046949) (xy 165.618816 115.04947) (xy 165.648922 115.049327)
- (xy 165.680151 115.046518) (xy 165.711161 115.041036) (xy 165.740609 115.032871) (xy 165.768495 115.022024)
- (xy 165.794818 115.008494) (xy 165.819579 114.992281) (xy 165.842777 114.973386) (xy 165.864413 114.951808)
- (xy 165.884487 114.927547) (xy 165.9022 114.901616) (xy 165.916754 114.875024) (xy 165.928151 114.847773)
- (xy 165.936389 114.819862) (xy 165.941468 114.791292) (xy 165.943389 114.762061) (xy 165.942152 114.732171)
- (xy 165.937756 114.701621) (xy 165.930862 114.671518) (xy 165.921944 114.643211) (xy 165.911004 114.616701)
- (xy 165.898041 114.591988) (xy 165.883055 114.569071) (xy 165.866046 114.547951) (xy 165.847014 114.528628)
- (xy 165.825959 114.511101) (xy 165.80319 114.495533) (xy 165.779259 114.482266) (xy 165.754167 114.471302)
- (xy 165.727914 114.462641) (xy 165.700498 114.456282) (xy 165.671922 114.452225) (xy 165.642183 114.45047)
- (xy 165.611283 114.451019) (xy 165.580564 114.454212) (xy 165.551185 114.460637) (xy 165.523146 114.470293)
- (xy 165.496446 114.483181) (xy 165.471087 114.499301) (xy 165.447067 114.518652) (xy 165.424387 114.541235)
- (xy 165.408256 114.560293) (xy 165.403046 114.56705) (xy 165.388493 114.587754) (xy 165.375839 114.608719)
- (xy 165.361922 114.637081) (xy 165.351381 114.665908) (xy 165.344216 114.695201) (xy 165.340427 114.72496)
- (xy 165.340015 114.755184) (xy 164.735383 114.755184) (xy 164.734403 114.713451) (xy 164.735322 114.671759)
- (xy 164.738162 114.631035) (xy 164.742923 114.591282) (xy 164.749606 114.552499) (xy 164.75821 114.514686)
- (xy 164.768735 114.477842) (xy 164.781181 114.441968) (xy 164.795549 114.407065) (xy 164.811837 114.373131)
- (xy 164.830047 114.340167) (xy 164.850179 114.308173) (xy 164.872231 114.277149) (xy 164.890585 114.253934)
- (xy 164.909634 114.232079) (xy 164.929378 114.211585) (xy 164.949818 114.19245) (xy 164.970953 114.174676)
- (xy 164.992783 114.158262) (xy 165.015309 114.143208) (xy 165.03853 114.129515) (xy 165.061434 114.117208)
- (xy 165.088199 114.103811) (xy 165.112889 114.092621) (xy 165.139779 114.082108) (xy 165.163682 114.074773)
- (xy 165.184599 114.070617) (xy 165.209595 114.067377) (xy 165.235706 114.065186) (xy 165.262221 114.06456)
- (xy 165.274493 114.06496) (xy 165.253593 114.04358) (xy 165.236057 114.0216) (xy 165.221885 113.99902)
- (xy 165.211078 113.975839) (xy 165.203635 113.952057) (xy 165.199557 113.927674) (xy 165.198868 113.917753)
- (xy 165.199769 113.892005) (xy 165.204877 113.864835) (xy 165.214192 113.836243) (xy 165.224674 113.812347)
- (xy 165.237848 113.78754) (xy 165.253714 113.761824) (xy 165.272273 113.735197) (xy 165.277334 113.728399)
- (xy 165.292596 113.708457) (xy 165.312196 113.683834) (xy 165.33094 113.661457) (xy 165.348828 113.641326)
- (xy 165.365859 113.62344) (xy 165.385945 113.604241) (xy 165.404693 113.588552) (xy 165.4153 113.580822)
- (xy 165.439368 113.566403) (xy 165.463624 113.556589) (xy 165.488068 113.55138) (xy 165.512701 113.550777)
+ (xy 165.592271 113.465697) (xy 165.619027 113.471093) (xy 165.643016 113.47775) (xy 165.666698 113.486746)
+ (xy 165.682697 113.494845) (xy 165.704239 113.507752) (xy 165.727417 113.523175) (xy 165.750808 113.53972)
+ (xy 165.773169 113.556167) (xy 165.781097 113.562114) (xy 166.944148 114.438536) (xy 166.964037 114.453868)
+ (xy 166.983352 114.469455) (xy 167.002092 114.485297) (xy 167.037848 114.517748) (xy 167.071305 114.551221)
+ (xy 167.102463 114.585715) (xy 167.131322 114.62123) (xy 167.157883 114.657766) (xy 167.182144 114.695324)
+ (xy 167.204107 114.733904) (xy 167.223772 114.773504) (xy 167.241137 114.814126) (xy 167.256204 114.85577)
+ (xy 167.268972 114.898434) (xy 167.279441 114.94212) (xy 167.287611 114.986828) (xy 167.293483 115.032557)
+ (xy 167.295556 115.055804) (xy 167.298294 115.102176) (xy 167.299148 115.147822) (xy 167.298119 115.19274)
+ (xy 167.295206 115.236933) (xy 167.290409 115.280399) (xy 167.283729 115.323138) (xy 167.275166 115.365151)
+ (xy 167.264718 115.406437) (xy 167.252387 115.446997) (xy 167.238173 115.48683) (xy 167.222074 115.525937)
+ (xy 167.204093 115.564317) (xy 167.184227 115.601971) (xy 167.162478 115.638899) (xy 167.138846 115.675099)
+ (xy 167.113329 115.710573) (xy 167.09286 115.736889) (xy 167.07169 115.762438) (xy 167.04982 115.78722)
+ (xy 167.027249 115.811235) (xy 167.003978 115.834484) (xy 166.980006 115.856966) (xy 166.955334 115.878681)
+ (xy 166.929962 115.899629) (xy 166.903889 115.919811) (xy 166.877115 115.939225) (xy 166.849641 115.957873)
+ (xy 166.821467 115.975755) (xy 166.792592 115.992869) (xy 166.763017 116.009217) (xy 166.732741 116.024798)
+ (xy 166.701765 116.039612) (xy 166.671191 116.053329) (xy 166.64191 116.065648) (xy 166.61392 116.07657)
+ (xy 166.587223 116.086094) (xy 166.561818 116.09422) (xy 166.537706 116.100949) (xy 166.503959 116.108421)
+ (xy 166.47312 116.112749) (xy 166.445188 116.113932) (xy 166.420163 116.111971) (xy 166.391319 116.104463)
+ (xy 166.367644 116.091366) (xy 166.34794 116.075355) (xy 166.329791 116.058191) (xy 166.313196 116.039873)
+ (xy 166.298156 116.020402) (xy 166.28467 115.999777) (xy 166.27274 115.977999) (xy 166.262364 115.955067)
+ (xy 166.253542 115.930982) (xy 166.246275 115.905743) (xy 166.240563 115.879351) (xy 166.237619 115.861115)
+ (xy 166.23215 115.831866) (xy 166.227587 115.805129) (xy 166.223932 115.780904) (xy 166.220742 115.755151)
+ (xy 166.21867 115.729679) (xy 166.218284 115.717334) (xy 166.220601 115.691697) (xy 166.227572 115.667269)
+ (xy 166.239197 115.644049) (xy 166.247665 115.631671) (xy 166.264789 115.613323) (xy 166.286394 115.597779)
+ (xy 166.308975 115.586477) (xy 166.334987 115.577322) (xy 166.343049 115.575101) (xy 166.368372 115.567666)
+ (xy 166.393019 115.55944) (xy 166.416989 115.550423) (xy 166.440283 115.540616) (xy 166.4629 115.530019)
+ (xy 166.48484 115.518631) (xy 166.506104 115.506452) (xy 166.536731 115.486702) (xy 166.565837 115.465173)
+ (xy 166.59342 115.441865) (xy 166.61948 115.416778) (xy 166.644019 115.389913) (xy 166.659532 115.371015)
+ (xy 166.667035 115.361269) (xy 166.681121 115.341033) (xy 166.696782 115.313394) (xy 166.708876 115.285002)
+ (xy 166.717404 115.255858) (xy 166.722366 115.225962) (xy 166.72376 115.195314) (xy 166.721589 115.163913)
+ (xy 166.71585 115.13176) (xy 166.713859 115.123604) (xy 166.706894 115.099501) (xy 166.698439 115.076128)
+ (xy 166.688492 115.053486) (xy 166.677053 115.031574) (xy 166.664123 115.010394) (xy 166.649702 114.989944)
+ (xy 166.633789 114.970225) (xy 166.616385 114.951237) (xy 166.597489 114.93298) (xy 166.577102 114.915453)
+ (xy 166.562682 114.904175) (xy 166.478318 114.840602) (xy 166.484893 114.86726) (xy 166.489628 114.894079)
+ (xy 166.492522 114.921057) (xy 166.493575 114.948195) (xy 166.492788 114.975493) (xy 166.490159 115.002951)
+ (xy 166.48569 115.030569) (xy 166.47938 115.058347) (xy 166.47123 115.086285) (xy 166.461238 115.114383)
+ (xy 166.449406 115.14264) (xy 166.435733 115.171058) (xy 166.420219 115.199636) (xy 166.402865 115.228373)
+ (xy 166.383669 115.25727) (xy 166.362633 115.286328) (xy 166.339925 115.314719) (xy 166.315712 115.341619)
+ (xy 166.289996 115.367026) (xy 166.262775 115.390942) (xy 166.23405 115.413367) (xy 166.203821 115.434299)
+ (xy 166.172089 115.45374) (xy 166.138852 115.471689) (xy 166.104111 115.488146) (xy 166.067866 115.503111)
+ (xy 166.030117 115.516585) (xy 165.990864 115.528567) (xy 165.950107 115.539057) (xy 165.907845 115.548055)
+ (xy 165.86408 115.555562) (xy 165.818811 115.561576) (xy 165.773095 115.565734) (xy 165.72802 115.567885)
+ (xy 165.683586 115.568027) (xy 165.639793 115.566162) (xy 165.596641 115.562288) (xy 165.554129 115.556407)
+ (xy 165.512259 115.548518) (xy 165.47103 115.538622) (xy 165.430441 115.526717) (xy 165.390494 115.512805)
+ (xy 165.351187 115.496884) (xy 165.312521 115.478956) (xy 165.274497 115.45902) (xy 165.237113 115.437077)
+ (xy 165.20037 115.413125) (xy 165.164268 115.387166) (xy 165.129303 115.359563) (xy 165.096092 115.330774)
+ (xy 165.064635 115.300798) (xy 165.034933 115.269635) (xy 165.006985 115.237286) (xy 164.980792 115.20375)
+ (xy 164.956353 115.169027) (xy 164.933668 115.133117) (xy 164.912738 115.096021) (xy 164.893562 115.057738)
+ (xy 164.876141 115.018269) (xy 164.860474 114.977612) (xy 164.846561 114.935769) (xy 164.834403 114.89274)
+ (xy 164.823999 114.848523) (xy 164.815349 114.80312) (xy 164.808583 114.757548) (xy 164.803739 114.712945)
+ (xy 164.800815 114.669312) (xy 164.800793 114.668382) (xy 165.405425 114.668382) (xy 165.40839 114.699072)
+ (xy 165.411138 114.714591) (xy 165.418393 114.744787) (xy 165.42772 114.773247) (xy 165.43912 114.799972)
+ (xy 165.452592 114.824961) (xy 165.468136 114.848215) (xy 165.485753 114.869733) (xy 165.505442 114.889516)
+ (xy 165.527204 114.907563) (xy 165.550564 114.92341) (xy 165.575048 114.936592) (xy 165.600656 114.947108)
+ (xy 165.627389 114.95496) (xy 165.655245 114.960147) (xy 165.684226 114.962668) (xy 165.714332 114.962525)
+ (xy 165.745561 114.959716) (xy 165.776571 114.954234) (xy 165.806019 114.946069) (xy 165.833905 114.935222)
+ (xy 165.860228 114.921691) (xy 165.884989 114.905479) (xy 165.908187 114.886584) (xy 165.929823 114.865006)
+ (xy 165.949897 114.840745) (xy 165.96761 114.814814) (xy 165.982164 114.788222) (xy 165.993561 114.760971)
+ (xy 166.001799 114.73306) (xy 166.006878 114.704489) (xy 166.008799 114.675259) (xy 166.007562 114.645369)
+ (xy 166.003166 114.614819) (xy 165.996272 114.584715) (xy 165.987354 114.556409) (xy 165.976414 114.529899)
+ (xy 165.963451 114.505185) (xy 165.948465 114.482269) (xy 165.931456 114.461149) (xy 165.912424 114.441826)
+ (xy 165.891369 114.424299) (xy 165.8686 114.408731) (xy 165.844669 114.395464) (xy 165.819577 114.3845)
+ (xy 165.793324 114.375839) (xy 165.765908 114.369479) (xy 165.737332 114.365423) (xy 165.707593 114.363668)
+ (xy 165.676693 114.364216) (xy 165.645974 114.36741) (xy 165.616595 114.373834) (xy 165.588556 114.383491)
+ (xy 165.561856 114.396379) (xy 165.536497 114.412499) (xy 165.512477 114.43185) (xy 165.489797 114.454433)
+ (xy 165.473666 114.473491) (xy 165.468457 114.480248) (xy 165.453903 114.500952) (xy 165.441249 114.521917)
+ (xy 165.427332 114.550279) (xy 165.416791 114.579106) (xy 165.409626 114.608399) (xy 165.405837 114.638158)
+ (xy 165.405425 114.668382) (xy 164.800793 114.668382) (xy 164.799813 114.626649) (xy 164.800732 114.584956)
+ (xy 164.803572 114.544233) (xy 164.808333 114.50448) (xy 164.815016 114.465697) (xy 164.82362 114.427884)
+ (xy 164.834145 114.39104) (xy 164.846591 114.355166) (xy 164.860959 114.320263) (xy 164.877248 114.286329)
+ (xy 164.895457 114.253365) (xy 164.915589 114.221371) (xy 164.937641 114.190347) (xy 164.955995 114.167132)
+ (xy 164.975044 114.145277) (xy 164.994788 114.124782) (xy 165.015228 114.105648) (xy 165.036363 114.087874)
+ (xy 165.058193 114.07146) (xy 165.080719 114.056406) (xy 165.10394 114.042713) (xy 165.126844 114.030406)
+ (xy 165.153609 114.017009) (xy 165.178299 114.005819) (xy 165.205189 113.995306) (xy 165.229092 113.987971)
+ (xy 165.250009 113.983815) (xy 165.275005 113.980575) (xy 165.301116 113.978384) (xy 165.327631 113.977758)
+ (xy 165.339903 113.978158) (xy 165.319003 113.956778) (xy 165.301467 113.934798) (xy 165.287295 113.912218)
+ (xy 165.276488 113.889036) (xy 165.269045 113.865255) (xy 165.264967 113.840872) (xy 165.264278 113.830951)
+ (xy 165.265179 113.805203) (xy 165.270287 113.778033) (xy 165.279602 113.749441) (xy 165.290084 113.725544)
+ (xy 165.303258 113.700738) (xy 165.319124 113.675021) (xy 165.337683 113.648395) (xy 165.342744 113.641597)
+ (xy 165.358006 113.621655) (xy 165.377606 113.597032) (xy 165.39635 113.574655) (xy 165.414238 113.554524)
+ (xy 165.431269 113.536638) (xy 165.451355 113.517439) (xy 165.470103 113.50175) (xy 165.48071 113.49402)
+ (xy 165.504778 113.479601) (xy 165.529034 113.469787) (xy 165.553478 113.464578) (xy 165.578111 113.463974)
)
)
(polygon
(pts
- (xy 167.022997 110.887019) (xy 167.059445 110.889634) (xy 167.084059 110.892228) (xy 167.108925 110.895502)
- (xy 167.134044 110.899455) (xy 167.159415 110.904089) (xy 167.184813 110.90934) (xy 167.210043 110.915361)
- (xy 167.235105 110.922151) (xy 167.26 110.929711) (xy 167.284727 110.93804) (xy 167.309286 110.947139)
- (xy 167.333678 110.957008) (xy 167.357901 110.967646) (xy 167.381957 110.979053) (xy 167.405845 110.991231)
- (xy 167.429566 111.004177) (xy 167.453118 111.017894) (xy 167.476503 111.03238) (xy 167.49972 111.047635)
- (xy 167.52277 111.06366) (xy 167.545652 111.080455) (xy 167.565228 111.095529) (xy 167.584274 111.110849)
- (xy 167.620776 111.142226) (xy 167.655158 111.174586) (xy 167.68742 111.207928) (xy 167.717562 111.242253)
- (xy 167.745583 111.277561) (xy 167.771484 111.313851) (xy 167.795264 111.351124) (xy 167.816925 111.38938)
- (xy 167.836465 111.428618) (xy 167.853885 111.468839) (xy 167.869184 111.510043) (xy 167.882363 111.552229)
- (xy 167.893422 111.595398) (xy 167.902361 111.639549) (xy 167.909179 111.684684) (xy 167.934422 111.691156)
- (xy 167.961177 111.697943) (xy 167.989444 111.705046) (xy 168.019224 111.712464) (xy 168.050517 111.720198)
- (xy 168.083321 111.728247) (xy 168.117639 111.736612) (xy 168.153468 111.745292) (xy 168.19081 111.754287)
- (xy 168.229665 111.763598) (xy 168.270032 111.773224) (xy 168.311912 111.783166) (xy 168.355303 111.793423)
- (xy 168.400208 111.803995) (xy 168.446625 111.814883) (xy 168.494554 111.826086) (xy 168.518636 111.832483)
- (xy 168.548347 111.841002) (xy 168.575319 111.849512) (xy 168.59955 111.858011) (xy 168.625986 111.868622)
- (xy 168.648141 111.879216) (xy 168.669075 111.891909) (xy 168.671965 111.894023) (xy 168.69054 111.910419)
- (xy 168.706218 111.92943) (xy 168.718999 111.951056) (xy 168.728882 111.975296) (xy 168.735867 112.002151)
- (xy 168.739955 112.03162) (xy 168.741146 112.063703) (xy 168.739439 112.098401) (xy 168.736691 112.122986)
- (xy 168.732655 112.148733) (xy 168.727332 112.175641) (xy 168.720721 112.203712) (xy 168.713483 112.232579)
- (xy 168.705825 112.259434) (xy 168.697749 112.284277) (xy 168.687064 112.312501) (xy 168.675725 112.337582)
- (xy 168.66373 112.359518) (xy 168.651081 112.37831) (xy 168.635221 112.397395) (xy 168.615641 112.416131)
- (xy 168.5949 112.431067) (xy 168.572999 112.442201) (xy 168.556646 112.447827) (xy 168.530818 112.454073)
- (xy 168.506614 112.457911) (xy 168.481325 112.459349) (xy 168.463076 112.458361) (xy 168.436149 112.453146)
- (xy 168.405206 112.446373) (xy 168.379459 112.440495) (xy 168.349618 112.433526) (xy 168.315683 112.425467)
- (xy 168.277653 112.416318) (xy 168.235529 112.406079) (xy 168.189311 112.394749) (xy 168.164666 112.388675)
- (xy 168.138998 112.382329) (xy 168.112306 112.37571) (xy 168.084591 112.368819) (xy 168.055852 112.361655)
- (xy 168.02609 112.354218) (xy 167.995304 112.346509) (xy 167.963494 112.338528) (xy 167.930661 112.330274)
- (xy 167.896804 112.321747) (xy 167.861924 112.312947) (xy 167.82602 112.303876) (xy 167.789092 112.294531)
- (xy 167.729046 112.385375) (xy 167.462261 112.739411) (xy 167.779723 112.978636) (xy 167.80278 112.996252)
- (xy 167.823307 113.012446) (xy 167.844057 113.029541) (xy 167.863556 113.046707) (xy 167.873459 113.056152)
- (xy 167.891373 113.076311) (xy 167.906875 113.098838) (xy 167.920159 113.122348) (xy 167.928226 113.138708)
- (xy 167.936846 113.164127) (xy 167.939798 113.192155) (xy 167.93708 113.222792) (xy 167.93132 113.247482)
- (xy 167.922372 113.273639) (xy 167.910235 113.301264) (xy 167.894909 113.330356) (xy 167.876394 113.360916)
- (xy 167.862279 113.382104) (xy 167.846747 113.403945) (xy 167.838449 113.41511) (xy 167.820012 113.438734)
- (xy 167.801721 113.460473) (xy 167.783578 113.480329) (xy 167.765583 113.4983) (xy 167.738866 113.521725)
- (xy 167.71248 113.540911) (xy 167.686427 113.555859) (xy 167.660705 113.566567) (xy 167.635314 113.573036)
- (xy 167.610255 113.575266) (xy 167.585528 113.573258) (xy 167.561132 113.56701) (xy 167.537074 113.557976)
- (xy 167.510389 113.545079) (xy 167.486144 113.531383) (xy 167.460075 113.515005) (xy 167.437906 113.499972)
- (xy 167.414569 113.483222) (xy 167.402463 113.474204) (xy 165.843438 112.299394) (xy 165.820381 112.281778)
- (xy 165.799854 112.265584) (xy 165.779103 112.248489) (xy 165.759605 112.231323) (xy 165.749702 112.221878)
- (xy 165.731981 112.201461) (xy 165.716593 112.178784) (xy 165.70336 112.155206) (xy 165.695302 112.138834)
- (xy 165.686595 112.113529) (xy 165.68357 112.085601) (xy 165.686224 112.055048) (xy 165.691944 112.030411)
- (xy 165.700858 112.004298) (xy 165.707075 111.990135) (xy 166.467938 111.990135) (xy 166.965343 112.364957)
- (xy 167.23617 112.005557) (xy 167.250552 111.984474) (xy 167.263014 111.961944) (xy 167.273556 111.937967)
- (xy 167.282177 111.912543) (xy 167.288879 111.885673) (xy 167.29366 111.857355) (xy 167.296521 111.827591)
- (xy 167.297462 111.796379) (xy 167.295402 111.764901) (xy 167.289504 111.734522) (xy 167.279769 111.70524)
- (xy 167.266195 111.677056) (xy 167.248784 111.649971) (xy 167.233206 111.630377) (xy 167.21547 111.611401)
- (xy 167.195576 111.593042) (xy 167.173522 111.575302) (xy 167.15029 111.558917) (xy 167.127041 111.544765)
- (xy 167.103778 111.532845) (xy 167.080498 111.523158) (xy 167.057202 111.515704) (xy 167.026117 111.509237)
- (xy 166.995003 111.506739) (xy 166.963862 111.50821) (xy 166.932692 111.51365) (xy 166.924896 111.51563)
- (xy 166.894632 111.524877) (xy 166.866224 111.535784) (xy 166.83967 111.548352) (xy 166.814971 111.562581)
- (xy 166.792127 111.578469) (xy 166.771137 111.596019) (xy 166.752003 111.615229) (xy 166.734723 111.636099)
- (xy 166.467938 111.990135) (xy 165.707075 111.990135) (xy 165.712968 111.976709) (xy 165.728274 111.947644)
- (xy 165.746775 111.917102) (xy 165.760884 111.895921) (xy 165.776414 111.874084) (xy 165.784711 111.86292)
- (xy 166.241847 111.25628) (xy 166.265797 111.22596) (xy 166.291069 111.19681) (xy 166.317664 111.16883)
- (xy 166.345582 111.142019) (xy 166.374822 111.116378) (xy 166.405384 111.091907) (xy 166.43727 111.068606)
- (xy 166.470477 111.046475) (xy 166.505008 111.025514) (xy 166.54086 111.005722) (xy 166.578036 110.987101)
- (xy 166.616534 110.969649) (xy 166.656354 110.953367) (xy 166.697497 110.938255) (xy 166.739963 110.924312)
- (xy 166.783751 110.91154) (xy 166.816227 110.903448) (xy 166.849269 110.896885) (xy 166.88288 110.891852)
- (xy 166.917058 110.888349) (xy 166.951803 110.886376) (xy 166.987116 110.885932)
+ (xy 167.088407 110.800216) (xy 167.124855 110.802832) (xy 167.149469 110.805426) (xy 167.174335 110.8087)
+ (xy 167.199454 110.812653) (xy 167.224825 110.817286) (xy 167.250223 110.822538) (xy 167.275453 110.828558)
+ (xy 167.300515 110.835349) (xy 167.32541 110.842909) (xy 167.350137 110.851238) (xy 167.374696 110.860337)
+ (xy 167.399088 110.870206) (xy 167.423311 110.880844) (xy 167.447367 110.892251) (xy 167.471255 110.904428)
+ (xy 167.494976 110.917375) (xy 167.518529 110.931092) (xy 167.541913 110.945577) (xy 167.565131 110.960833)
+ (xy 167.58818 110.976858) (xy 167.611062 110.993652) (xy 167.630638 111.008727) (xy 167.649684 111.024047)
+ (xy 167.686186 111.055424) (xy 167.720568 111.087784) (xy 167.75283 111.121126) (xy 167.782972 111.155451)
+ (xy 167.810993 111.190759) (xy 167.836894 111.227049) (xy 167.860674 111.264322) (xy 167.882335 111.302578)
+ (xy 167.901875 111.341816) (xy 167.919295 111.382037) (xy 167.934594 111.423241) (xy 167.947773 111.465427)
+ (xy 167.958832 111.508596) (xy 167.967771 111.552747) (xy 167.974589 111.597881) (xy 167.999832 111.604353)
+ (xy 168.026587 111.611141) (xy 168.054854 111.618244) (xy 168.084634 111.625662) (xy 168.115927 111.633396)
+ (xy 168.148731 111.641445) (xy 168.183049 111.64981) (xy 168.218878 111.65849) (xy 168.25622 111.667485)
+ (xy 168.295075 111.676796) (xy 168.335442 111.686422) (xy 168.377322 111.696364) (xy 168.420714 111.706621)
+ (xy 168.465618 111.717193) (xy 168.512035 111.728081) (xy 168.559964 111.739284) (xy 168.584046 111.745681)
+ (xy 168.613758 111.7542) (xy 168.640729 111.76271) (xy 168.66496 111.771209) (xy 168.691396 111.78182)
+ (xy 168.713551 111.792414) (xy 168.734485 111.805107) (xy 168.737375 111.80722) (xy 168.75595 111.823617)
+ (xy 168.771628 111.842628) (xy 168.784409 111.864254) (xy 168.794292 111.888494) (xy 168.801277 111.915349)
+ (xy 168.805365 111.944818) (xy 168.806556 111.976901) (xy 168.804849 112.011599) (xy 168.802101 112.036184)
+ (xy 168.798065 112.061931) (xy 168.792742 112.088839) (xy 168.786131 112.11691) (xy 168.778893 112.145777)
+ (xy 168.771235 112.172632) (xy 168.763159 112.197475) (xy 168.752474 112.225699) (xy 168.741135 112.250779)
+ (xy 168.72914 112.272716) (xy 168.716491 112.291508) (xy 168.700631 112.310593) (xy 168.681051 112.329329)
+ (xy 168.66031 112.344265) (xy 168.638409 112.355399) (xy 168.622056 112.361025) (xy 168.596228 112.367271)
+ (xy 168.572024 112.371108) (xy 168.546735 112.372547) (xy 168.528486 112.371559) (xy 168.501559 112.366344)
+ (xy 168.470616 112.359571) (xy 168.444869 112.353693) (xy 168.415028 112.346724) (xy 168.381093 112.338665)
+ (xy 168.343063 112.329516) (xy 168.300939 112.319277) (xy 168.254721 112.307947) (xy 168.230076 112.301873)
+ (xy 168.204408 112.295527) (xy 168.177716 112.288908) (xy 168.150001 112.282017) (xy 168.121262 112.274853)
+ (xy 168.0915 112.267416) (xy 168.060714 112.259707) (xy 168.028904 112.251726) (xy 167.996071 112.243471)
+ (xy 167.962214 112.234945) (xy 167.927334 112.226145) (xy 167.89143 112.217073) (xy 167.854502 112.207729)
+ (xy 167.794456 112.298573) (xy 167.527671 112.652609) (xy 167.845133 112.891834) (xy 167.86819 112.90945)
+ (xy 167.888717 112.925644) (xy 167.909467 112.942739) (xy 167.928966 112.959905) (xy 167.938869 112.96935)
+ (xy 167.956783 112.989509) (xy 167.972285 113.012036) (xy 167.985569 113.035546) (xy 167.993636 113.051906)
+ (xy 168.002256 113.077325) (xy 168.005208 113.105353) (xy 168.00249 113.13599) (xy 167.996731 113.16068)
+ (xy 167.987783 113.186837) (xy 167.975645 113.214462) (xy 167.960319 113.243554) (xy 167.941804 113.274114)
+ (xy 167.927689 113.295302) (xy 167.912157 113.317143) (xy 167.903859 113.328308) (xy 167.885422 113.351932)
+ (xy 167.867131 113.373671) (xy 167.848988 113.393527) (xy 167.830993 113.411498) (xy 167.804276 113.434923)
+ (xy 167.77789 113.454109) (xy 167.751837 113.469056) (xy 167.726115 113.479765) (xy 167.700724 113.486234)
+ (xy 167.675665 113.488464) (xy 167.650938 113.486456) (xy 167.626542 113.480208) (xy 167.602484 113.471173)
+ (xy 167.575799 113.458277) (xy 167.551554 113.444581) (xy 167.525485 113.428203) (xy 167.503316 113.413169)
+ (xy 167.479979 113.39642) (xy 167.467873 113.387402) (xy 165.908848 112.212592) (xy 165.885791 112.194976)
+ (xy 165.865264 112.178782) (xy 165.844513 112.161687) (xy 165.825015 112.144521) (xy 165.815112 112.135076)
+ (xy 165.797391 112.114659) (xy 165.782003 112.091982) (xy 165.76877 112.068404) (xy 165.760712 112.052032)
+ (xy 165.752005 112.026727) (xy 165.74898 111.998799) (xy 165.751635 111.968246) (xy 165.757354 111.943609)
+ (xy 165.766268 111.917496) (xy 165.772485 111.903333) (xy 166.533348 111.903333) (xy 167.030753 112.278155)
+ (xy 167.301581 111.918755) (xy 167.315962 111.897672) (xy 167.328424 111.875142) (xy 167.338966 111.851165)
+ (xy 167.347587 111.825741) (xy 167.354289 111.798871) (xy 167.35907 111.770553) (xy 167.361931 111.740789)
+ (xy 167.362872 111.709577) (xy 167.360812 111.678099) (xy 167.354914 111.64772) (xy 167.345179 111.618438)
+ (xy 167.331605 111.590254) (xy 167.314194 111.563169) (xy 167.298616 111.543575) (xy 167.28088 111.524599)
+ (xy 167.260986 111.50624) (xy 167.238932 111.4885) (xy 167.2157 111.472115) (xy 167.192452 111.457963)
+ (xy 167.169188 111.446043) (xy 167.145908 111.436356) (xy 167.122612 111.428901) (xy 167.091527 111.422435)
+ (xy 167.060414 111.419937) (xy 167.029272 111.421408) (xy 166.998102 111.426848) (xy 166.990306 111.428828)
+ (xy 166.960042 111.438075) (xy 166.931634 111.448982) (xy 166.90508 111.46155) (xy 166.880381 111.475779)
+ (xy 166.857537 111.491667) (xy 166.836547 111.509217) (xy 166.817413 111.528427) (xy 166.800133 111.549297)
+ (xy 166.533348 111.903333) (xy 165.772485 111.903333) (xy 165.778379 111.889907) (xy 165.793684 111.860841)
+ (xy 165.812185 111.8303) (xy 165.826294 111.809119) (xy 165.841824 111.787282) (xy 165.850121 111.776118)
+ (xy 166.307257 111.169478) (xy 166.331207 111.139158) (xy 166.356479 111.110008) (xy 166.383074 111.082027)
+ (xy 166.410992 111.055217) (xy 166.440232 111.029576) (xy 166.470794 111.005105) (xy 166.50268 110.981804)
+ (xy 166.535887 110.959673) (xy 166.570418 110.938712) (xy 166.60627 110.91892) (xy 166.643446 110.900299)
+ (xy 166.681944 110.882847) (xy 166.721764 110.866565) (xy 166.762907 110.851453) (xy 166.805373 110.83751)
+ (xy 166.849161 110.824738) (xy 166.881637 110.816646) (xy 166.914679 110.810083) (xy 166.94829 110.80505)
+ (xy 166.982468 110.801547) (xy 167.017213 110.799574) (xy 167.052526 110.79913)
)
)
(polygon
(pts
- (xy 168.176512 109.218354) (xy 168.209647 109.220304) (xy 168.243044 109.223351) (xy 168.276703 109.227496)
- (xy 168.310623 109.232738) (xy 168.344805 109.239078) (xy 168.379248 109.246515) (xy 168.413953 109.25505)
- (xy 168.448919 109.264682) (xy 168.472375 109.271714) (xy 168.495948 109.279233) (xy 168.507778 109.283175)
- (xy 168.547232 109.29689) (xy 168.58643 109.311444) (xy 168.625372 109.326838) (xy 168.664057 109.343072)
- (xy 168.702485 109.360146) (xy 168.740656 109.37806) (xy 168.778571 109.396815) (xy 168.816229 109.416408)
- (xy 168.853631 109.436842) (xy 168.890776 109.458116) (xy 168.927664 109.48023) (xy 168.964296 109.503184)
- (xy 169.000671 109.526978) (xy 169.036789 109.551612) (xy 169.072651 109.577085) (xy 169.108256 109.603399)
- (xy 169.139648 109.62739) (xy 169.170307 109.651499) (xy 169.200234 109.675726) (xy 169.229427 109.700072)
- (xy 169.257888 109.724536) (xy 169.285616 109.749118) (xy 169.312612 109.773819) (xy 169.338874 109.798638)
- (xy 169.364404 109.823575) (xy 169.389202 109.848631) (xy 169.413266 109.873805) (xy 169.436598 109.899097)
- (xy 169.459196 109.924508) (xy 169.481062 109.950036) (xy 169.502196 109.975684) (xy 169.522596 110.001449)
- (xy 169.542264 110.027333) (xy 169.561199 110.053335) (xy 169.579402 110.079455) (xy 169.596871 110.105694)
- (xy 169.613608 110.132051) (xy 169.629612 110.158526) (xy 169.644883 110.18512) (xy 169.659422 110.211832)
- (xy 169.673227 110.238662) (xy 169.6863 110.265611) (xy 169.698641 110.292678) (xy 169.710248 110.319863)
- (xy 169.721123 110.347167) (xy 169.731265 110.374588) (xy 169.740674 110.402128) (xy 169.74935 110.429787)
- (xy 169.758111 110.461203) (xy 169.765346 110.493216) (xy 169.771056 110.525827) (xy 169.77524 110.559035)
- (xy 169.777899 110.592841) (xy 169.779033 110.627245) (xy 169.77864 110.662246) (xy 169.776723 110.697845)
- (xy 169.77328 110.734042) (xy 169.770137 110.758505) (xy 169.766316 110.783233) (xy 169.764151 110.795697)
- (xy 169.75923 110.820657) (xy 169.753465 110.845548) (xy 169.746855 110.870371) (xy 169.739402 110.895124)
- (xy 169.731104 110.91981) (xy 169.721962 110.944426) (xy 169.711976 110.968974) (xy 169.701145 110.993452)
- (xy 169.68947 111.017863) (xy 169.676952 111.042204) (xy 169.663589 111.066477) (xy 169.649381 111.090681)
- (xy 169.63433 111.114817) (xy 169.618434 111.138883) (xy 169.601694 111.162881) (xy 169.58411 111.186811)
- (xy 169.565985 111.210258) (xy 169.547529 111.23293) (xy 169.528743 111.254828) (xy 169.509627 111.275951)
- (xy 169.490181 111.2963) (xy 169.470404 111.315874) (xy 169.450297 111.334673) (xy 169.42986 111.352699)
- (xy 169.409093 111.369949) (xy 169.387995 111.386426) (xy 169.366567 111.402127) (xy 169.344809 111.417055)
- (xy 169.32272 111.431207) (xy 169.300302 111.444585) (xy 169.277553 111.457189) (xy 169.254474 111.469018)
- (xy 169.231329 111.480046) (xy 169.208294 111.490367) (xy 169.185366 111.499981) (xy 169.162547 111.508888)
- (xy 169.128523 111.520924) (xy 169.094742 111.53137) (xy 169.061205 111.540226) (xy 169.027912 111.547492)
- (xy 168.994863 111.553167) (xy 168.962059 111.557253) (xy 168.929498 111.559748) (xy 168.897181 111.560653)
- (xy 168.864724 111.560155) (xy 168.831923 111.558579) (xy 168.798779 111.555924) (xy 168.765292 111.552191)
- (xy 168.731462 111.547379) (xy 168.697288 111.541489) (xy 168.662772 111.53452) (xy 168.627912 111.526473)
- (xy 168.592709 111.517348) (xy 168.56905 111.510666) (xy 168.545238 111.503504) (xy 168.533275 111.499743)
- (xy 168.495417 111.486676) (xy 168.457531 111.472592) (xy 168.419619 111.457489) (xy 168.381679 111.441368)
- (xy 168.343712 111.424229) (xy 168.305717 111.406072) (xy 168.267695 111.386897) (xy 168.229647 111.366704)
- (xy 168.19157 111.345493) (xy 168.153467 111.323264) (xy 168.115336 111.300016) (xy 168.077178 111.275751)
- (xy 168.038993 111.250468) (xy 168.00078 111.224166) (xy 167.96254 111.196847) (xy 167.924273 111.168509)
- (xy 167.889674 111.142034) (xy 167.856099 111.115523) (xy 167.823547 111.088978) (xy 167.79202 111.062398)
- (xy 167.761516 111.035783) (xy 167.732036 111.009133) (xy 167.703579 110.982448) (xy 167.676147 110.955729)
- (xy 167.649738 110.928974) (xy 167.624354 110.902185) (xy 167.599992 110.87536) (xy 167.576655 110.848501)
- (xy 167.554342 110.821607) (xy 167.533052 110.794678) (xy 167.512786 110.767714) (xy 167.493544 110.740716)
- (xy 167.47524 110.713832) (xy 167.457695 110.687336) (xy 167.440909 110.661227) (xy 167.424884 110.635505)
- (xy 167.409617 110.61017) (xy 167.39511 110.585222) (xy 167.381363 110.560662) (xy 167.368375 110.536488)
- (xy 167.356147 110.512702) (xy 167.344678 110.489303) (xy 167.333969 110.466291) (xy 167.324019 110.443667)
- (xy 167.310519 110.410455) (xy 167.298727 110.378116) (xy 167.291816 110.35704) (xy 167.282688 110.325314)
- (xy 167.275084 110.292922) (xy 167.269003 110.259864) (xy 167.264446 110.226139) (xy 167.261413 110.191748)
- (xy 167.259903 110.156691) (xy 167.259916 110.120968) (xy 167.260328 110.111218) (xy 167.891114 110.111218)
- (xy 167.891638 110.151935) (xy 167.898271 110.193749) (xy 167.911012 110.236659) (xy 167.929861 110.280666)
- (xy 167.941577 110.303081) (xy 167.954819 110.32577) (xy 167.969589 110.348733) (xy 167.985885 110.371971)
- (xy 168.003709 110.395482) (xy 168.02306 110.419268) (xy 168.043938 110.443328) (xy 168.066343 110.467662)
- (xy 168.090275 110.492271) (xy 168.115734 110.517153) (xy 168.14272 110.54231) (xy 168.171234 110.567741)
- (xy 168.201274 110.593446) (xy 168.232842 110.619425) (xy 168.265936 110.645678) (xy 168.300558 110.672206)
- (xy 168.335569 110.698155) (xy 168.369892 110.722718) (xy 168.403527 110.745895) (xy 168.436475 110.767686)
- (xy 168.468734 110.788091) (xy 168.500306 110.80711) (xy 168.53119 110.824743) (xy 168.561386 110.84099)
- (xy 168.590894 110.855852) (xy 168.619714 110.869327) (xy 168.647847 110.881417) (xy 168.675291 110.89212)
- (xy 168.702048 110.901438) (xy 168.728117 110.90937) (xy 168.753498 110.915916) (xy 168.778191 110.921076)
- (xy 168.825514 110.927238) (xy 168.870086 110.927856) (xy 168.911906 110.922931) (xy 168.950975 110.912462)
- (xy 168.987292 110.896449) (xy 169.020858 110.874892) (xy 169.051673 110.847792) (xy 169.079737 110.815148)
- (xy 169.104018 110.778451) (xy 169.122293 110.740775) (xy 169.134562 110.702122) (xy 169.140824 110.66249)
- (xy 169.141079 110.621879) (xy 169.135328 110.580291) (xy 169.12357 110.537725) (xy 169.105806 110.49418)
- (xy 169.094671 110.472041) (xy 169.082035 110.449657) (xy 169.067897 110.427028) (xy 169.052257 110.404156)
- (xy 169.035116 110.381038) (xy 169.016474 110.357676) (xy 168.996329 110.33407) (xy 168.974683 110.310219)
- (xy 168.951535 110.286123) (xy 168.926886 110.261783) (xy 168.900735 110.237198) (xy 168.873082 110.212369)
- (xy 168.843928 110.187295) (xy 168.813272 110.161976) (xy 168.781115 110.136414) (xy 168.747456 110.110606)
- (xy 168.730875 110.098112) (xy 168.695922 110.072212) (xy 168.66165 110.047703) (xy 168.628058 110.024585)
- (xy 168.595147 110.002858) (xy 168.562918 109.982522) (xy 168.531369 109.963578) (xy 168.500501 109.946025)
- (xy 168.470314 109.929862) (xy 168.440808 109.915091) (xy 168.411983 109.901712) (xy 168.383838 109.889723)
- (xy 168.356375 109.879125) (xy 168.329593 109.869919) (xy 168.303491 109.862104) (xy 168.27807 109.85568)
- (xy 168.253331 109.850647) (xy 168.205894 109.844755) (xy 168.161181 109.844427) (xy 168.119192 109.849664)
- (xy 168.079926 109.860466) (xy 168.043384 109.876832) (xy 168.009566 109.898763) (xy 167.978471 109.926258)
- (xy 167.9501 109.959318) (xy 167.926191 109.995648) (xy 167.90839 110.033075) (xy 167.896698 110.071598)
- (xy 167.891114 110.111218) (xy 167.260328 110.111218) (xy 167.261453 110.084578) (xy 167.263324 110.059948)
- (xy 167.265873 110.035022) (xy 167.269098 110.0098) (xy 167.273 109.984281) (xy 167.277723 109.958602)
- (xy 167.283318 109.933017) (xy 167.289784 109.907529) (xy 167.297122 109.882135) (xy 167.305332 109.856838)
- (xy 167.314414 109.831635) (xy 167.324368 109.806529) (xy 167.335193 109.781517) (xy 167.346891 109.756601)
- (xy 167.35946 109.731781) (xy 167.3729 109.707056) (xy 167.387213 109.682427) (xy 167.402398 109.657893)
- (xy 167.418454 109.633454) (xy 167.435382 109.609111) (xy 167.453182 109.584864) (xy 167.471571 109.561079)
- (xy 167.490268 109.538122) (xy 167.509272 109.515994) (xy 167.528583 109.494696) (xy 167.548202 109.474226)
- (xy 167.568127 109.454584) (xy 167.58836 109.435772) (xy 167.6089 109.417789) (xy 167.629748 109.400634)
- (xy 167.650902 109.384308) (xy 167.672364 109.368811) (xy 167.694133 109.354143) (xy 167.716209 109.340304)
- (xy 167.738593 109.327293) (xy 167.761284 109.315112) (xy 167.784282 109.303759) (xy 167.807333 109.293124)
- (xy 167.830305 109.283188) (xy 167.853199 109.273952) (xy 167.887391 109.261408) (xy 167.921406 109.250438)
- (xy 167.955244 109.24104) (xy 167.988904 109.233216) (xy 168.022386 109.226965) (xy 168.055691 109.222286)
- (xy 168.088819 109.219181) (xy 168.121769 109.217649) (xy 168.143638 109.217502)
+ (xy 168.241922 109.131552) (xy 168.275057 109.133502) (xy 168.308454 109.136549) (xy 168.342113 109.140694)
+ (xy 168.376033 109.145936) (xy 168.410215 109.152276) (xy 168.444658 109.159713) (xy 168.479363 109.168248)
+ (xy 168.514329 109.17788) (xy 168.537785 109.184912) (xy 168.561358 109.192431) (xy 168.573188 109.196373)
+ (xy 168.612642 109.210088) (xy 168.651841 109.224642) (xy 168.690782 109.240036) (xy 168.729467 109.25627)
+ (xy 168.767895 109.273344) (xy 168.806066 109.291258) (xy 168.843981 109.310012) (xy 168.881639 109.329606)
+ (xy 168.919041 109.35004) (xy 168.956186 109.371314) (xy 168.993074 109.393428) (xy 169.029706 109.416382)
+ (xy 169.066081 109.440176) (xy 169.102199 109.464809) (xy 169.138061 109.490283) (xy 169.173666 109.516597)
+ (xy 169.205058 109.540588) (xy 169.235717 109.564697) (xy 169.265644 109.588924) (xy 169.294837 109.61327)
+ (xy 169.323298 109.637734) (xy 169.351026 109.662316) (xy 169.378022 109.687017) (xy 169.404285 109.711836)
+ (xy 169.429814 109.736773) (xy 169.454612 109.761829) (xy 169.478676 109.787003) (xy 169.502008 109.812295)
+ (xy 169.524606 109.837705) (xy 169.546473 109.863234) (xy 169.567606 109.888881) (xy 169.588006 109.914647)
+ (xy 169.607674 109.940531) (xy 169.626609 109.966533) (xy 169.644812 109.992653) (xy 169.662281 110.018892)
+ (xy 169.679018 110.045249) (xy 169.695022 110.071724) (xy 169.710293 110.098318) (xy 169.724832 110.12503)
+ (xy 169.738638 110.15186) (xy 169.75171 110.178809) (xy 169.764051 110.205876) (xy 169.775658 110.233061)
+ (xy 169.786533 110.260364) (xy 169.796675 110.287786) (xy 169.806084 110.315326) (xy 169.81476 110.342985)
+ (xy 169.823521 110.374401) (xy 169.830756 110.406414) (xy 169.836466 110.439025) (xy 169.840651 110.472233)
+ (xy 169.843309 110.506039) (xy 169.844443 110.540443) (xy 169.84405 110.575444) (xy 169.842133 110.611043)
+ (xy 169.83869 110.64724) (xy 169.835547 110.671703) (xy 169.831726 110.696431) (xy 169.829561 110.708895)
+ (xy 169.82464 110.733855) (xy 169.818875 110.758746) (xy 169.812265 110.783569) (xy 169.804812 110.808322)
+ (xy 169.796514 110.833007) (xy 169.787372 110.857624) (xy 169.777386 110.882171) (xy 169.766555 110.90665)
+ (xy 169.754881 110.931061) (xy 169.742362 110.955402) (xy 169.728999 110.979675) (xy 169.714791 111.003879)
+ (xy 169.69974 111.028015) (xy 169.683844 111.052081) (xy 169.667104 111.076079) (xy 169.64952 111.100009)
+ (xy 169.631395 111.123455) (xy 169.612939 111.146128) (xy 169.594154 111.168025) (xy 169.575037 111.189149)
+ (xy 169.555591 111.209497) (xy 169.535814 111.229072) (xy 169.515707 111.247871) (xy 169.49527 111.265897)
+ (xy 169.474503 111.283147) (xy 169.453405 111.299624) (xy 169.431977 111.315325) (xy 169.410219 111.330252)
+ (xy 169.388131 111.344405) (xy 169.365712 111.357783) (xy 169.342963 111.370387) (xy 169.319884 111.382216)
+ (xy 169.296739 111.393244) (xy 169.273704 111.403565) (xy 169.250776 111.413179) (xy 169.227958 111.422086)
+ (xy 169.193933 111.434122) (xy 169.160152 111.444568) (xy 169.126615 111.453424) (xy 169.093322 111.46069)
+ (xy 169.060274 111.466365) (xy 169.027469 111.470451) (xy 168.994908 111.472946) (xy 168.962592 111.473851)
+ (xy 168.930134 111.473353) (xy 168.897333 111.471777) (xy 168.864189 111.469122) (xy 168.830702 111.465388)
+ (xy 168.796872 111.460577) (xy 168.762698 111.454687) (xy 168.728182 111.447718) (xy 168.693322 111.439671)
+ (xy 168.658119 111.430546) (xy 168.63446 111.423863) (xy 168.610648 111.416702) (xy 168.598685 111.412941)
+ (xy 168.560827 111.399874) (xy 168.522941 111.385789) (xy 168.485029 111.370687) (xy 168.447089 111.354566)
+ (xy 168.409122 111.337427) (xy 168.371127 111.31927) (xy 168.333106 111.300095) (xy 168.295057 111.279902)
+ (xy 168.25698 111.258691) (xy 168.218877 111.236462) (xy 168.180746 111.213214) (xy 168.142588 111.188949)
+ (xy 168.104403 111.163666) (xy 168.06619 111.137364) (xy 168.02795 111.110045) (xy 167.989683 111.081707)
+ (xy 167.955084 111.055232) (xy 167.921509 111.028721) (xy 167.888957 111.002176) (xy 167.85743 110.975596)
+ (xy 167.826926 110.948981) (xy 167.797446 110.922331) (xy 167.76899 110.895646) (xy 167.741557 110.868927)
+ (xy 167.715148 110.842172) (xy 167.689764 110.815383) (xy 167.665403 110.788558) (xy 167.642065 110.761699)
+ (xy 167.619752 110.734805) (xy 167.598462 110.707876) (xy 167.578196 110.680912) (xy 167.558954 110.653914)
+ (xy 167.54065 110.62703) (xy 167.523105 110.600534) (xy 167.50632 110.574424) (xy 167.490294 110.548702)
+ (xy 167.475027 110.523368) (xy 167.46052 110.49842) (xy 167.446773 110.473859) (xy 167.433785 110.449686)
+ (xy 167.421557 110.4259) (xy 167.410088 110.402501) (xy 167.399379 110.379489) (xy 167.389429 110.356864)
+ (xy 167.375929 110.323653) (xy 167.364137 110.291313) (xy 167.357226 110.270238) (xy 167.348098 110.238512)
+ (xy 167.340494 110.20612) (xy 167.334414 110.173062) (xy 167.329857 110.139337) (xy 167.326823 110.104946)
+ (xy 167.325313 110.069889) (xy 167.325326 110.034166) (xy 167.325738 110.024416) (xy 167.956524 110.024416)
+ (xy 167.957048 110.065133) (xy 167.963681 110.106947) (xy 167.976422 110.149857) (xy 167.995271 110.193864)
+ (xy 168.006987 110.216279) (xy 168.020229 110.238968) (xy 168.034999 110.261931) (xy 168.051295 110.285169)
+ (xy 168.069119 110.30868) (xy 168.08847 110.332466) (xy 168.109348 110.356526) (xy 168.131753 110.38086)
+ (xy 168.155685 110.405468) (xy 168.181144 110.430351) (xy 168.20813 110.455508) (xy 168.236644 110.480939)
+ (xy 168.266684 110.506644) (xy 168.298252 110.532623) (xy 168.331346 110.558876) (xy 168.365968 110.585404)
+ (xy 168.400979 110.611353) (xy 168.435302 110.635916) (xy 168.468937 110.659093) (xy 168.501885 110.680884)
+ (xy 168.534144 110.701289) (xy 168.565716 110.720308) (xy 168.5966 110.737941) (xy 168.626796 110.754188)
+ (xy 168.656304 110.76905) (xy 168.685124 110.782525) (xy 168.713257 110.794615) (xy 168.740701 110.805318)
+ (xy 168.767458 110.814636) (xy 168.793527 110.822568) (xy 168.818908 110.829114) (xy 168.843601 110.834274)
+ (xy 168.890924 110.840436) (xy 168.935496 110.841054) (xy 168.977316 110.836129) (xy 169.016385 110.825659)
+ (xy 169.052702 110.809647) (xy 169.086269 110.78809) (xy 169.117083 110.76099) (xy 169.145147 110.728346)
+ (xy 169.169428 110.691649) (xy 169.187703 110.653973) (xy 169.199972 110.615319) (xy 169.206234 110.575688)
+ (xy 169.206489 110.535077) (xy 169.200738 110.493489) (xy 169.18898 110.450922) (xy 169.171216 110.407378)
+ (xy 169.160081 110.385238) (xy 169.147445 110.362855) (xy 169.133307 110.340226) (xy 169.117668 110.317353)
+ (xy 169.100526 110.294236) (xy 169.081884 110.270874) (xy 169.061739 110.247268) (xy 169.040093 110.223416)
+ (xy 169.016945 110.199321) (xy 168.992296 110.174981) (xy 168.966145 110.150396) (xy 168.938492 110.125567)
+ (xy 168.909338 110.100493) (xy 168.878682 110.075174) (xy 168.846525 110.049611) (xy 168.812866 110.023804)
+ (xy 168.796285 110.01131) (xy 168.761332 109.98541) (xy 168.72706 109.960901) (xy 168.693468 109.937783)
+ (xy 168.660557 109.916056) (xy 168.628328 109.89572) (xy 168.596779 109.876776) (xy 168.565911 109.859222)
+ (xy 168.535724 109.84306) (xy 168.506218 109.828289) (xy 168.477393 109.814909) (xy 168.449248 109.802921)
+ (xy 168.421785 109.792323) (xy 168.395003 109.783117) (xy 168.368901 109.775302) (xy 168.34348 109.768878)
+ (xy 168.318741 109.763845) (xy 168.271304 109.757953) (xy 168.226591 109.757625) (xy 168.184602 109.762862)
+ (xy 168.145336 109.773664) (xy 168.108794 109.79003) (xy 168.074976 109.811961) (xy 168.043881 109.839456)
+ (xy 168.01551 109.872516) (xy 167.991601 109.908846) (xy 167.9738 109.946273) (xy 167.962108 109.984796)
+ (xy 167.956524 110.024416) (xy 167.325738 110.024416) (xy 167.326863 109.997776) (xy 167.328735 109.973146)
+ (xy 167.331283 109.94822) (xy 167.334508 109.922998) (xy 167.33841 109.897479) (xy 167.343133 109.8718)
+ (xy 167.348728 109.846215) (xy 167.355194 109.820727) (xy 167.362532 109.795333) (xy 167.370742 109.770036)
+ (xy 167.379824 109.744833) (xy 167.389778 109.719727) (xy 167.400603 109.694715) (xy 167.412301 109.669799)
+ (xy 167.42487 109.644979) (xy 167.438311 109.620254) (xy 167.452623 109.595625) (xy 167.467808 109.571091)
+ (xy 167.483864 109.546652) (xy 167.500792 109.522309) (xy 167.518592 109.498062) (xy 167.536982 109.474276)
+ (xy 167.555678 109.45132) (xy 167.574682 109.429192) (xy 167.593993 109.407893) (xy 167.613612 109.387423)
+ (xy 167.633537 109.367782) (xy 167.65377 109.34897) (xy 167.67431 109.330986) (xy 167.695158 109.313832)
+ (xy 167.716312 109.297506) (xy 167.737774 109.282009) (xy 167.759543 109.267341) (xy 167.781619 109.253502)
+ (xy 167.804003 109.240491) (xy 167.826694 109.228309) (xy 167.849692 109.216957) (xy 167.872743 109.206322)
+ (xy 167.895715 109.196386) (xy 167.918609 109.18715) (xy 167.952801 109.174606) (xy 167.986816 109.163636)
+ (xy 168.020654 109.154238) (xy 168.054314 109.146414) (xy 168.087796 109.140162) (xy 168.121102 109.135484)
+ (xy 168.154229 109.132379) (xy 168.187179 109.130847) (xy 168.209048 109.1307)
)
)
(polygon
(pts
- (xy 169.003572 107.732378) (xy 168.99599 107.708863) (xy 168.993016 107.683992) (xy 168.99465 107.657763)
- (xy 169.000892 107.630177) (xy 169.006528 107.613805) (xy 169.01755 107.588144) (xy 169.028935 107.565585)
- (xy 169.04232 107.541956) (xy 169.057705 107.517259) (xy 169.071453 107.496732) (xy 169.086481 107.475521)
- (xy 169.098592 107.459163) (xy 169.115183 107.43775) (xy 169.131514 107.417885) (xy 169.151563 107.395232)
- (xy 169.171208 107.374998) (xy 169.190447 107.357185) (xy 169.213 107.339004) (xy 169.224058 107.33122)
- (xy 169.245961 107.317908) (xy 169.271462 107.306685) (xy 169.296905 107.300102) (xy 169.322291 107.298158)
- (xy 169.340389 107.299611) (xy 169.364515 107.303758) (xy 169.389694 107.310009) (xy 169.414969 107.318969)
- (xy 169.434723 107.328646) (xy 169.457718 107.342647) (xy 169.478654 107.356589) (xy 169.498725 107.370666)
- (xy 169.520758 107.386704) (xy 169.536537 107.398486) (xy 171.098 108.575133) (xy 171.117889 108.590491)
- (xy 171.142283 108.610515) (xy 171.164246 108.630022) (xy 171.18378 108.649013) (xy 171.200883 108.667486)
- (xy 171.218846 108.689851) (xy 171.233012 108.711409) (xy 171.23969 108.723955) (xy 171.249528 108.748697)
- (xy 171.255434 108.773593) (xy 171.257406 108.798644) (xy 171.255445 108.823848) (xy 171.249552 108.849207)
- (xy 171.246713 108.857694) (xy 171.236203 108.883365) (xy 171.225469 108.905526) (xy 171.212939 108.928387)
- (xy 171.198612 108.951948) (xy 171.182488 108.976208) (xy 171.168296 108.99612) (xy 171.160769 109.006244)
- (xy 171.145609 109.025917) (xy 171.127175 109.048577) (xy 171.109311 109.069092) (xy 171.092019 109.087462)
- (xy 171.072023 109.106673) (xy 171.05285 109.122796) (xy 171.049734 109.125182) (xy 171.028487 109.139971)
- (xy 171.005514 109.153828) (xy 170.981338 109.165533) (xy 170.961333 109.172487) (xy 170.936315 109.176318)
- (xy 170.910141 109.174947) (xy 170.885595 109.169265) (xy 170.877204 109.166434) (xy 170.853146 109.157399)
- (xy 170.826461 109.144503) (xy 170.802216 109.130806) (xy 170.776147 109.114428) (xy 170.753978 109.099395)
- (xy 170.730641 109.082646) (xy 170.718535 109.073628) (xy 170.375715 108.815294) (xy 169.80209 109.57652)
- (xy 169.783633 109.600146) (xy 169.765282 109.621894) (xy 169.747039 109.641764) (xy 169.728903 109.659755)
- (xy 169.701901 109.68322) (xy 169.675139 109.702459) (xy 169.64862 109.717472) (xy 169.622341 109.728258)
- (xy 169.596305 109.734818) (xy 169.570509 109.737152) (xy 169.544955 109.73526) (xy 169.519643 109.729141)
- (xy 169.494392 109.718753) (xy 169.471221 109.707008) (xy 169.446638 109.692641) (xy 169.425075 109.678666)
- (xy 169.402532 109.66287) (xy 169.388536 109.652518) (xy 169.365889 109.635116) (xy 169.345493 109.618739)
- (xy 169.323991 109.600439) (xy 169.305731 109.583615) (xy 169.288525 109.565852) (xy 169.282503 109.558854)
- (xy 168.516985 108.616534) (xy 168.501452 108.596855) (xy 168.484603 108.574983) (xy 168.469708 108.555014)
- (xy 168.454799 108.534121) (xy 168.441015 108.513413) (xy 168.429206 108.490187) (xy 168.421634 108.466467)
- (xy 168.416818 108.439852) (xy 168.414862 108.41419) (xy 168.415998 108.387382) (xy 168.421632 108.360818)
- (xy 168.431765 108.334497) (xy 168.444032 108.312131) (xy 168.45678 108.293629) (xy 168.474437 108.271625)
- (xy 168.494059 108.249865) (xy 168.511913 108.231918) (xy 168.531132 108.214142) (xy 168.551717 108.196536)
- (xy 168.573666 108.1791) (xy 168.598588 108.160569) (xy 168.622921 108.144001) (xy 168.646664 108.129396)
- (xy 168.669818 108.116754) (xy 168.692383 108.106075) (xy 168.725125 108.093738) (xy 168.756541 108.085818)
- (xy 168.786632 108.082314) (xy 168.815396 108.083228) (xy 168.842834 108.088559) (xy 168.868947 108.098306)
- (xy 168.893734 108.112471) (xy 168.901701 108.118174) (xy 168.921962 108.134941) (xy 168.940335 108.15246)
- (xy 168.959637 108.172882) (xy 168.976432 108.19212) (xy 168.993873 108.213375) (xy 169.004647 108.227096)
- (xy 169.011099 108.235781) (xy 169.566901 108.923734) (xy 169.911958 108.465828) (xy 169.145368 107.888161)
- (xy 169.122528 107.870708) (xy 169.102287 107.85473) (xy 169.081956 107.837951) (xy 169.063052 107.821233)
- (xy 169.053583 107.812115) (xy 169.03671 107.792337) (xy 169.022491 107.770542) (xy 169.010622 107.747991)
+ (xy 168.51065 108.233301) (xy 168.835114 107.80881) (xy 169.034285 107.544503) (xy 169.049566 107.524446)
+ (xy 169.06641 107.502949) (xy 169.084003 107.481413) (xy 169.101393 107.461474) (xy 169.112535 107.449792)
+ (xy 169.132161 107.432684) (xy 169.154474 107.417727) (xy 169.178004 107.40478) (xy 169.194477 107.396855)
+ (xy 169.219866 107.388211) (xy 169.248046 107.385375) (xy 169.279018 107.388346) (xy 169.304079 107.394385)
+ (xy 169.330711 107.40369) (xy 169.358913 107.416262) (xy 169.388685 107.432101) (xy 169.420028 107.451206)
+ (xy 169.441796 107.465757) (xy 169.464261 107.48176) (xy 169.475756 107.490306) (xy 169.496124 107.506085)
+ (xy 169.515046 107.521634) (xy 169.540718 107.544527) (xy 169.563137 107.566905) (xy 169.582303 107.588766)
+ (xy 169.598216 107.610111) (xy 169.614372 107.637768) (xy 169.624745 107.664508) (xy 169.629335 107.69033)
+ (xy 169.628141 107.715234) (xy 169.623967 107.741763) (xy 169.617618 107.768192) (xy 169.608512 107.793316)
+ (xy 169.603149 107.804204) (xy 169.589021 107.827232) (xy 169.573709 107.849835) (xy 169.557385 107.872662)
+ (xy 169.541221 107.894493) (xy 169.538332 107.898335) (xy 169.16792 108.389889) (xy 169.18724 108.409444)
+ (xy 169.208837 108.4309) (xy 169.230793 108.452558) (xy 169.249988 108.471422) (xy 169.271523 108.492532)
+ (xy 169.295397 108.515889) (xy 169.321609 108.541493) (xy 169.340384 108.55981) (xy 169.360198 108.579125)
+ (xy 169.37275 108.556584) (xy 169.386126 108.535587) (xy 169.400109 108.515176) (xy 169.416415 108.492539)
+ (xy 169.428576 108.47621) (xy 169.45433 108.443666) (xy 169.481341 108.41272) (xy 169.509609 108.383371)
+ (xy 169.539133 108.355621) (xy 169.569915 108.32947) (xy 169.601952 108.304916) (xy 169.635247 108.28196)
+ (xy 169.669798 108.260602) (xy 169.705606 108.240842) (xy 169.742671 108.222681) (xy 169.780992 108.206117)
+ (xy 169.82057 108.191152) (xy 169.861405 108.177785) (xy 169.903496 108.166015) (xy 169.946845 108.155844)
+ (xy 169.991449 108.147271) (xy 170.036404 108.140595) (xy 170.080803 108.136116) (xy 170.124645 108.133835)
+ (xy 170.167931 108.13375) (xy 170.21066 108.135862) (xy 170.252833 108.140171) (xy 170.294449 108.146677)
+ (xy 170.335509 108.155379) (xy 170.376012 108.166279) (xy 170.415959 108.179376) (xy 170.45535 108.194669)
+ (xy 170.494184 108.21216) (xy 170.532462 108.231847) (xy 170.570183 108.253732) (xy 170.607348 108.277813)
+ (xy 170.643956 108.304091) (xy 170.679374 108.33202) (xy 170.712969 108.361054) (xy 170.744739 108.391192)
+ (xy 170.774686 108.422434) (xy 170.802809 108.454781) (xy 170.829108 108.488232) (xy 170.853583 108.522788)
+ (xy 170.876234 108.558449) (xy 170.897062 108.595214) (xy 170.916065 108.633083) (xy 170.933245 108.672057)
+ (xy 170.948601 108.712135) (xy 170.962133 108.753318) (xy 170.973841 108.795606) (xy 170.983726 108.838998)
+ (xy 170.991786 108.883494) (xy 170.997768 108.928264) (xy 171.001539 108.972568) (xy 171.003098 109.016406)
+ (xy 171.002446 109.059778) (xy 170.999582 109.102684) (xy 170.994507 109.145125) (xy 170.98722 109.1871)
+ (xy 170.977722 109.228609) (xy 170.966013 109.269652) (xy 170.952092 109.310229) (xy 170.935959 109.35034)
+ (xy 170.917615 109.389986) (xy 170.89706 109.429165) (xy 170.874293 109.467879) (xy 170.849315 109.506127)
+ (xy 170.822125 109.543909) (xy 170.797138 109.575995) (xy 170.771416 109.606906) (xy 170.744961 109.636644)
+ (xy 170.717772 109.665206) (xy 170.689848 109.692595) (xy 170.661191 109.71881) (xy 170.631801 109.74385)
+ (xy 170.601676 109.767716) (xy 170.570817 109.790408) (xy 170.539225 109.811925) (xy 170.506898 109.832269)
+ (xy 170.473838 109.851438) (xy 170.440044 109.869433) (xy 170.405516 109.886253) (xy 170.370254 109.9019)
+ (xy 170.334258 109.916372) (xy 170.310179 109.924927) (xy 170.284478 109.933177) (xy 170.258259 109.940322)
+ (xy 170.232783 109.945451) (xy 170.2277 109.946172) (xy 170.19129 109.953905) (xy 170.159686 109.960013)
+ (xy 170.129796 109.964469) (xy 170.10162 109.967274) (xy 170.07516 109.968428) (xy 170.050414 109.96793)
+ (xy 170.020086 109.964699) (xy 169.992807 109.958532) (xy 169.968576 109.949429) (xy 169.942574 109.933923)
+ (xy 169.924304 109.917599) (xy 169.907532 109.897291) (xy 169.892257 109.872998) (xy 169.878479 109.84472)
+ (xy 169.869129 109.820897) (xy 169.860621 109.794832) (xy 169.852955 109.766527) (xy 169.846131 109.735979)
+ (xy 169.84015 109.703191) (xy 169.838343 109.691763) (xy 169.8336 109.663606) (xy 169.830415 109.63677)
+ (xy 169.828788 109.611256) (xy 169.82904 109.579293) (xy 169.832062 109.54968) (xy 169.837854 109.522416)
+ (xy 169.846414 109.497502) (xy 169.857743 109.474937) (xy 169.868058 109.459555) (xy 169.884704 109.440382)
+ (xy 169.904878 109.422361) (xy 169.928579 109.405493) (xy 169.955808 109.389779) (xy 169.978544 109.378749)
+ (xy 170.003265 109.368368) (xy 170.02997 109.358636) (xy 170.058659 109.349552) (xy 170.089332 109.341116)
+ (xy 170.099997 109.338448) (xy 170.130197 109.33374) (xy 170.154259 109.325787) (xy 170.178233 109.314113)
+ (xy 170.202121 109.298718) (xy 170.225922 109.279602) (xy 170.243716 109.262823) (xy 170.26146 109.243951)
+ (xy 170.279156 109.222985) (xy 170.290926 109.207845) (xy 170.307737 109.184565) (xy 170.322754 109.16172)
+ (xy 170.335978 109.139311) (xy 170.347409 109.117338) (xy 170.35986 109.08872) (xy 170.369122 109.060876)
+ (xy 170.375196 109.033807) (xy 170.378082 109.007514) (xy 170.377779 108.981995) (xy 170.374423 108.957247)
+ (xy 170.368394 108.933446) (xy 170.359692 108.910593) (xy 170.348315 108.888688) (xy 170.334265 108.867732)
+ (xy 170.317542 108.847723) (xy 170.298145 108.828662) (xy 170.276074 108.81055) (xy 170.252382 108.79432)
+ (xy 170.228361 108.781094) (xy 170.204014 108.77087) (xy 170.179338 108.763649) (xy 170.154336 108.759431)
+ (xy 170.129006 108.758215) (xy 170.103349 108.760002) (xy 170.077364 108.764791) (xy 170.051829 108.771807)
+ (xy 170.027579 108.780699) (xy 170.004614 108.791468) (xy 169.982936 108.804114) (xy 169.962543 108.818636)
+ (xy 169.943436 108.835035) (xy 169.925614 108.853311) (xy 169.909078 108.873464) (xy 169.894379 108.894619)
+ (xy 169.882069 108.915901) (xy 169.87004 108.942683) (xy 169.861744 108.969665) (xy 169.857181 108.996845)
+ (xy 169.856349 109.024226) (xy 169.858371 109.046273) (xy 169.859109 109.076683) (xy 169.857802 109.105623)
+ (xy 169.854448 109.133093) (xy 169.849048 109.159092) (xy 169.841602 109.183622) (xy 169.83211 109.206681)
+ (xy 169.820572 109.228271) (xy 169.806988 109.24839) (xy 169.791372 109.267385) (xy 169.773556 109.285844)
+ (xy 169.753539 109.303767) (xy 169.731321 109.321155) (xy 169.706902 109.338008) (xy 169.680282 109.354325)
+ (xy 169.658874 109.366212) (xy 169.636227 109.377797) (xy 169.620441 109.385353) (xy 169.596971 109.395965)
+ (xy 169.567682 109.408675) (xy 169.540682 109.419742) (xy 169.515972 109.429166) (xy 169.488305 109.438634)
+ (xy 169.464215 109.445535) (xy 169.440029 109.450426) (xy 169.436499 109.450881) (xy 169.410004 109.451989)
+ (xy 169.383 109.448151) (xy 169.358964 109.438929) (xy 169.344589 109.42979) (xy 169.324941 109.412837)
+ (xy 169.305262 109.394659) (xy 169.279665 109.370477) (xy 169.259313 109.351019) (xy 169.236331 109.328892)
+ (xy 169.210719 109.304097) (xy 169.182477 109.276632) (xy 169.151604 109.246499) (xy 169.118102 109.213698)
+ (xy 169.100365 109.196296) (xy 169.08197 109.178227) (xy 169.062917 109.159491) (xy 169.043207 109.140087)
+ (xy 169.02284 109.120017) (xy 169.001815 109.099279) (xy 168.980132 109.077874) (xy 168.957792 109.055802)
+ (xy 168.934795 109.033063) (xy 168.911788 109.010313) (xy 168.889483 108.988256) (xy 168.867879 108.966892)
+ (xy 168.846976 108.94622) (xy 168.826775 108.926241) (xy 168.807274 108.906954) (xy 168.788474 108.88836)
+ (xy 168.770376 108.870458) (xy 168.752978 108.853249) (xy 168.720287 108.820909) (xy 168.6904 108.79134)
+ (xy 168.663317 108.76454) (xy 168.639039 108.740511) (xy 168.617565 108.719252) (xy 168.598896 108.700764)
+ (xy 168.57615 108.678225) (xy 168.555638 108.65787) (xy 168.546344 108.648596) (xy 168.530618 108.628488)
+ (xy 168.516054 108.606419) (xy 168.502404 108.584138) (xy 168.490118 108.562698) (xy 168.477666 108.538226)
+ (xy 168.466908 108.513789) (xy 168.457844 108.489386) (xy 168.45683 108.486338) (xy 168.45063 108.460449)
+ (xy 168.447865 108.435724) (xy 168.447582 108.409064) (xy 168.449314 108.384673) (xy 168.451482 108.367623)
+ (xy 168.456699 108.341736) (xy 168.464628 108.316173) (xy 168.47527 108.290934) (xy 168.488624 108.266018)
+ (xy 168.504692 108.241427)
)
)
)
@@ -8567,19 +8716,10 @@
(gr_text "▶" (at 198.2 99.125 -90) (layer "F.SilkS") (tstamp eb6f9a16-bdb1-450b-ad62-9bcba9ca2586)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (dimension (type aligned) (layer "Dwgs.User") (tstamp 6fa7e421-fe0e-4eaa-998f-20124f1e8866)
- (pts (xy 205.995767 81.881428) (xy 149.877747 81.881428))
- (height 23.681428)
- (gr_text "56.1180 mm" (at 177.936757 57.05) (layer "Dwgs.User") (tstamp d6cac839-5dcd-4a66-bfec-aa7517d7c348)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (format (units 3) (units_format 1) (precision 4))
- (style (thickness 0.1) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0.5) keep_text_aligned)
- )
(dimension (type aligned) (layer "Dwgs.User") (tstamp 9080062e-d8ee-4b99-b99e-3940291c172d)
(pts (xy 186.570596 132.669029) (xy 186.570077 67.243046))
(height 30.522078)
- (gr_text "65.4260 mm" (at 218.242415 99.955787 -89.99954637) (layer "Dwgs.User") (tstamp 161b5077-ff02-40db-9f46-7173e1f0863d)
+ (gr_text "65.4260 mm" (at 218.242415 99.955787 -89.99954637) (layer "Dwgs.User") (tstamp 9080062e-d8ee-4b99-b99e-3940291c172d)
(effects (font (size 1 1) (thickness 0.15)))
)
(format (units 3) (units_format 1) (precision 4))
@@ -8662,7 +8802,6 @@
(segment (start 180.83 113.475) (end 180.05 113.475) (width 1.2) (layer "F.Cu") (net 2) (tstamp 3997563e-c9f3-4cee-958e-c0271cdc637d))
(segment (start 198.1 88.9075) (end 198.1 90.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp 3c83d146-5556-4157-b99b-b6c48e38cb37))
(segment (start 194.549549 105.074549) (end 198.45 108.975) (width 2) (layer "F.Cu") (net 2) (tstamp 4d7bd107-1f8a-431e-b1b5-f8d544698231))
- (segment (start 169.529998 74.375) (end 169.35 74.375) (width 0.4) (layer "F.Cu") (net 2) (tstamp 5a29a425-0d17-4366-9035-1c577fa953b6))
(segment (start 190.35 115.72502) (end 190.42501 115.65001) (width 1.2) (layer "F.Cu") (net 2) (tstamp 60909cd2-c368-4c32-93b7-828debae2d82))
(segment (start 188.625 114.85) (end 184.55 114.85) (width 0.8) (layer "F.Cu") (net 2) (tstamp 61669300-dbb8-48d5-b016-d8ea6cce93e4))
(segment (start 200.68 89.88) (end 200.9 90.1) (width 0.5) (layer "F.Cu") (net 2) (tstamp 6b7237a8-1493-4515-8dfd-16156a2bc21c))
@@ -8674,38 +8813,38 @@
(segment (start 172.225 111.225) (end 170.6 112.85) (width 1.2) (layer "F.Cu") (net 2) (tstamp 83a5ff5c-8115-429f-b951-c70384dc266a))
(segment (start 189.42501 115.65001) (end 188.625 114.85) (width 1.5) (layer "F.Cu") (net 2) (tstamp 912fe3b1-d394-47a4-bfe7-8b5702cec75d))
(segment (start 175.45 111.225) (end 172.225 111.225) (width 1.2) (layer "F.Cu") (net 2) (tstamp a0878951-4016-4410-9a09-410a2293122b))
- (segment (start 171.229998 76.075) (end 169.529998 74.375) (width 0.4) (layer "F.Cu") (net 2) (tstamp ae3bd86b-1ace-42ea-a0f3-0d3f007c0241))
+ (segment (start 172 76.8625) (end 172 76.8) (width 0.4) (layer "F.Cu") (net 2) (tstamp ba3a7cf5-15b1-44e8-b649-36f67b98efb3))
(segment (start 175.45 112.35) (end 174.45 113.35) (width 1.2) (layer "F.Cu") (net 2) (tstamp bc4f6e9a-41cc-4eb1-951d-44969a4020d5))
(segment (start 198.45 112.583002) (end 195.382992 115.65001) (width 1.8) (layer "F.Cu") (net 2) (tstamp ca1dd698-2fba-4846-acc2-f32fffbc0db1))
- (segment (start 171.55 76.075) (end 171.229998 76.075) (width 0.4) (layer "F.Cu") (net 2) (tstamp cc8aa7be-84bf-4db2-bc67-e46e1ddb06c3))
(segment (start 175.45 111.225) (end 175.45 112.35) (width 1.2) (layer "F.Cu") (net 2) (tstamp cee3a979-4ab9-455f-8f4c-cc0609d27974))
(segment (start 176.649999 112.424999) (end 175.45 111.225) (width 1.2) (layer "F.Cu") (net 2) (tstamp cfd72f94-38eb-4744-972b-5675141d7bfe))
(segment (start 193.45 104.674099) (end 193.049549 105.074549) (width 2) (layer "F.Cu") (net 2) (tstamp dbae8d68-ff5c-4ad0-80d0-5408ab76e477))
+ (segment (start 172 76.8) (end 171.2 76) (width 0.4) (layer "F.Cu") (net 2) (tstamp e5ec3c08-db09-402b-8510-7c8424b4d4b2))
(segment (start 198.45 108.975) (end 198.45 112.583002) (width 1.8) (layer "F.Cu") (net 2) (tstamp ed0be388-33fd-4551-ab6a-97fc9ef9171c))
(segment (start 193.049549 105.074549) (end 194.549549 105.074549) (width 2) (layer "F.Cu") (net 2) (tstamp f48032c6-0645-421f-a2b9-2f5ef659da73))
(segment (start 178.65 113.475) (end 178.575 113.475) (width 1.2) (layer "F.Cu") (net 2) (tstamp f515be14-7b57-4ea0-8888-b78b8f8da148))
(segment (start 198.875 84.225) (end 198.55 83.9) (width 0.5) (layer "F.Cu") (net 2) (tstamp f7189ad4-2791-4b50-a8b8-360e8763ba81))
(segment (start 178.575 113.475) (end 177.524999 112.424999) (width 1.2) (layer "F.Cu") (net 2) (tstamp fc0f3882-9d4c-4020-9922-7318a8de5dc6))
(via (at 165.95 91.175) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005df40578))
- (via (at 165.85 71.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005df40598))
(via (at 164.95 105.975) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005df410a0))
- (via (at 178.05 75.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0695a6b9-4cb5-44fe-ae74-fbc1ff65f989))
+ (via (at 173.85 82.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 090e0860-4feb-4532-acf3-03415aa28a75))
(via (at 191.8 104.4) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0fb6ef8d-0c99-420e-8945-303f97744c10))
+ (via (at 177.5 78.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 23cdde2c-bc81-4876-b307-f7bb199092da))
(via (at 187.3 76.075) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 24265ee8-3ac6-4ca7-8e62-5692fe79b023))
- (via (at 168.1 74.375) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 24eefb9c-997f-4f69-9686-085cbc6e7958))
(via (at 201.35 96.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2868e569-3fdf-4364-bd32-666b15dedea8))
+ (via (at 168.8 80.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2a44d5f6-a0e9-4d5e-a4fb-3e275f3bcdd4))
(via (at 158.55 92.475) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 369236ec-0048-4301-8301-47a1c06bd044))
(via (at 188.55 125.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 38773435-78ef-411e-9e3b-f9f7b9f0442b))
(via (at 172.95 87.775) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4a51a9cb-d1a6-4c72-925a-cbb82d0d4426))
+ (via (at 176.75 78.075) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4f8629fc-4c59-4964-b696-7e6779fdd0ea))
(via (at 172.95 85.725) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 53f71a4e-d275-4c9e-82a9-ebad11cc644c))
(via (at 185.35 112.525) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 574dd7d0-7e6f-4d63-8e57-e8cae62ab91b))
(via (at 197.95 90.35) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5936c064-0735-480e-bbee-1452e76e1793))
+ (via (at 169.1 71.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5a39dbe2-b89d-4300-bb8a-9b826e8d707e))
(via (at 163.95 103.825) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5d8d6b03-7d8c-4a06-a6f0-5e260b77aa81))
+ (via (at 166.5 78.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 60c64f0c-4b6b-4948-b378-6bbf8e40ff11))
(via (at 161.675 96.025) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 61f4a9fa-de6a-4ff8-8bd1-f1c88a1a216f))
- (via (at 179.6 78.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6779da81-bff2-4f66-b755-8bbb401db2b2))
(via (at 162.5 109.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6ac37661-651b-4a9a-9280-65e0b6035e9d))
- (via (at 182.45 77.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6cc8d04c-ebb5-416a-af7d-7db4639fabe6))
- (via (at 179.6 79.075) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 724d626b-b2fb-44cf-98ba-e9772b827f36))
(via (at 198.55 83.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7b4d4d21-ec88-4058-8bc0-94debff28101))
(via (at 170.6 112.85) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7be9c748-3b37-4122-a6b1-a35a0422e233))
(via (at 153.2 86.925) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 80eec313-1b1b-41b2-945f-06b48b25d1a8))
@@ -8713,79 +8852,78 @@
(via (at 188.2 113.4) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 943e3ec3-f4d1-436e-83e8-e3619d38ea25))
(via (at 159.4 111.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 94f74aec-b16f-4c27-bb0a-218086831a04))
(via (at 163.45 108.125) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 97c3c9b7-257c-4557-ab55-67a70fc4dcb5))
+ (via (at 172.5 79.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp a2676393-568b-44c5-9efc-788aa8c95734))
(via (at 166.75 122.025) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp ae02af00-03eb-4053-b299-afcd9f5be2b4))
(via (at 159.6 92.475) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp aee598eb-9256-4ff4-9a44-5ce06622414b))
+ (via (at 179.4 78.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp caa1db0b-166d-4a83-b960-3e0c9cf7976c))
(via (at 178.65 113.475) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 2) (tstamp d9771765-a1e1-4b66-a5aa-9271d98c15f0))
- (via (at 168.1 73.125) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp dbd248b2-c2e2-461b-a9c7-0ab304a97350))
- (via (at 173.75 80.975) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp dd190719-bd78-4814-b5ba-dd1852dcaba2))
+ (via (at 183.85 76.675) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 2) (tstamp dc99454b-af81-471a-95b6-1043f10287b2))
(via (at 175.15 82.175) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e5b94e79-6811-4998-8e64-728e19429d78))
+ (via (at 173.05 77.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e71111f7-6f91-4004-826d-4752dfd51cbd))
(via (at 180.05 113.475) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 2) (tstamp f03b8850-0d10-46ae-b9f7-7ae5926cb03c))
(via (at 186.25 76.075) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp f0918aab-fc61-4b6b-a51b-314ed6fd6b16))
+ (via (at 169.5 76) (size 0.7) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp f4bd5950-c114-43b4-b17c-ed012e9a7c3d))
(via (at 203.45 115.075) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp f577d199-8a5f-4d71-b16a-d77fba15948a))
- (via (at 186.15 83.225) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp f6f7602e-231b-4320-8856-676eb21ed6c4))
+ (via (at 188.95 82.825) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp f6f7602e-231b-4320-8856-676eb21ed6c4))
(via (at 160.5 112.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp f93ea4fa-d16b-4d39-81c1-4c2a47894c54))
- (via (at 180.65 85.525) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp fd047b94-a056-4cb2-83e1-c540facdc79c))
+ (via (at 180.7 86.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp fd047b94-a056-4cb2-83e1-c540facdc79c))
(segment (start 168.09952 115.35048) (end 168.09952 119.30048) (width 1.2) (layer "B.Cu") (net 2) (tstamp 2e742cb2-3a53-4085-88d1-def0ca989395))
(segment (start 168.09952 119.30048) (end 166.75 120.65) (width 1.2) (layer "B.Cu") (net 2) (tstamp 6e637db4-43f6-4dba-9eb6-0800bce22a9b))
(segment (start 170.6 112.85) (end 168.09952 115.35048) (width 1.2) (layer "B.Cu") (net 2) (tstamp ce506139-6d1e-4612-9b52-1d62dadf4090))
(segment (start 166.75 120.65) (end 166.75 122.025) (width 1.2) (layer "B.Cu") (net 2) (tstamp ea30de04-54e4-456a-9fb7-4c11987f4f96))
+ (segment (start 173.725 76.825) (end 172.0375 75.1375) (width 0.4) (layer "F.Cu") (net 3) (tstamp 027340b8-6f92-4a85-a187-f83161236900))
(segment (start 189.1 79.8) (end 195.4 79.8) (width 0.5) (layer "F.Cu") (net 3) (tstamp 0618c944-dcfa-47aa-8d68-af09cbaa4d16))
- (segment (start 163.617426 86.60048) (end 168.042906 82.175) (width 1.5) (layer "F.Cu") (net 3) (tstamp 08adeac4-c14f-42c0-85a3-1b6a69c856c8))
- (segment (start 176.47285 77.4) (end 177.4 77.4) (width 0.4) (layer "F.Cu") (net 3) (tstamp 12b87ea1-4c32-4148-a860-bc0c28c9a20b))
- (segment (start 179.3625 81.9125) (end 178.800489 81.350489) (width 0.4) (layer "F.Cu") (net 3) (tstamp 1794800f-557f-4d6d-8cb0-a7217acb6e79))
+ (segment (start 170.6 82.2) (end 170.625 82.175) (width 1.5) (layer "F.Cu") (net 3) (tstamp 15f7d142-dcef-4c84-8a52-d129e1fe3042))
(segment (start 158.7 96.460002) (end 157 94.760002) (width 1.5) (layer "F.Cu") (net 3) (tstamp 195b970a-70d8-4695-8a2c-8754fb2a0db6))
- (segment (start 175.15 77.4) (end 173.873542 77.4) (width 0.4) (layer "F.Cu") (net 3) (tstamp 1ac5e771-6993-4d31-951d-961d047267a5))
+ (segment (start 179.3625 83.535) (end 179.3625 82.6625) (width 0.4) (layer "F.Cu") (net 3) (tstamp 1d158011-c215-4a17-adb7-9816dfdfcabd))
(segment (start 196.203407 84.825) (end 195.61 85.418407) (width 0.5) (layer "F.Cu") (net 3) (tstamp 21a4161b-5023-4c7f-85e8-41e26a78f8e8))
- (segment (start 178.4 77.4) (end 181.05 77.4) (width 0.4) (layer "F.Cu") (net 3) (tstamp 2c3ac21a-954d-417d-9088-23396e5c506a))
- (segment (start 176.4 77.4) (end 176.47285 77.4) (width 0.4) (layer "F.Cu") (net 3) (tstamp 2eec94f2-b774-4d14-8fd7-25b2dfd5d931))
+ (segment (start 163.617426 86.60048) (end 157.89952 86.60048) (width 1.5) (layer "F.Cu") (net 3) (tstamp 23f17dbc-9d1f-42a8-b78f-a5686a38857e))
+ (segment (start 168.017906 82.2) (end 163.617426 86.60048) (width 1.5) (layer "F.Cu") (net 3) (tstamp 29c82764-3b4d-487a-9d10-ecf42b4cfee6))
+ (segment (start 170.625 82.175) (end 171.9 82.175) (width 1.5) (layer "F.Cu") (net 3) (tstamp 2f7a6dd9-0531-4305-b1c5-c2677115586d))
(segment (start 188.8 80.1) (end 189.1 79.8) (width 0.5) (layer "F.Cu") (net 3) (tstamp 2f88e2f2-9fa7-472e-8351-55f959797327))
+ (segment (start 177.225 76.825) (end 175.2375 76.825) (width 0.4) (layer "F.Cu") (net 3) (tstamp 37bbf929-ceb7-4180-850e-11d1a00ba0bf))
(segment (start 158.8 99.975) (end 158.7 99.875) (width 2) (layer "F.Cu") (net 3) (tstamp 3b43261b-729f-48eb-8cbe-05df43c7ec88))
- (segment (start 172.8 80.575) (end 171.95 80.575) (width 0.4) (layer "F.Cu") (net 3) (tstamp 3f5b2cbc-0d06-49a4-9761-8c82487591ad))
(segment (start 197.15 81.55) (end 197.15 84.825) (width 0.5) (layer "F.Cu") (net 3) (tstamp 4204c4a8-9b4a-4771-85b9-d15288dd15fd))
+ (segment (start 175.2375 76.825) (end 173.725 76.825) (width 0.4) (layer "F.Cu") (net 3) (tstamp 424a10a3-58d7-4614-98a9-126cac49928b))
+ (segment (start 157.89952 86.60048) (end 154.199999 90.300001) (width 1.5) (layer "F.Cu") (net 3) (tstamp 450df6ab-303b-4756-b358-9eb7c2d8ce51))
(segment (start 195.61 85.418407) (end 195.61 87.2625) (width 0.5) (layer "F.Cu") (net 3) (tstamp 4665dd09-c959-4e43-a0fe-9e39e8b1077f))
- (segment (start 157.89952 86.60048) (end 163.617426 86.60048) (width 1.5) (layer "F.Cu") (net 3) (tstamp 5116ab6b-530f-4617-a098-383442a0ba16))
- (segment (start 154.199999 93.274999) (end 154.199999 90.300001) (width 1.5) (layer "F.Cu") (net 3) (tstamp 6a63a317-ce66-4ddc-ba50-05301905c59d))
(segment (start 158.7 99.875) (end 158.7 97.725) (width 2) (layer "F.Cu") (net 3) (tstamp 6c16d89b-020e-4964-ae22-d57b2d450bd0))
- (segment (start 179.3625 83.535) (end 179.3625 81.9125) (width 0.4) (layer "F.Cu") (net 3) (tstamp 72d769e0-4221-4c57-a333-f41231092bd0))
+ (segment (start 179.3625 82.6625) (end 178.5 81.8) (width 0.4) (layer "F.Cu") (net 3) (tstamp 6d1851b9-e84e-4c02-9ce4-dc2a20231509))
+ (segment (start 170.6 82.2) (end 168.017906 82.2) (width 1.5) (layer "F.Cu") (net 3) (tstamp 6d8f79fe-991a-49da-b22a-1b8b961a0437))
+ (segment (start 178.5 78.1) (end 177.225 76.825) (width 0.4) (layer "F.Cu") (net 3) (tstamp 7278a1d4-8840-47a2-99f1-6df0a23e3c5a))
(segment (start 158.7 97.725) (end 158.7 96.460002) (width 1.5) (layer "F.Cu") (net 3) (tstamp 74a213ad-d101-4c85-8a37-de247b4ece2d))
- (segment (start 168.042906 82.175) (end 171.9 82.175) (width 1.5) (layer "F.Cu") (net 3) (tstamp 752a595c-9166-492c-8ad0-4591b1cc6aae))
- (segment (start 171.9 82.175) (end 171.95 82.125) (width 0.8) (layer "F.Cu") (net 3) (tstamp 7a186d43-80df-43fa-990c-f2e220018089))
(segment (start 157 94.760002) (end 157 93.975) (width 1.5) (layer "F.Cu") (net 3) (tstamp 7cdd9f0c-fd40-4915-abb3-6f30fd8df2d5))
- (segment (start 173 72.15) (end 174.249022 72.15) (width 0.4) (layer "F.Cu") (net 3) (tstamp 7d6a9f2e-6490-4287-8e39-f3a302c26256))
- (segment (start 176.47285 74.373828) (end 176.47285 77.4) (width 0.4) (layer "F.Cu") (net 3) (tstamp 833ad39c-6f34-4730-a42d-8276ec978eb8))
+ (segment (start 154.199999 93.274999) (end 154.9 93.975) (width 1.5) (layer "F.Cu") (net 3) (tstamp 7eb9a27f-8f7d-4e24-b38b-0b8671f7a117))
+ (segment (start 154.199999 90.300001) (end 154.199999 93.274999) (width 1.5) (layer "F.Cu") (net 3) (tstamp 7ff6e751-24eb-4701-839f-3008aef2edd0))
(segment (start 161.8 99.975) (end 158.8 99.975) (width 2) (layer "F.Cu") (net 3) (tstamp 8eac8757-b683-4778-a320-2dac6e2e61fe))
- (segment (start 154.199999 90.300001) (end 157.89952 86.60048) (width 1.5) (layer "F.Cu") (net 3) (tstamp 913e77ce-7a00-420b-9e4a-43d91b46aa8a))
- (segment (start 176.4 77.4) (end 175.15 77.4) (width 0.4) (layer "F.Cu") (net 3) (tstamp 93ece9ec-360f-43b0-a02a-41410b017abb))
- (segment (start 172.95 78.323542) (end 172.95 80.425) (width 0.4) (layer "F.Cu") (net 3) (tstamp 9583a55d-d9dc-4feb-8eab-ba40562d94ae))
- (segment (start 173.873542 77.4) (end 172.95 78.323542) (width 0.4) (layer "F.Cu") (net 3) (tstamp aa7e9f7c-5263-4f23-b8dc-afd562dc2c81))
- (segment (start 171.95 82.125) (end 171.95 80.575) (width 0.8) (layer "F.Cu") (net 3) (tstamp b213669b-778b-49a2-a42d-244e748cd581))
- (segment (start 178.800489 81.350489) (end 178.800489 77.800489) (width 0.4) (layer "F.Cu") (net 3) (tstamp b4b899a9-8d7c-4abf-a4b8-b6f39db9649e))
- (segment (start 181.7 70.475) (end 179.975 68.75) (width 0.4) (layer "F.Cu") (net 3) (tstamp b6c1159b-03d9-48c9-8784-4e1784eef78f))
- (segment (start 172.3 71.45) (end 173 72.15) (width 0.4) (layer "F.Cu") (net 3) (tstamp cebec0ea-abd7-468e-a8e2-247669587f9d))
- (segment (start 154.9 93.975) (end 154.199999 93.274999) (width 1.5) (layer "F.Cu") (net 3) (tstamp d657898f-ad5c-4a69-9416-9e53c9990686))
- (segment (start 176.4 77.4) (end 178.4 77.4) (width 0.4) (layer "F.Cu") (net 3) (tstamp df1b338c-8b3b-4074-965d-e173a41fd018))
- (segment (start 172.95 80.425) (end 172.8 80.575) (width 0.4) (layer "F.Cu") (net 3) (tstamp e19d88bc-59a6-4e2c-9a68-c7602bb7a935))
+ (segment (start 172.0375 75.1375) (end 172 75.1375) (width 0.4) (layer "F.Cu") (net 3) (tstamp 96094ca2-d4f4-4db5-9261-4d8b7b7b3b1e))
+ (segment (start 172 75.1375) (end 170.3625 75.1375) (width 0.4) (layer "F.Cu") (net 3) (tstamp b292ad1a-aa06-4942-9f22-ab4974031cf1))
+ (segment (start 178.5 81.8) (end 178.5 78.1) (width 0.4) (layer "F.Cu") (net 3) (tstamp bc99339f-17d9-4a5d-897d-99d3b6b6a479))
+ (segment (start 170.3625 75.1375) (end 170.35 75.15) (width 0.4) (layer "F.Cu") (net 3) (tstamp ca0a7bb0-2b19-4bb3-a69a-7e7fd649a4ac))
(segment (start 195.4 79.8) (end 197.15 81.55) (width 0.5) (layer "F.Cu") (net 3) (tstamp e4e0369a-8317-46c2-ba09-3a640f649ad4))
- (segment (start 173.45 68.75) (end 172.3 69.9) (width 0.4) (layer "F.Cu") (net 3) (tstamp e65503da-1d89-46e4-90e1-91b91c96bd07))
- (segment (start 157 93.975) (end 154.9 93.975) (width 1.5) (layer "F.Cu") (net 3) (tstamp f1ec92f6-35f3-4e8d-ba93-473d18697c1f))
- (segment (start 179.975 68.75) (end 173.45 68.75) (width 0.4) (layer "F.Cu") (net 3) (tstamp f3e8e545-5775-480b-8353-f8b8daf22423))
- (segment (start 178.800489 77.800489) (end 178.4 77.4) (width 0.4) (layer "F.Cu") (net 3) (tstamp f40502fc-0fcf-41cb-a52f-4ab457d593b5))
- (segment (start 172.3 69.9) (end 172.3 71.45) (width 0.4) (layer "F.Cu") (net 3) (tstamp f63ad2c6-b834-4d1e-bee4-7ce34f0d8f14))
(segment (start 197.15 84.825) (end 196.203407 84.825) (width 0.5) (layer "F.Cu") (net 3) (tstamp f7e0d474-db1b-4f89-8360-e1d7d2102dac))
- (segment (start 179.3625 82.3125) (end 179.3625 83.535) (width 0.4) (layer "F.Cu") (net 3) (tstamp f8ba382a-588f-4da9-b278-dfa04215c18f))
- (segment (start 174.249022 72.15) (end 176.47285 74.373828) (width 0.4) (layer "F.Cu") (net 3) (tstamp fa8eca7b-72af-4ab8-9cb3-4c2480a4b750))
+ (segment (start 154.9 93.975) (end 157 93.975) (width 1.5) (layer "F.Cu") (net 3) (tstamp fb616a42-4b1f-424e-8c33-62bb38e79c07))
(segment (start 197.15 86.6325) (end 197.15 84.825) (width 0.5) (layer "F.Cu") (net 3) (tstamp fde7745f-e775-4a30-9bcb-39debe949b56))
+ (via (at 173.1 75.7) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 3) (tstamp c4036323-d6a8-4f09-b8f7-5dfa51da70dd))
+ (via (at 170.6 82.2) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 3) (tstamp f34faf14-df1e-4fe2-9800-5b793a090b40))
(via (at 188.8 80.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp f481664a-2f18-418a-b7e3-e1e8bb2601eb))
+ (segment (start 173.1 75.7) (end 173.2 75.8) (width 1.2) (layer "B.Cu") (net 3) (tstamp 0fbd79bc-7905-4674-be10-2de7f4f21a36))
(segment (start 188.8 80.1) (end 189.9 79) (width 0.5) (layer "B.Cu") (net 3) (tstamp 15caf714-548e-4406-b4db-494278e8ca99))
(segment (start 187.15 69.05) (end 183.125 69.05) (width 0.5) (layer "B.Cu") (net 3) (tstamp 289c4cce-70bb-4a78-8fbd-c19623561a6a))
(segment (start 183.125 69.05) (end 181.7 70.475) (width 0.5) (layer "B.Cu") (net 3) (tstamp 3c0a194c-84f5-4e3b-be42-81190f97021c))
+ (segment (start 173.2 75.8) (end 176.9 75.8) (width 1.2) (layer "B.Cu") (net 3) (tstamp 79a77aab-cad0-49ae-ac1e-89e49e3491dc))
+ (segment (start 170.5 82.1) (end 170.6 82.2) (width 1.2) (layer "B.Cu") (net 3) (tstamp 93034474-4542-452b-b4f3-d1d99e487431))
+ (segment (start 170.5 77.8) (end 170.5 82.1) (width 1.2) (layer "B.Cu") (net 3) (tstamp 93948f8c-c421-4cbd-8cf8-777798ec795a))
(segment (start 189.9 71.8) (end 187.15 69.05) (width 0.5) (layer "B.Cu") (net 3) (tstamp a2513510-95e5-426d-9f10-9a26376164b0))
+ (segment (start 173.1 75.7) (end 172.6 75.7) (width 1.2) (layer "B.Cu") (net 3) (tstamp b7638dbc-d884-40b6-a557-003f68efd9f2))
+ (segment (start 172.6 75.7) (end 170.5 77.8) (width 1.2) (layer "B.Cu") (net 3) (tstamp bb58ff1b-b74a-49a2-a713-73d1631e599b))
+ (segment (start 176.9 75.8) (end 181.7 71) (width 1.2) (layer "B.Cu") (net 3) (tstamp bc28765c-9b97-41fd-b502-0a4f2e9ae2d8))
(segment (start 189.9 79) (end 189.9 71.8) (width 0.5) (layer "B.Cu") (net 3) (tstamp c6fe9c69-3fdd-434f-8e71-d3f7b5bc8fc2))
- (segment (start 172.725 75.45) (end 171.65 74.375) (width 0.3) (layer "F.Cu") (net 4) (tstamp 1e1add26-5602-4af1-9f0b-0fb0710c1d9b))
- (segment (start 171.65 74.375) (end 171.55 74.375) (width 0.3) (layer "F.Cu") (net 4) (tstamp a21cf592-08e5-48ce-8207-d904fd2566e5))
- (segment (start 175.15 75.45) (end 172.725 75.45) (width 0.3) (layer "F.Cu") (net 4) (tstamp b1ba1cd1-eda4-43f4-be09-b45c29f2fefa))
- (segment (start 169.8 71.975) (end 169.8 72.625) (width 0.3) (layer "F.Cu") (net 4) (tstamp e843a1b2-ebce-4d92-af9f-7c8ddf73568f))
- (segment (start 169.8 72.625) (end 171.55 74.375) (width 0.3) (layer "F.Cu") (net 4) (tstamp fe3660bf-35b1-4107-af53-7217a5748167))
+ (segment (start 181.7 71) (end 181.7 70.475) (width 1.2) (layer "B.Cu") (net 3) (tstamp d0e43f00-4b6a-409a-aac2-cc6048b31002))
+ (segment (start 158.075 110.75) (end 159.4575 109.3675) (width 0.4) (layer "F.Cu") (net 4) (tstamp 3351e602-8bd9-4bdc-9937-d978330c561f))
+ (segment (start 159.4575 109.3675) (end 159.4575 108.725) (width 0.4) (layer "F.Cu") (net 4) (tstamp 529c0295-ba8f-48e6-9380-ac92a0dd52fd))
+ (segment (start 157.2225 113.375) (end 157.2225 111.6025) (width 0.4) (layer "F.Cu") (net 4) (tstamp 8c26c8dd-5566-4ec0-9ed5-a9e09413797d))
+ (segment (start 157.2225 111.6025) (end 158.075 110.75) (width 0.4) (layer "F.Cu") (net 4) (tstamp 9bb3e349-7b14-4c8d-b594-2215af049329))
(segment (start 179.83 119.975) (end 179.99 119.815) (width 1.5) (layer "F.Cu") (net 5) (tstamp 00000000-0000-0000-0000-00005de9df15))
(segment (start 169.77501 121.24999) (end 169.77501 119.40001) (width 0.8) (layer "F.Cu") (net 5) (tstamp 249c62c0-1d47-4450-9ceb-f11b357aa54f))
(segment (start 171.7 125.975) (end 171.7 121.775) (width 1.5) (layer "F.Cu") (net 5) (tstamp 24d309a1-fa56-4269-b9e6-01b3075df34a))
@@ -8809,41 +8947,39 @@
(segment (start 172.7 115.1) (end 172.320088 115.479912) (width 0.8) (layer "F.Cu") (net 6) (tstamp f7ca587e-2893-4126-bb6f-f5c6d344dff6))
(segment (start 179.075 88.55) (end 177.2 88.55) (width 0.8) (layer "F.Cu") (net 7) (tstamp 0858182a-63a4-4b86-beb1-817d3775b105))
(segment (start 176.7375 85.41) (end 176.7375 88.3375) (width 0.4) (layer "F.Cu") (net 7) (tstamp 0b13039e-f95a-4e3d-bff5-000e5b515bb0))
+ (segment (start 177.5375 83.535) (end 177.5375 80.2375) (width 0.4) (layer "F.Cu") (net 7) (tstamp 2c86888b-6500-48ee-84fe-3e054f983b23))
(segment (start 177.5375 84.61) (end 176.7375 85.41) (width 0.4) (layer "F.Cu") (net 7) (tstamp 53a8ac66-178d-43ae-be2f-63867366ec4a))
(segment (start 177.2 88.55) (end 177.175 88.575) (width 0.8) (layer "F.Cu") (net 7) (tstamp 5742d0e4-3005-42ad-95d3-f475b33905b1))
- (segment (start 177.5375 79.9375) (end 176.3 78.7) (width 0.4) (layer "F.Cu") (net 7) (tstamp cadeaa82-3333-4e84-b673-995a278240c4))
+ (segment (start 176.725 79.425) (end 175.2375 79.425) (width 0.4) (layer "F.Cu") (net 7) (tstamp 762b3b99-f636-4404-8b43-bcf004916bf2))
+ (segment (start 177.5375 80.2375) (end 176.725 79.425) (width 0.4) (layer "F.Cu") (net 7) (tstamp ab7a9341-6552-4992-b0c0-026c1e60802f))
(segment (start 177.5375 83.535) (end 177.5375 84.61) (width 0.4) (layer "F.Cu") (net 7) (tstamp d7cb698c-877b-466e-8a40-33648871ba8b))
- (segment (start 176.3 78.7) (end 175.15 78.7) (width 0.4) (layer "F.Cu") (net 7) (tstamp e478c9d1-21d2-479c-af6b-37503798647f))
- (segment (start 177.5375 83.535) (end 177.5375 79.9375) (width 0.4) (layer "F.Cu") (net 7) (tstamp ed0416bb-25c4-4f3c-9a7e-683d6e2b97a8))
(segment (start 176.7375 88.3375) (end 177.175 88.775) (width 0.4) (layer "F.Cu") (net 7) (tstamp ef205a48-5dcb-4714-aa8a-6abeb6490e3f))
- (segment (start 158.075 110.75) (end 159.4575 109.3675) (width 0.4) (layer "F.Cu") (net 8) (tstamp 3351e602-8bd9-4bdc-9937-d978330c561f))
- (segment (start 159.4575 109.3675) (end 159.4575 108.725) (width 0.4) (layer "F.Cu") (net 8) (tstamp 529c0295-ba8f-48e6-9380-ac92a0dd52fd))
- (segment (start 157.2225 113.375) (end 157.2225 111.6025) (width 0.4) (layer "F.Cu") (net 8) (tstamp 8c26c8dd-5566-4ec0-9ed5-a9e09413797d))
- (segment (start 157.2225 111.6025) (end 158.075 110.75) (width 0.4) (layer "F.Cu") (net 8) (tstamp 9bb3e349-7b14-4c8d-b594-2215af049329))
- (segment (start 184 91.4) (end 183.35 90.75) (width 0.4) (layer "F.Cu") (net 9) (tstamp 0283890c-b997-4865-8449-6951fe52ca26))
- (segment (start 195.55 92.95) (end 194 91.4) (width 0.4) (layer "F.Cu") (net 9) (tstamp 0ee6c4c7-752c-40dd-b2c9-9470ff8345d3))
- (segment (start 202.35 91.0325) (end 202.35 92.65) (width 0.4) (layer "F.Cu") (net 9) (tstamp 15f66bb9-2728-4d60-9651-85d70bfeeced))
- (segment (start 179.675489 81.024511) (end 179.675489 80.275489) (width 0.4) (layer "F.Cu") (net 9) (tstamp 187f35cb-9b9b-4e44-b47f-9e6f6412fb11))
- (segment (start 182.150471 86.250471) (end 182.150471 83.499493) (width 0.4) (layer "F.Cu") (net 9) (tstamp 1b47456d-fedf-422e-9170-720bdaf8b6f4))
- (segment (start 182.150471 83.499493) (end 179.675489 81.024511) (width 0.4) (layer "F.Cu") (net 9) (tstamp 37f338bf-479a-48c2-a7f5-7c9d6d0d58d9))
- (segment (start 179.950978 80) (end 181.05 80) (width 0.4) (layer "F.Cu") (net 9) (tstamp 3cc2487b-9399-4773-89a4-e4ec810ea6bf))
- (segment (start 179.675489 80.275489) (end 179.950978 80) (width 0.4) (layer "F.Cu") (net 9) (tstamp 3fef69b4-1372-424a-8f7f-f08bd492ef1e))
- (segment (start 198.05 94.5) (end 196.5 92.95) (width 0.4) (layer "F.Cu") (net 9) (tstamp 665c6457-44ef-48f9-99a8-0415a6a6901c))
- (segment (start 194 91.4) (end 184 91.4) (width 0.4) (layer "F.Cu") (net 9) (tstamp 7e7c5a73-4a23-44a9-8bd2-0a70da101a4b))
- (segment (start 202.35 92.65) (end 200.5 94.5) (width 0.4) (layer "F.Cu") (net 9) (tstamp 973aa772-ee81-4ccf-807e-e71f6e381b3c))
- (segment (start 183.35 90.75) (end 183.35 87.45) (width 0.4) (layer "F.Cu") (net 9) (tstamp adf0082f-f2cf-4bde-a23d-65f0d30e062d))
- (segment (start 183.35 87.45) (end 182.150471 86.250471) (width 0.4) (layer "F.Cu") (net 9) (tstamp b901a5b5-679b-4bf7-982e-805ce1fa2ff8))
- (segment (start 196.5 92.95) (end 195.55 92.95) (width 0.4) (layer "F.Cu") (net 9) (tstamp cd9a26ad-565d-4d7c-a988-56ce4620e58b))
- (segment (start 200.5 94.5) (end 198.05 94.5) (width 0.4) (layer "F.Cu") (net 9) (tstamp d3d262e7-34c4-4ef8-9270-c84006d0707d))
- (segment (start 158.4 87.7) (end 156.8525 89.2475) (width 0.3) (layer "F.Cu") (net 10) (tstamp 20cb6658-2e51-4ff2-9a1d-dc6a7d2b6aa9))
- (segment (start 164.072862 87.7) (end 158.4 87.7) (width 0.3) (layer "F.Cu") (net 10) (tstamp 2b167767-6213-450c-9cbd-63cab031fc1d))
- (segment (start 176.38846 80.78846) (end 176.38846 81.99651) (width 0.3) (layer "F.Cu") (net 10) (tstamp 30b540a8-3087-45a0-9738-e4c15ac8256c))
- (segment (start 174.78497 83.6) (end 168.172861 83.600001) (width 0.3) (layer "F.Cu") (net 10) (tstamp 446a044d-eabe-4e50-a6af-e1cbf74ae131))
- (segment (start 176.25 80.65) (end 176.38846 80.78846) (width 0.3) (layer "F.Cu") (net 10) (tstamp 53d6aeeb-e579-4d72-ae83-00034f75e8c1))
- (segment (start 176.38846 81.99651) (end 174.78497 83.6) (width 0.3) (layer "F.Cu") (net 10) (tstamp 5d9a84e3-9eba-465d-be9e-1b28bf659820))
- (segment (start 156.8525 89.2475) (end 156.8525 90.325) (width 0.3) (layer "F.Cu") (net 10) (tstamp 779a30b5-a196-42a5-af5e-a007181b6bc4))
- (segment (start 175.15 80.65) (end 176.25 80.65) (width 0.3) (layer "F.Cu") (net 10) (tstamp 82ef84e8-da5c-4309-8051-b520aa611f54))
- (segment (start 168.172861 83.600001) (end 164.072862 87.7) (width 0.3) (layer "F.Cu") (net 10) (tstamp e346e255-15c5-48da-8f17-fe22416fa986))
+ (segment (start 184.5725 72.875) (end 186.5 72.875) (width 0.4) (layer "F.Cu") (net 8) (tstamp 25b768d3-e6f3-44c9-bdd5-be4d5b6c46e8))
+ (segment (start 188.5125 72.825) (end 186.55 72.825) (width 0.4) (layer "F.Cu") (net 8) (tstamp 5224fd04-7965-4bba-91d9-bf262ede7afd))
+ (segment (start 186.55 72.825) (end 186.5 72.875) (width 0.4) (layer "F.Cu") (net 8) (tstamp 7b24229e-b810-4984-99c5-b5a8b15603a6))
+ (segment (start 183.925 73.5225) (end 184.5725 72.875) (width 0.4) (layer "F.Cu") (net 8) (tstamp 7e214a73-89d6-4378-8452-7fccee242848))
+ (segment (start 198.05 94.5) (end 196.5 92.95) (width 0.4) (layer "F.Cu") (net 9) (tstamp 08fdad48-e13b-43a2-af1f-67495aa66c56))
+ (segment (start 184 91.4) (end 183.35 90.75) (width 0.4) (layer "F.Cu") (net 9) (tstamp 24270f7d-2f85-4b90-9a77-a06ca56ee738))
+ (segment (start 202.35 92.65) (end 200.5 94.5) (width 0.4) (layer "F.Cu") (net 9) (tstamp 345ba63b-6226-4aca-8418-20cb65fd0428))
+ (segment (start 181.775 80.075) (end 180.9625 80.075) (width 0.4) (layer "F.Cu") (net 9) (tstamp 3addbe83-df30-42bf-af98-c7682d0f9281))
+ (segment (start 194 91.4) (end 184 91.4) (width 0.4) (layer "F.Cu") (net 9) (tstamp 547df65a-ff5d-43ad-af9a-4766953f0539))
+ (segment (start 195.55 92.95) (end 194 91.4) (width 0.4) (layer "F.Cu") (net 9) (tstamp 8a33b236-0b81-4953-ac63-0995382a5d3c))
+ (segment (start 196.5 92.95) (end 195.55 92.95) (width 0.4) (layer "F.Cu") (net 9) (tstamp bd496c5a-c139-4a7f-b3fb-63ab0b396a97))
+ (segment (start 202.35 91.0325) (end 202.35 92.65) (width 0.4) (layer "F.Cu") (net 9) (tstamp c582aa11-d2c5-45a6-bd45-f20c99f2c96d))
+ (segment (start 182.150471 80.450471) (end 181.775 80.075) (width 0.4) (layer "F.Cu") (net 9) (tstamp ca0da709-e32e-48fa-aed1-fe8a62e35a82))
+ (segment (start 183.35 87.45) (end 182.150471 86.250471) (width 0.4) (layer "F.Cu") (net 9) (tstamp d52ee70f-94c3-4c0d-868d-8573628bc66d))
+ (segment (start 183.35 90.75) (end 183.35 87.45) (width 0.4) (layer "F.Cu") (net 9) (tstamp d577c6f4-b6e1-48a4-ace9-1769b69199c4))
+ (segment (start 200.5 94.5) (end 198.05 94.5) (width 0.4) (layer "F.Cu") (net 9) (tstamp e1f1f0cb-0016-43a9-af2d-b1c25e0bc6db))
+ (segment (start 182.150471 86.250471) (end 182.150471 80.450471) (width 0.4) (layer "F.Cu") (net 9) (tstamp f163fd9c-24d8-47b3-b473-cd08618aceb2))
+ (segment (start 175.725 80.725) (end 175.2375 80.725) (width 0.4) (layer "F.Cu") (net 10) (tstamp 11bf8913-fdf1-4706-97b4-aa2751a474c1))
+ (segment (start 158.7 87.9) (end 164.2 87.9) (width 0.4) (layer "F.Cu") (net 10) (tstamp 330c0352-7e57-4829-af20-33d9bcf70961))
+ (segment (start 164.2 87.9) (end 168.4 83.7) (width 0.4) (layer "F.Cu") (net 10) (tstamp 3d1ae001-3910-4fff-9428-f7aa20f96656))
+ (segment (start 176 81) (end 175.725 80.725) (width 0.4) (layer "F.Cu") (net 10) (tstamp 8eed6838-4541-45d9-92e1-910478639f0e))
+ (segment (start 168.4 83.7) (end 174.8 83.7) (width 0.4) (layer "F.Cu") (net 10) (tstamp b54ac751-d113-47f5-be03-04675bcdc8b7))
+ (segment (start 156.8525 89.7475) (end 158.7 87.9) (width 0.4) (layer "F.Cu") (net 10) (tstamp b7fdb016-6224-4050-a841-8c142de6c765))
+ (segment (start 156.8525 90.325) (end 156.8525 89.7475) (width 0.4) (layer "F.Cu") (net 10) (tstamp bc0d8824-4a90-47ed-a8e3-f62a460638ee))
+ (segment (start 176 82.5) (end 176 81) (width 0.4) (layer "F.Cu") (net 10) (tstamp dc660365-ff72-451c-ba68-35230d167758))
+ (segment (start 174.8 83.7) (end 176 82.5) (width 0.4) (layer "F.Cu") (net 10) (tstamp f3828663-6481-4ace-88c3-0fd6938b6140))
(segment (start 204.1675 82.9325) (end 204.3 82.8) (width 0.8) (layer "F.Cu") (net 11) (tstamp 097e5d52-fe23-4632-935b-f8c79e3c56e0))
(segment (start 203.275 82.9325) (end 204.1675 82.9325) (width 0.8) (layer "F.Cu") (net 11) (tstamp 13e4214b-b941-46a3-a68b-8db723c32706))
(via (at 204.3 82.8) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 11) (tstamp 1b185719-5651-4a7f-b6dc-f7c01e093b6b))
@@ -8863,66 +8999,53 @@
(segment (start 166.15 117.375) (end 166.15 115.35) (width 1.5) (layer "B.Cu") (net 12) (tstamp 26b63341-d96f-4614-bb3a-273636d7b740))
(segment (start 166.15 115.35) (end 159.95 109.15) (width 1.5) (layer "B.Cu") (net 12) (tstamp 888ab8c2-0ff3-449d-80ac-244f9fe1d114))
(segment (start 161.433161 122.986817) (end 161.433161 122.091839) (width 1.5) (layer "B.Cu") (net 12) (tstamp 983fa03e-d5be-4274-b829-ca70286b16f5))
- (segment (start 160.3 89.5) (end 160.8625 89.5) (width 1.5) (layer "F.Cu") (net 13) (tstamp 46010167-d139-4ebd-a9e3-4e67f23af2af))
- (segment (start 160.8625 89.5) (end 161.675 90.3125) (width 1.5) (layer "F.Cu") (net 13) (tstamp 7bc7bbe0-320e-44c8-b7c1-da084f597c26))
- (via (at 160.3 89.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 13) (tstamp 1a69f261-13ea-4bda-96aa-410f63e411cf))
- (segment (start 160.55 89.25) (end 160.55 81.606824) (width 1.5) (layer "B.Cu") (net 13) (tstamp 218fca9b-ebab-48c0-8f7f-3fead11c1f39))
- (segment (start 160.55 81.606824) (end 158.213619 79.270443) (width 1.5) (layer "B.Cu") (net 13) (tstamp 27efe1ff-c646-4e45-b2e5-68a907bc7358))
- (segment (start 160.3 89.5) (end 160.55 89.25) (width 1.5) (layer "B.Cu") (net 13) (tstamp c346793a-b95b-447f-a65c-c11c144d2a02))
+ (segment (start 160.8625 89.5) (end 161.675 90.3125) (width 1.5) (layer "F.Cu") (net 13) (tstamp 39e51177-8aff-4fb1-8835-ffcc9e639db7))
+ (segment (start 160.6 89.5) (end 160.8625 89.5) (width 1.5) (layer "F.Cu") (net 13) (tstamp d8a28e79-cabe-4c2a-a715-00d4a5054745))
+ (via (at 160.6 89.5) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 13) (tstamp 1a69f261-13ea-4bda-96aa-410f63e411cf))
+ (segment (start 160.55 89.45) (end 160.55 81.606824) (width 1.5) (layer "B.Cu") (net 13) (tstamp 0a0bc0c3-392f-4e20-95cd-20cff3513750))
+ (segment (start 160.6 89.5) (end 160.55 89.45) (width 1.5) (layer "B.Cu") (net 13) (tstamp 4b225914-26ef-4bd2-9a18-c0b11e5fd760))
+ (segment (start 160.55 81.606824) (end 158.213619 79.270443) (width 1.5) (layer "B.Cu") (net 13) (tstamp f68ab4b9-416c-4e90-964c-bfc93a6c0570))
(segment (start 192 74.1) (end 190.7125 74.1) (width 1.2) (layer "F.Cu") (net 14) (tstamp ce1fb70f-0c1e-422a-8da5-29a12b37d5e1))
(segment (start 190.7125 74.1) (end 190.3875 73.775) (width 1.2) (layer "F.Cu") (net 14) (tstamp eca4138e-bdcd-4471-8517-fa39b53fb9d3))
(via (at 192 74.1) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 14) (tstamp 308be7f2-9024-4e88-a390-0a6c86f929aa))
(segment (start 192 74.1) (end 192 74.7) (width 2) (layer "B.Cu") (net 14) (tstamp 37abadbe-8469-4f30-875d-be9adb6c6316))
(segment (start 192 74.7) (end 194.188183 76.888183) (width 2) (layer "B.Cu") (net 14) (tstamp 7e516c5f-bcd6-41de-9d8d-b481f05b5257))
(segment (start 194.188183 76.888183) (end 194.491839 76.888183) (width 2) (layer "B.Cu") (net 14) (tstamp adf6441f-e2eb-430d-bea8-c5b81087f791))
- (segment (start 181.05 74.8) (end 180.6 74.8) (width 0.4) (layer "F.Cu") (net 15) (tstamp 02525039-bcfd-4187-913a-0a0db2b67b88))
- (segment (start 180.45 73.2) (end 179.16 71.91) (width 0.4) (layer "F.Cu") (net 15) (tstamp 2375a094-11a6-48a7-a5fb-f2a2b8393acc))
- (segment (start 179.16 71.91) (end 179.16 70.475) (width 0.4) (layer "F.Cu") (net 15) (tstamp 449ca8ba-2231-4c3a-93b3-eaf840e0c86f))
- (segment (start 180.6 74.8) (end 180.45 74.65) (width 0.4) (layer "F.Cu") (net 15) (tstamp c9a3eef5-46ab-45e5-9671-9740fea2b3f7))
- (segment (start 180.45 74.65) (end 180.45 73.2) (width 0.4) (layer "F.Cu") (net 15) (tstamp cda9e444-43ec-4bc1-ac24-ceaf493c2afb))
- (segment (start 175.655 72.05) (end 174.08 70.475) (width 0.4) (layer "F.Cu") (net 16) (tstamp 0da66b2f-ed1c-4f75-8ad7-1b8b330410d8))
- (segment (start 181.05 75.45) (end 179.950978 75.45) (width 0.4) (layer "F.Cu") (net 16) (tstamp 8b993ca7-6cfc-45c1-9963-274ba267e765))
- (segment (start 179.8 73.45) (end 178.4 72.05) (width 0.4) (layer "F.Cu") (net 16) (tstamp 90645316-84e1-4452-a3a6-3edeaa35c9f3))
- (segment (start 178.4 72.05) (end 175.655 72.05) (width 0.4) (layer "F.Cu") (net 16) (tstamp ad10a40c-a00d-4269-8eda-d45648f0de69))
- (segment (start 179.8 75.299022) (end 179.8 73.45) (width 0.4) (layer "F.Cu") (net 16) (tstamp cfd32710-73b5-47d9-8677-30d1c1835dfb))
- (segment (start 179.950978 75.45) (end 179.8 75.299022) (width 0.4) (layer "F.Cu") (net 16) (tstamp e733d80c-1ed0-4b9e-bc76-d70fc644c320))
- (segment (start 163.5 93.15) (end 165.95 95.6) (width 0.4) (layer "F.Cu") (net 17) (tstamp 08619a4f-77ed-4e26-a772-cfe4389829c9))
- (segment (start 160.125 114.325) (end 159.0975 114.325) (width 0.4) (layer "F.Cu") (net 17) (tstamp 0b2439f4-73bf-491e-b1c7-97c909916de8))
- (segment (start 163.675 110.775) (end 160.125 114.325) (width 0.4) (layer "F.Cu") (net 17) (tstamp 15ad3c13-1a16-42c7-a676-e4bce1cb3cd6))
- (segment (start 168.40048 84.14952) (end 163.5 89.05) (width 0.4) (layer "F.Cu") (net 17) (tstamp 244b6b10-b471-490a-9452-2b342f47e989))
- (segment (start 175.15 80) (end 176.5 80) (width 0.4) (layer "F.Cu") (net 17) (tstamp 3e4df5b6-99e1-421c-8b7e-ffb243e897b3))
- (segment (start 176.93798 82.26202) (end 175.05048 84.14952) (width 0.4) (layer "F.Cu") (net 17) (tstamp 4987fe3a-5716-481c-8b06-3f477aa45cba))
- (segment (start 176.93798 80.43798) (end 176.93798 82.26202) (width 0.4) (layer "F.Cu") (net 17) (tstamp 5a2682d7-2cdb-4d82-ab6f-1b38c883fab3))
- (segment (start 176.5 80) (end 176.93798 80.43798) (width 0.4) (layer "F.Cu") (net 17) (tstamp 7e40ce49-0dc6-47f8-97d3-37458453932e))
- (segment (start 175.05048 84.14952) (end 168.40048 84.14952) (width 0.4) (layer "F.Cu") (net 17) (tstamp 84b444ae-b630-4ceb-bccb-7b5506b96c1b))
- (segment (start 163.675 109.25) (end 163.675 110.775) (width 0.4) (layer "F.Cu") (net 17) (tstamp bfc29e98-5c20-4a5c-a1c2-e72d29d75982))
- (segment (start 165.95 106.975) (end 163.675 109.25) (width 0.4) (layer "F.Cu") (net 17) (tstamp c0c14fdc-d234-4119-a599-14f7f0bc41c5))
- (segment (start 165.95 95.6) (end 165.95 106.975) (width 0.4) (layer "F.Cu") (net 17) (tstamp c81281ca-b866-4e03-b38d-9fbcd489cda3))
- (segment (start 163.5 89.05) (end 163.5 93.15) (width 0.4) (layer "F.Cu") (net 17) (tstamp ed025d0b-55d7-4fb8-aafd-1a2ff2f29617))
+ (segment (start 179.16 70.475) (end 179.16 74.86) (width 0.4) (layer "F.Cu") (net 15) (tstamp 2f2d4300-bc0a-4e46-a6b3-b04dc3042592))
+ (segment (start 179.825 75.525) (end 180.9625 75.525) (width 0.4) (layer "F.Cu") (net 15) (tstamp 9be481c0-af58-4aef-b1e6-891f82d6837e))
+ (segment (start 179.16 74.86) (end 179.825 75.525) (width 0.4) (layer "F.Cu") (net 15) (tstamp df531853-737c-4120-ae41-c59b36417abc))
+ (segment (start 179.475 76.175) (end 178.5 75.2) (width 0.4) (layer "F.Cu") (net 16) (tstamp 19c9c901-bbab-4dd8-a40b-9e3d61f52227))
+ (segment (start 178.5 75.2) (end 178.5 74.6) (width 0.4) (layer "F.Cu") (net 16) (tstamp 2ced38bc-51f0-41f5-9e94-579bee328516))
+ (segment (start 174.375 70.475) (end 174.08 70.475) (width 0.4) (layer "F.Cu") (net 16) (tstamp 41302f64-870d-4f28-b2a1-0c8ffda9dac9))
+ (segment (start 180.9625 76.175) (end 179.475 76.175) (width 0.4) (layer "F.Cu") (net 16) (tstamp 6bf684c6-eeda-4c33-9371-ef2e79f84a35))
+ (segment (start 178.5 74.6) (end 174.375 70.475) (width 0.4) (layer "F.Cu") (net 16) (tstamp c794bf6e-1c60-42e9-bc3b-68ca9a5ab1f2))
+ (segment (start 165.95 95.6) (end 163.5 93.15) (width 0.4) (layer "F.Cu") (net 17) (tstamp 0250c956-aea5-4ef3-9c3c-d412a734538b))
+ (segment (start 163.5 89.7) (end 168.9 84.3) (width 0.4) (layer "F.Cu") (net 17) (tstamp 0fdde8e7-ba79-4e27-a025-a5276909be3a))
+ (segment (start 176.7 82.8) (end 176.7 80.6) (width 0.4) (layer "F.Cu") (net 17) (tstamp 134af77d-44da-43ac-9bbb-e25bcbb23492))
+ (segment (start 159.0975 114.325) (end 160.125 114.325) (width 0.4) (layer "F.Cu") (net 17) (tstamp 2181271b-0600-4fc8-834e-5bf7985961dd))
+ (segment (start 163.675 110.775) (end 163.675 109.25) (width 0.4) (layer "F.Cu") (net 17) (tstamp 3be6a938-a2de-481f-9c3a-0ef4d70a2d3e))
+ (segment (start 160.125 114.325) (end 163.675 110.775) (width 0.4) (layer "F.Cu") (net 17) (tstamp 506e7c24-b810-4ff7-996e-30a3a998c674))
+ (segment (start 176.7 80.6) (end 176.175 80.075) (width 0.4) (layer "F.Cu") (net 17) (tstamp 6400a8bb-c70b-404d-a1b1-2a44f9bd67db))
+ (segment (start 165.95 106.975) (end 165.95 95.6) (width 0.4) (layer "F.Cu") (net 17) (tstamp 65b64e4b-4fa9-4835-ab91-35ba3c9499cc))
+ (segment (start 168.9 84.3) (end 175.2 84.3) (width 0.4) (layer "F.Cu") (net 17) (tstamp 95f379ed-0af1-4ca4-bdfe-d42774743ad2))
+ (segment (start 176.175 80.075) (end 175.2375 80.075) (width 0.4) (layer "F.Cu") (net 17) (tstamp 9d1e0e7e-e6c2-4c59-b00f-b368f4c82283))
+ (segment (start 163.675 109.25) (end 165.95 106.975) (width 0.4) (layer "F.Cu") (net 17) (tstamp c82535fb-0a49-4009-991e-0451f0fbf497))
+ (segment (start 175.2 84.3) (end 176.7 82.8) (width 0.4) (layer "F.Cu") (net 17) (tstamp efb3c066-3b76-4aa0-b620-dc6884b5bec6))
+ (segment (start 163.5 93.15) (end 163.5 89.7) (width 0.4) (layer "F.Cu") (net 17) (tstamp fdb9ad44-5833-471f-b383-11c687a6953f))
(segment (start 153.05 89.75) (end 153.05 94.3375) (width 0.4) (layer "F.Cu") (net 18) (tstamp 2596f333-93d9-451e-a6c6-511ac6d134ea))
(segment (start 167.56676 81.02548) (end 163.19224 85.4) (width 0.4) (layer "F.Cu") (net 18) (tstamp 3cbf3410-3b5f-4452-9975-7ac5a5146737))
- (segment (start 170.95 79.4) (end 170.95 80.4) (width 0.4) (layer "F.Cu") (net 18) (tstamp 6867f9f8-9803-4624-8ca3-3180ffa3061c))
- (segment (start 173.85 79.4) (end 173.9 79.35) (width 0.4) (layer "F.Cu") (net 18) (tstamp 7a5ab4fa-3264-4684-a253-88f153f7b221))
+ (segment (start 173.7 79.2) (end 173.7 80.6) (width 0.4) (layer "F.Cu") (net 18) (tstamp 6686f7f2-1e2b-4d28-ac54-860c86e996d5))
+ (segment (start 175.2375 78.775) (end 174.125 78.775) (width 0.4) (layer "F.Cu") (net 18) (tstamp 71affe57-65d7-4bda-a1c5-62a219e30817))
(segment (start 170.32452 81.02548) (end 167.56676 81.02548) (width 0.4) (layer "F.Cu") (net 18) (tstamp 86bc5f65-6318-48a8-8ebb-08d2993c2034))
- (segment (start 173.9 79.35) (end 175.15 79.35) (width 0.4) (layer "F.Cu") (net 18) (tstamp 91cfb9b2-4d8a-4873-a5ff-e656d23e8bb4))
(segment (start 157.4 85.4) (end 153.05 89.75) (width 0.4) (layer "F.Cu") (net 18) (tstamp 92ac0db4-ed9e-4fd6-b606-7a5cdf655661))
(segment (start 163.19224 85.4) (end 157.4 85.4) (width 0.4) (layer "F.Cu") (net 18) (tstamp bbe439d8-32f3-48a2-ba28-d360d31b0653))
- (segment (start 170.95 80.4) (end 170.32452 81.02548) (width 0.4) (layer "F.Cu") (net 18) (tstamp bbf7bbbf-d3d1-4d5c-90cc-2ee8b58ef877))
+ (segment (start 173.27452 81.02548) (end 170.32452 81.02548) (width 0.4) (layer "F.Cu") (net 18) (tstamp c4971f26-7105-492a-83d7-0bae53286082))
+ (segment (start 174.125 78.775) (end 173.7 79.2) (width 0.4) (layer "F.Cu") (net 18) (tstamp cf335353-c501-46dd-ab28-59eba4e2b9d4))
(segment (start 153.05 94.3375) (end 151.9375 95.45) (width 0.4) (layer "F.Cu") (net 18) (tstamp d2cf883f-9369-4cf4-b18d-7a1389749bb7))
- (via (at 170.95 79.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18) (tstamp 9fc8604d-6c8e-4a1f-9b8c-ad8670d3d7fd))
- (via (at 173.85 79.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18) (tstamp ba196c5e-c0ef-4302-a272-19b62b725059))
- (segment (start 173.64952 79.19952) (end 173.85 79.4) (width 0.4) (layer "B.Cu") (net 18) (tstamp b3937492-554c-4d39-ab59-3a69e3494ef1))
- (segment (start 171.15048 79.19952) (end 173.64952 79.19952) (width 0.4) (layer "B.Cu") (net 18) (tstamp bb76f10d-5143-4684-b2ba-a80e0afd5c4d))
- (segment (start 170.95 79.4) (end 171.15048 79.19952) (width 0.4) (layer "B.Cu") (net 18) (tstamp cdcb4a84-fa97-4501-a359-f568827919ee))
- (segment (start 182.975 75.575) (end 183.95 76.55) (width 0.4) (layer "F.Cu") (net 19) (tstamp 157e6429-1af1-411b-9e65-39382df66475))
- (segment (start 182.55 79.574511) (end 182.325489 79.35) (width 0.4) (layer "F.Cu") (net 19) (tstamp 1a64cb9c-d2a3-49a2-a4c1-50a059900025))
+ (segment (start 173.7 80.6) (end 173.27452 81.02548) (width 0.4) (layer "F.Cu") (net 18) (tstamp ea55f5aa-76a1-45a1-a8a0-d8d3be10e9e9))
+ (segment (start 180.9625 74.875) (end 182.4525 74.875) (width 0.4) (layer "F.Cu") (net 19) (tstamp 08b5db3d-9e89-4e05-a4cd-aead2a50840f))
+ (segment (start 182.4525 74.875) (end 182.975 75.3975) (width 0.4) (layer "F.Cu") (net 19) (tstamp 10e3dcfe-3e23-4b23-9ced-c62ab9853549))
(segment (start 182.975 75.3975) (end 182.975 75.575) (width 0.4) (layer "F.Cu") (net 19) (tstamp 3ffd4088-d618-4ea4-bb0d-96c1f0c66d24))
- (segment (start 182.325489 79.35) (end 181.05 79.35) (width 0.4) (layer "F.Cu") (net 19) (tstamp 4fd82914-df1c-46db-9a9e-54f32ab8943b))
- (segment (start 182.55 80.1) (end 182.55 79.574511) (width 0.4) (layer "F.Cu") (net 19) (tstamp b57d170b-5cef-4dde-933c-40125f9d01aa))
- (via (at 182.55 80.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 19) (tstamp 400a9db6-3385-4edb-be43-60dc3017adc3))
- (via (at 183.95 76.55) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 19) (tstamp 64c1fb5d-721e-43cd-8188-78393092b8e6))
- (segment (start 183.95 78.7) (end 183.95 76.55) (width 0.4) (layer "B.Cu") (net 19) (tstamp 3f7ca383-4060-4fa1-b98b-6b1e44f68926))
- (segment (start 182.55 80.1) (end 183.95 78.7) (width 0.4) (layer "B.Cu") (net 19) (tstamp 8a3e653e-2320-4e26-a137-0337779aa300))
(segment (start 184 116.6) (end 184.55 116.6) (width 0.5) (layer "F.Cu") (net 20) (tstamp 08c57f66-3a32-4346-a369-194227f6bd91))
(segment (start 203.45 111.05) (end 195.9 118.6) (width 1.5) (layer "F.Cu") (net 20) (tstamp 1b330215-f0ce-4dbb-a4f7-f2577be42f12))
(segment (start 202.775 108.975) (end 198.25 104.45) (width 1.8) (layer "F.Cu") (net 20) (tstamp 3a5d1189-5bc8-4564-bdd4-c66e024b3c49))
@@ -8939,25 +9062,22 @@
(segment (start 181.675 115.59) (end 182.99 115.59) (width 0.5) (layer "F.Cu") (net 20) (tstamp c80f801c-237f-456a-9f9b-4db40b6f939b))
(segment (start 194.6 98.3) (end 193.775 98.3) (width 1.8) (layer "F.Cu") (net 20) (tstamp e55ecd41-85a0-4507-9cf1-e747d3f50c54))
(segment (start 186.450549 118.6) (end 185.707459 117.85691) (width 1.5) (layer "F.Cu") (net 20) (tstamp ec1baf3e-5338-41d0-8ea0-153702b7ec7b))
- (segment (start 175.15 76.1) (end 173.425001 76.1) (width 0.4) (layer "F.Cu") (net 21) (tstamp 06421682-c826-4045-a068-9c49b7294078))
- (segment (start 169.45 76.075) (end 169.35 76.075) (width 0.4) (layer "F.Cu") (net 21) (tstamp 089ba084-77aa-49f0-81fa-bc5b574f6f0f))
- (segment (start 167.1 76.075) (end 166.95 75.925) (width 0.4) (layer "F.Cu") (net 21) (tstamp 1fa7e416-63f5-45f3-aa6a-2260b5b6f773))
- (segment (start 173.425001 76.1) (end 172.45 77.075001) (width 0.4) (layer "F.Cu") (net 21) (tstamp 2f3e24b0-5b4e-4e82-8508-3ae42fe42063))
- (segment (start 169.35 76.075) (end 167.1 76.075) (width 0.4) (layer "F.Cu") (net 21) (tstamp 8d4a8c95-1746-4647-b837-9a7f46092ce7))
- (segment (start 172.45 77.075001) (end 170.45 77.075) (width 0.4) (layer "F.Cu") (net 21) (tstamp aba59f7e-3407-49ef-8dfd-720120819ba4))
- (segment (start 170.45 77.075) (end 169.45 76.075) (width 0.4) (layer "F.Cu") (net 21) (tstamp ca0c1a0c-7bfb-410a-bb0a-e581b328e925))
+ (segment (start 202.65 88.5075) (end 203.3 89.1575) (width 0.4) (layer "F.Cu") (net 21) (tstamp 18014972-37a8-41bd-b678-94f1e0c1575f))
+ (segment (start 202.65 86.925) (end 202.65 88.5075) (width 0.4) (layer "F.Cu") (net 21) (tstamp bb72d01e-57df-415e-8461-eb33ea30cdd8))
+ (segment (start 202.35 86.625) (end 202.35 84.8325) (width 0.4) (layer "F.Cu") (net 21) (tstamp e48ccba9-1ad4-4e75-b642-c1293d6eec1c))
(segment (start 153.8125 96.4) (end 153.8125 97.5625) (width 0.4) (layer "F.Cu") (net 22) (tstamp 774a5d68-f5cd-4b25-bbe6-978f2f972dfe))
(segment (start 153.8125 97.5625) (end 153.85 97.6) (width 0.4) (layer "F.Cu") (net 22) (tstamp ec3146fd-2757-49dc-b526-a28d47c317d9))
(via (at 153.85 97.6) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 22) (tstamp 0888f924-93ea-426c-aaa1-65a70f35c147))
(segment (start 153.85 97.6) (end 153.85 98.225) (width 0.4) (layer "B.Cu") (net 22) (tstamp 3728add3-6892-43e3-9e20-757873b39d83))
(segment (start 153.85 98.225) (end 152.35 99.725) (width 0.4) (layer "B.Cu") (net 22) (tstamp ab3ea925-78d9-4f32-bb3e-ac016d9a6af9))
- (segment (start 184.5725 72.875) (end 186.5 72.875) (width 0.4) (layer "F.Cu") (net 23) (tstamp 25b768d3-e6f3-44c9-bdd5-be4d5b6c46e8))
- (segment (start 188.5125 72.825) (end 186.55 72.825) (width 0.4) (layer "F.Cu") (net 23) (tstamp 5224fd04-7965-4bba-91d9-bf262ede7afd))
- (segment (start 186.55 72.825) (end 186.5 72.875) (width 0.4) (layer "F.Cu") (net 23) (tstamp 7b24229e-b810-4984-99c5-b5a8b15603a6))
- (segment (start 183.925 73.5225) (end 184.5725 72.875) (width 0.4) (layer "F.Cu") (net 23) (tstamp 7e214a73-89d6-4378-8452-7fccee242848))
- (segment (start 202.65 88.5075) (end 203.3 89.1575) (width 0.4) (layer "F.Cu") (net 24) (tstamp 18014972-37a8-41bd-b678-94f1e0c1575f))
- (segment (start 202.65 86.925) (end 202.65 88.5075) (width 0.4) (layer "F.Cu") (net 24) (tstamp bb72d01e-57df-415e-8461-eb33ea30cdd8))
- (segment (start 202.35 86.625) (end 202.35 84.8325) (width 0.4) (layer "F.Cu") (net 24) (tstamp e48ccba9-1ad4-4e75-b642-c1293d6eec1c))
+ (segment (start 160.8 94.125) (end 160.6 94.125) (width 0.4) (layer "F.Cu") (net 23) (tstamp 265873b1-486e-477a-b6cf-ce1300359272))
+ (segment (start 160.8 94.125) (end 160.8 92.2625) (width 0.4) (layer "F.Cu") (net 23) (tstamp 305cfa8b-15ad-47b3-8135-61909dccfc99))
+ (segment (start 160.725 92.1875) (end 159.8125 91.275) (width 0.4) (layer "F.Cu") (net 23) (tstamp 41e3e5b3-835e-49df-9558-f047e83d11ce))
+ (segment (start 159.8125 91.275) (end 158.7275 91.275) (width 0.4) (layer "F.Cu") (net 23) (tstamp 98100680-b0d9-47f4-ab31-f8c71c7c70ef))
+ (segment (start 160.8 92.2625) (end 160.725 92.1875) (width 0.4) (layer "F.Cu") (net 23) (tstamp 9fc60788-6259-432e-a672-de27a09a4312))
+ (segment (start 170.35 76.9) (end 170.35 77.6) (width 0.4) (layer "F.Cu") (net 24) (tstamp 6a1cb537-93c3-42d9-b605-c7b8b4949327))
+ (segment (start 170.875 78.125) (end 175.2375 78.125) (width 0.4) (layer "F.Cu") (net 24) (tstamp 8425a1de-2573-4e5f-b31a-a41692903fc9))
+ (segment (start 170.35 77.6) (end 170.875 78.125) (width 0.4) (layer "F.Cu") (net 24) (tstamp f9894b60-b7e9-4263-8dcd-d508d263b3a2))
(segment (start 181.675 116.86) (end 182.66 116.86) (width 0.5) (layer "F.Cu") (net 25) (tstamp 20208edf-397e-4c85-a713-5adf91c670de))
(segment (start 181.675 116.86) (end 180.335 116.86) (width 0.4) (layer "F.Cu") (net 25) (tstamp 74f76ac3-72e2-4354-b3d3-dde902e8d2e3))
(segment (start 183.6 117.8) (end 183.6 118.7) (width 0.5) (layer "F.Cu") (net 25) (tstamp 97d19422-9726-4b62-8e83-bc1a111dc17f))
@@ -8978,223 +9098,221 @@
(segment (start 154.95 100.975) (end 153.625 102.3) (width 0.5) (layer "B.Cu") (net 27) (tstamp cb6d3195-c265-4363-a6d3-72b8ae0a4dd3))
(segment (start 170.825 118.225) (end 172.575 118.225) (width 0.8) (layer "F.Cu") (net 28) (tstamp b49ad5d2-2ed3-4763-9200-7257a8fa69fd))
(segment (start 152.35 107.9875) (end 152.35 102.3) (width 0.5) (layer "F.Cu") (net 29) (tstamp 5a4567bb-28ee-48b8-88ec-e44a9f40fbc6))
- (segment (start 160.8 94.125) (end 160.6 94.125) (width 0.4) (layer "F.Cu") (net 30) (tstamp 265873b1-486e-477a-b6cf-ce1300359272))
- (segment (start 160.8 94.125) (end 160.8 92.2625) (width 0.4) (layer "F.Cu") (net 30) (tstamp 305cfa8b-15ad-47b3-8135-61909dccfc99))
- (segment (start 160.725 92.1875) (end 159.8125 91.275) (width 0.4) (layer "F.Cu") (net 30) (tstamp 41e3e5b3-835e-49df-9558-f047e83d11ce))
- (segment (start 159.8125 91.275) (end 158.7275 91.275) (width 0.4) (layer "F.Cu") (net 30) (tstamp 98100680-b0d9-47f4-ab31-f8c71c7c70ef))
- (segment (start 160.8 92.2625) (end 160.725 92.1875) (width 0.4) (layer "F.Cu") (net 30) (tstamp 9fc60788-6259-432e-a672-de27a09a4312))
- (segment (start 183.95 87.15) (end 182.749991 85.949991) (width 0.4) (layer "F.Cu") (net 31) (tstamp 08e6e9ff-ec30-4cb7-b508-54d0ad40238a))
- (segment (start 182.749991 81.449991) (end 181.95 80.65) (width 0.4) (layer "F.Cu") (net 31) (tstamp 280176ab-8d14-4a6c-8613-c2c73c65f8e5))
- (segment (start 184.3 90.5) (end 183.95 90.15) (width 0.4) (layer "F.Cu") (net 31) (tstamp 3e2ff491-b5aa-4a45-934b-c8347662f933))
- (segment (start 187 100.85) (end 187 99) (width 0.4) (layer "F.Cu") (net 31) (tstamp 41c70534-aded-43a9-adc8-39db055e7534))
- (segment (start 181.95 80.65) (end 181.05 80.65) (width 0.4) (layer "F.Cu") (net 31) (tstamp 496b088f-6357-4e55-af65-acf36bd53d7f))
- (segment (start 174.2 117.25) (end 176.335 117.25) (width 0.4) (layer "F.Cu") (net 31) (tstamp 534a75d5-6667-4750-a0f2-6b88bd3ce110))
- (segment (start 182.2 104.4) (end 183.45 104.4) (width 0.4) (layer "F.Cu") (net 31) (tstamp 6aaa0ad1-0dcf-499b-9af5-3e2f6e1f3dc0))
- (segment (start 184.7 96.7) (end 184.7 96.65) (width 0.4) (layer "F.Cu") (net 31) (tstamp b7f0dc11-6c59-4ebb-bd8f-ac4bae3879f9))
- (segment (start 183.95 90.15) (end 183.95 87.15) (width 0.4) (layer "F.Cu") (net 31) (tstamp bb026a74-0100-4a39-b675-9fe696c05d56))
- (segment (start 187 99) (end 184.7 96.7) (width 0.4) (layer "F.Cu") (net 31) (tstamp c1ee62bc-46cb-488c-b0d2-be1588b53a98))
- (segment (start 182.749991 85.949991) (end 182.749991 81.449991) (width 0.4) (layer "F.Cu") (net 31) (tstamp c968626d-d58a-4472-8cea-2dd2a845781d))
- (segment (start 183.45 104.4) (end 187 100.85) (width 0.4) (layer "F.Cu") (net 31) (tstamp cd7a1f52-3fe5-4033-8d13-ad995866a67b))
- (segment (start 181.3 105.3) (end 182.2 104.4) (width 0.4) (layer "F.Cu") (net 31) (tstamp d0b9bacc-b7d5-4a0c-a65d-769e98904c0b))
- (segment (start 173.8 116.85) (end 174.2 117.25) (width 0.4) (layer "F.Cu") (net 31) (tstamp d58504ec-2c53-4d5b-9d64-75fc088c8b8b))
- (segment (start 176.335 117.25) (end 176.725 116.86) (width 0.4) (layer "F.Cu") (net 31) (tstamp fbc003f5-200c-4b29-8fcc-1bf67a66d2f5))
- (via (at 184.3 90.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 31) (tstamp 7a7bcf80-559e-4f05-a6c5-e089cda1e47c))
- (via (at 173.8 116.85) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 31) (tstamp 88d1c353-eb99-42c7-a27f-168038801d15))
- (via (at 184.7 96.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 31) (tstamp d3418259-278c-4d4a-a0f8-6aaafa55825a))
- (via (at 181.3 105.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 31) (tstamp eb1716e1-6794-4777-ba9d-b72709bfa651))
- (segment (start 184.3 90.5) (end 184.7 90.9) (width 0.4) (layer "B.Cu") (net 31) (tstamp 16ba81e4-8779-4660-b5b1-02e405896c92))
- (segment (start 181.3 105.3) (end 179.853026 106.746974) (width 0.4) (layer "B.Cu") (net 31) (tstamp 17379933-6608-415d-ad42-59c34b4fc7d1))
- (segment (start 172.749511 115.047361) (end 173.8 116.09785) (width 0.4) (layer "B.Cu") (net 31) (tstamp 444bb54c-dc04-4141-83b2-c7915f7e94b2))
- (segment (start 184.7 90.9) (end 184.7 96.65) (width 0.4) (layer "B.Cu") (net 31) (tstamp 4afa90b4-d513-4365-8ce0-dfa7d62827c7))
- (segment (start 172.749511 109.800489) (end 172.749511 115.047361) (width 0.4) (layer "B.Cu") (net 31) (tstamp 6fc9358d-db56-41cf-a6d2-6b1f845b35f1))
- (segment (start 175.803026 106.746974) (end 172.749511 109.800489) (width 0.4) (layer "B.Cu") (net 31) (tstamp 986a4272-c4c4-43bc-b8c1-3546d6d89cc5))
- (segment (start 179.853026 106.746974) (end 175.803026 106.746974) (width 0.4) (layer "B.Cu") (net 31) (tstamp ae6b468c-ae9b-4c85-9abb-044f9fb10633))
- (segment (start 173.8 116.09785) (end 173.8 116.85) (width 0.4) (layer "B.Cu") (net 31) (tstamp e011ccde-aa38-44ec-8881-a609a890e08a))
- (segment (start 184.55 86.9) (end 183.35 85.7) (width 0.4) (layer "F.Cu") (net 32) (tstamp 0df0afe1-dff2-4d21-b31a-1eaa47b934f8))
- (segment (start 183.35 85.7) (end 183.35 79.526662) (width 0.4) (layer "F.Cu") (net 32) (tstamp 1ae6da30-2bb0-45f3-b012-648817afe9aa))
- (segment (start 184.55 89.586784) (end 184.55 86.9) (width 0.4) (layer "F.Cu") (net 32) (tstamp 5a1153c7-07c9-430e-98c6-a4a8cb7e8170))
- (segment (start 183 105.3) (end 183.39785 105.3) (width 0.4) (layer "F.Cu") (net 32) (tstamp 6bbd00a1-10b9-4f08-882b-18ac67204982))
- (segment (start 185.463216 90.5) (end 184.55 89.586784) (width 0.4) (layer "F.Cu") (net 32) (tstamp 8da05a63-d27b-4c4c-ab99-a24f751add62))
- (segment (start 176.725 115.59) (end 175.76 115.59) (width 0.4) (layer "F.Cu") (net 32) (tstamp a6e6c070-2af3-489f-9114-4a3f4621554f))
- (segment (start 183.35 79.526662) (end 182.523338 78.7) (width 0.4) (layer "F.Cu") (net 32) (tstamp aa282ccb-2a3b-4255-b2f7-52c368b24e18))
- (segment (start 187.6 98.45) (end 185.9 96.75) (width 0.4) (layer "F.Cu") (net 32) (tstamp b4104524-ddfe-4b59-986a-d0e3c4d14613))
- (segment (start 182.523338 78.7) (end 181.05 78.7) (width 0.4) (layer "F.Cu") (net 32) (tstamp bd9005d9-dbda-4793-89a7-28fdd1945226))
- (segment (start 175.76 115.59) (end 174.95 116.4) (width 0.4) (layer "F.Cu") (net 32) (tstamp be8dd6dd-682c-4afa-9dfe-04a95a7339fc))
- (segment (start 183.39785 105.3) (end 187.6 101.09785) (width 0.4) (layer "F.Cu") (net 32) (tstamp c44323e4-a7d6-4215-b211-34a9fff97ed8))
- (segment (start 185.9 96.75) (end 185.9 96.6) (width 0.4) (layer "F.Cu") (net 32) (tstamp eb84b62a-26d3-4607-b108-c0c1633c9f02))
- (segment (start 187.6 101.09785) (end 187.6 98.45) (width 0.4) (layer "F.Cu") (net 32) (tstamp fb2d9884-d2d8-41e0-abeb-2349c61706c8))
- (via (at 174.95 116.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 32) (tstamp 6565d8f7-4fad-4c44-9c77-41b75e3af009))
- (via (at 185.9 96.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 32) (tstamp ac552522-d9cd-4663-8257-7bf61a020590))
- (via (at 183 105.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 32) (tstamp bd2ee781-d7b1-40a9-b082-14581019fd65))
- (via (at 185.463216 90.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 32) (tstamp dd0a8ad2-29f7-454a-99c3-597ffb169f3f))
- (segment (start 181.950489 106.349511) (end 181.448339 106.349511) (width 0.4) (layer "B.Cu") (net 32) (tstamp 037e26b8-8665-4d98-b62e-53089f007c89))
- (segment (start 173.349031 114.799031) (end 174.95 116.4) (width 0.4) (layer "B.Cu") (net 32) (tstamp 0cb24d68-3226-4d49-9b4e-4e9b0dcba021))
- (segment (start 173.349031 110.048819) (end 173.349031 114.799031) (width 0.4) (layer "B.Cu") (net 32) (tstamp 0fa4e413-e6b7-40d5-bf1d-ebed74082564))
- (segment (start 185.45 90.513216) (end 185.45 96.15) (width 0.4) (layer "B.Cu") (net 32) (tstamp 3ac67790-495e-4b56-bab0-1e87f6ec1e08))
- (segment (start 181.448339 106.349511) (end 180.34785 107.45) (width 0.4) (layer "B.Cu") (net 32) (tstamp 468b4627-b20f-4883-89af-7d43c5898eba))
- (segment (start 175.94785 107.45) (end 173.349031 110.048819) (width 0.4) (layer "B.Cu") (net 32) (tstamp c9d89d7b-3a66-4285-b11e-9ecca5f88319))
- (segment (start 185.463216 90.5) (end 185.45 90.513216) (width 0.4) (layer "B.Cu") (net 32) (tstamp cc3ac19c-e309-4efb-ae4d-bcd1a115a3be))
- (segment (start 183 105.3) (end 181.950489 106.349511) (width 0.4) (layer "B.Cu") (net 32) (tstamp d0eb10f1-dd7d-4376-bde8-d0ea09bc68ec))
- (segment (start 185.45 96.15) (end 185.9 96.6) (width 0.4) (layer "B.Cu") (net 32) (tstamp eb7b9247-af1b-41cd-bce3-4824120e15f2))
- (segment (start 180.34785 107.45) (end 175.94785 107.45) (width 0.4) (layer "B.Cu") (net 32) (tstamp f44d0520-47d2-4e8c-8bab-415b74186f08))
- (segment (start 183.7 92) (end 182.75 91.05) (width 0.4) (layer "F.Cu") (net 33) (tstamp 06982ca5-8b7e-4991-82f6-df51ea7f51fa))
- (segment (start 194.5775 90.2) (end 196.0375 91.66) (width 0.4) (layer "F.Cu") (net 33) (tstamp 18ae2b52-5f54-421d-9235-8f8f872eb9c6))
- (segment (start 178.75 87.1) (end 178.45 86.8) (width 0.4) (layer "F.Cu") (net 33) (tstamp 1b398419-4cae-49cf-82ef-7ff19bedbdb0))
- (segment (start 193.4 92) (end 183.7 92) (width 0.4) (layer "F.Cu") (net 33) (tstamp 3417d4ac-7210-45f8-94f7-f30c31fdc811))
- (segment (start 197.15 89.948609) (end 196.0375 91.061109) (width 0.5) (layer "F.Cu") (net 33) (tstamp 40d7c6c5-8f49-4bbd-87dd-4edafc71266c))
- (segment (start 178.45 84.2) (end 178.42452 84.17452) (width 0.4) (layer "F.Cu") (net 33) (tstamp 5188bfbe-29b0-47ec-a499-3e8bb3a0bb58))
- (segment (start 193.9 90.2) (end 194.5775 90.2) (width 0.4) (layer "F.Cu") (net 33) (tstamp 556ecc91-3491-4f08-b81c-4421a892bdab))
- (segment (start 178.15 79.35) (end 176.85 78.05) (width 0.4) (layer "F.Cu") (net 33) (tstamp 611a5206-28fc-46e8-8ca6-24cdde4b8091))
- (segment (start 181.9 87.1) (end 178.75 87.1) (width 0.4) (layer "F.Cu") (net 33) (tstamp a597424f-aa56-43eb-bd9a-7db4ae3a7626))
- (segment (start 193.6 92.2) (end 193.4 92) (width 0.4) (layer "F.Cu") (net 33) (tstamp a915f44c-efad-4071-b24f-68564117ce18))
- (segment (start 178.42452 82.47452) (end 178.15 82.2) (width 0.4) (layer "F.Cu") (net 33) (tstamp ab002be8-3649-4b8a-9851-f9a9f196d2e1))
- (segment (start 176.85 78.05) (end 175.15 78.05) (width 0.4) (layer "F.Cu") (net 33) (tstamp ae55dbc3-c5ee-4ea4-8881-54f9c5e67310))
- (segment (start 182.75 91.05) (end 182.75 87.95) (width 0.4) (layer "F.Cu") (net 33) (tstamp b7188dea-5e6f-4e9e-8e75-74f57028896f))
- (segment (start 182.75 87.95) (end 181.9 87.1) (width 0.4) (layer "F.Cu") (net 33) (tstamp c32ede13-1388-4bb2-b3b9-48e278c2a051))
- (segment (start 178.42452 84.17452) (end 178.42452 82.47452) (width 0.4) (layer "F.Cu") (net 33) (tstamp c35fdc92-7578-4a93-83d6-2489b6195096))
- (segment (start 178.15 82.2) (end 178.15 79.35) (width 0.4) (layer "F.Cu") (net 33) (tstamp c4eebb10-faca-488b-ac82-792528eb7932))
- (segment (start 196.0375 91.061109) (end 196.0375 91.66) (width 0.5) (layer "F.Cu") (net 33) (tstamp dfc95604-8f8c-420f-bb33-cf4193a4123e))
- (segment (start 197.15 88.9075) (end 197.15 89.948609) (width 0.5) (layer "F.Cu") (net 33) (tstamp f3164d23-09bc-42c0-ae33-5cdcd26997b3))
- (segment (start 178.45 86.8) (end 178.45 84.2) (width 0.4) (layer "F.Cu") (net 33) (tstamp f9a73912-cf16-402e-b4cd-067da1f786e9))
- (via (at 193.6 92.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 33) (tstamp 4d76a464-18e1-45af-beb5-9f262fbb619b))
- (via (at 193.9 90.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 33) (tstamp 594155e0-70f5-4820-a543-edc7142da6c2))
- (segment (start 193.9 91.9) (end 193.9 90.2) (width 0.4) (layer "B.Cu") (net 33) (tstamp 06de35bc-828e-48fe-b409-1297cc107219))
- (segment (start 193.6 92.2) (end 193.9 91.9) (width 0.4) (layer "B.Cu") (net 33) (tstamp 92f3bf6d-2bc5-45aa-a709-a3ac1b66062f))
- (segment (start 184.875 79.6075) (end 185.095 79.3875) (width 0.4) (layer "F.Cu") (net 34) (tstamp 020b0d2f-375c-4b44-89cd-ae0ac46c827a))
- (segment (start 185.095 79.3875) (end 182.4575 76.75) (width 0.4) (layer "F.Cu") (net 34) (tstamp 184407f1-51a1-45ea-9953-c83cd02da254))
- (segment (start 182.4575 76.75) (end 181.05 76.75) (width 0.4) (layer "F.Cu") (net 34) (tstamp 285dbeda-1209-4526-8331-9e97610c0a12))
- (segment (start 184.875 81.275) (end 184.875 79.6075) (width 0.4) (layer "F.Cu") (net 34) (tstamp 2c5b069e-bd31-498d-ab58-1fb95a924d72))
- (segment (start 186.8575 77.625) (end 186.9075 77.575) (width 0.4) (layer "F.Cu") (net 34) (tstamp cc9ece8d-547f-40b0-add3-a0bd3d50fd8a))
- (segment (start 186.9075 77.575) (end 185.095 79.3875) (width 0.4) (layer "F.Cu") (net 34) (tstamp cf10a9ca-eba8-487e-8ddc-82c822cd741e))
- (segment (start 156.1575 82.5) (end 158.6 82.5) (width 0.4) (layer "F.Cu") (net 35) (tstamp 0777dafe-44df-481b-becc-df98779b5887))
- (segment (start 169.8 80.3) (end 170.1 80) (width 0.4) (layer "F.Cu") (net 35) (tstamp 107055f9-783e-41d5-8029-452e6e4c76da))
- (segment (start 179.5495 76.6005) (end 180.05 76.1) (width 0.4) (layer "F.Cu") (net 35) (tstamp 15b400e5-df71-4c31-b317-76c44aeeea3b))
- (segment (start 170.1 78.9) (end 170.8 78.2) (width 0.4) (layer "F.Cu") (net 35) (tstamp 34fed5fd-1812-4510-a43d-7fb4d81d4c21))
- (segment (start 164.4 80.3) (end 169.8 80.3) (width 0.4) (layer "F.Cu") (net 35) (tstamp 4ba03e48-2548-4c5a-977f-06a7d4aafad2))
- (segment (start 177.27235 76.6005) (end 179.5495 76.6005) (width 0.4) (layer "F.Cu") (net 35) (tstamp 5aa9a466-2b21-4183-9eae-cdc06c3899a8))
- (segment (start 170.8 78.2) (end 170.8 78.1) (width 0.4) (layer "F.Cu") (net 35) (tstamp 5d92270f-7acf-4db2-af3b-30ebb9622d5f))
- (segment (start 170.1 80) (end 170.1 78.9) (width 0.4) (layer "F.Cu") (net 35) (tstamp 659a9ba8-ca85-4190-a64f-aeeefc95d283))
- (segment (start 158.6 82.5) (end 159.3 83.2) (width 0.4) (layer "F.Cu") (net 35) (tstamp 92531920-b60f-4265-8a59-c706d5675c2b))
- (segment (start 159.3 83.2) (end 161.5 83.2) (width 0.4) (layer "F.Cu") (net 35) (tstamp 94293233-781e-4d2c-935f-313be48f094f))
- (segment (start 180.05 76.1) (end 181.05 76.1) (width 0.4) (layer "F.Cu") (net 35) (tstamp a0f93292-67e6-40aa-baa0-d4f9b401b66f))
- (segment (start 155.5825 83.075) (end 152.075 83.075) (width 0.4) (layer "F.Cu") (net 35) (tstamp ad87bf58-3736-41be-9e01-167680dca264))
- (segment (start 155.5825 83.075) (end 156.1575 82.5) (width 0.4) (layer "F.Cu") (net 35) (tstamp bd3cdcfd-5455-4f64-894a-bbe3d44b7ce3))
- (segment (start 152.075 83.075) (end 151.8 82.8) (width 0.4) (layer "F.Cu") (net 35) (tstamp fa92c948-fb99-4633-8f0f-10061689ac6a))
- (segment (start 161.5 83.2) (end 164.4 80.3) (width 0.4) (layer "F.Cu") (net 35) (tstamp fcf57dfd-3e98-471e-83f0-7303c64732ad))
- (via (at 177.27235 76.6005) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 35) (tstamp 1deb5bd5-7ded-4acb-9305-d3fe5d6d2941))
- (via (at 170.8 78.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 35) (tstamp a95b05c3-014b-4b68-bec7-5e521e46f0eb))
- (segment (start 171.3 78.6) (end 175.27285 78.6) (width 0.4) (layer "B.Cu") (net 35) (tstamp 00becadf-84e1-4941-ac8f-3a97efb040cf))
- (segment (start 170.8 78.1) (end 171.3 78.6) (width 0.4) (layer "B.Cu") (net 35) (tstamp acb4c97e-4820-43dc-aa92-eb61d95f671f))
- (segment (start 175.27285 78.6) (end 177.27235 76.6005) (width 0.4) (layer "B.Cu") (net 35) (tstamp cd378c33-bcce-4071-ba9c-a9173937797e))
- (segment (start 176.725 118.13) (end 174.42 118.13) (width 0.8) (layer "F.Cu") (net 36) (tstamp 5c89f336-c3a5-49fb-857c-fa5fb2270f7b))
- (segment (start 174.42 118.13) (end 174.325 118.225) (width 0.8) (layer "F.Cu") (net 36) (tstamp 5f139dbc-d38b-44e5-862d-c207fb8aa248))
- (segment (start 175.15 76.75) (end 173.675 76.75) (width 0.4) (layer "F.Cu") (net 37) (tstamp 0b454463-01dc-42be-af84-4872ee87de7d))
- (segment (start 172.05 78.375) (end 171.95 78.375) (width 0.4) (layer "F.Cu") (net 37) (tstamp 668e60fe-b0b8-46b9-b097-c580fca879b8))
- (segment (start 173.675 76.75) (end 172.05 78.375) (width 0.4) (layer "F.Cu") (net 37) (tstamp ec666500-4d1e-4de6-a6d3-6435b6094ccf))
- (segment (start 172.45 73.225) (end 171.325 73.225) (width 0.4) (layer "F.Cu") (net 38) (tstamp 09b1ddf3-e183-4f1f-ba01-80cc4a489455))
- (segment (start 175.15 74.8) (end 174.025 74.8) (width 0.4) (layer "F.Cu") (net 38) (tstamp 4216a9ab-f3be-491d-b978-6e77f8daf896))
- (segment (start 174.025 74.8) (end 172.45 73.225) (width 0.4) (layer "F.Cu") (net 38) (tstamp 8cb24c8c-10bb-4379-b55f-39893d7a9d22))
- (segment (start 170.799511 72.699511) (end 170.799511 70.899511) (width 0.4) (layer "F.Cu") (net 38) (tstamp afd50843-2647-47d3-ac4c-b08bc646f676))
- (segment (start 171.325 73.225) (end 170.799511 72.699511) (width 0.4) (layer "F.Cu") (net 38) (tstamp c9fbe3bc-9c19-40cc-8d3f-715bd775bafb))
- (segment (start 170.799511 70.899511) (end 169.875 69.975) (width 0.4) (layer "F.Cu") (net 38) (tstamp f8ca91f3-c9ca-477f-be1e-1225bcac39e8))
- (segment (start 199.1275 91.66) (end 197.8625 91.66) (width 0.5) (layer "F.Cu") (net 39) (tstamp 7f4fa993-0838-433a-a0bc-9b969f17377f))
- (segment (start 199.05 90.6375) (end 199.6 91.1875) (width 0.5) (layer "F.Cu") (net 39) (tstamp 81056499-7cec-40f8-9f7b-393d302679e7))
- (segment (start 199.05 88.9075) (end 199.05 90.6375) (width 0.5) (layer "F.Cu") (net 39) (tstamp dddf6bee-b333-43bf-9e86-1a0e4207255b))
- (segment (start 199.6 91.1875) (end 199.1275 91.66) (width 0.5) (layer "F.Cu") (net 39) (tstamp ff2653f8-18b2-4bcd-9316-6bcac0117e9a))
- (segment (start 200.68 85.3425) (end 200.675 85.3375) (width 0.5) (layer "F.Cu") (net 40) (tstamp 2070a93f-55af-4532-9038-9edb4b425bf8))
- (segment (start 196.802631 87.74452) (end 198.15548 87.74452) (width 0.5) (layer "F.Cu") (net 40) (tstamp 3d74ce79-8103-4eab-bad8-361ea16ffaa1))
- (segment (start 200.68 86.9625) (end 199.38 86.9625) (width 0.5) (layer "F.Cu") (net 40) (tstamp 426eb0d1-d3fa-4175-9263-158417004f7a))
- (segment (start 195.61 88.937151) (end 196.802631 87.74452) (width 0.5) (layer "F.Cu") (net 40) (tstamp 4b6a5795-3b87-44d9-b948-b66a8ec0eb08))
- (segment (start 200.68 86.9625) (end 200.68 85.3425) (width 0.5) (layer "F.Cu") (net 40) (tstamp 76b89b35-e74c-431f-b3bb-6fdc75fbf7e3))
- (segment (start 199.38 86.9625) (end 199.05 86.6325) (width 0.5) (layer "F.Cu") (net 40) (tstamp 8fb07cd4-550b-4c42-8a22-941f284292fb))
- (segment (start 199.05 86.85) (end 199.05 86.6325) (width 0.5) (layer "F.Cu") (net 40) (tstamp ca10b68e-daad-42b3-8f4b-c98e42cbdfd7))
- (segment (start 195.61 89.0875) (end 195.61 88.937151) (width 0.5) (layer "F.Cu") (net 40) (tstamp ce99d4fe-b379-4b66-929f-9853f4956e6c))
- (segment (start 198.15548 87.74452) (end 199.05 86.85) (width 0.5) (layer "F.Cu") (net 40) (tstamp e25e4bc8-a24c-4299-a55b-f8bb3a7fb1f4))
- (segment (start 199.5 97.1) (end 197.4 97.1) (width 1.8) (layer "F.Cu") (net 41) (tstamp 005c2a91-eeca-4753-baf8-aeca500f87c1))
- (segment (start 172.327729 91.127729) (end 179.827729 91.127729) (width 2) (layer "F.Cu") (net 41) (tstamp 00d4de76-675b-42d9-9113-5556b9aef646))
- (segment (start 195.7 111.7) (end 195.7 110.618641) (width 1.8) (layer "F.Cu") (net 41) (tstamp 03f907bf-1208-4384-a9d8-ce13e33c3fdc))
- (segment (start 164.4 82.6) (end 162.7 84.3) (width 0.5) (layer "F.Cu") (net 41) (tstamp 1b605175-5ca0-44bb-8cf7-135d55dfe3e5))
- (segment (start 179.827729 91.127729) (end 179.9 91.2) (width 2) (layer "F.Cu") (net 41) (tstamp 2e8efcea-7ec9-4a9d-96e1-9a3169d40333))
- (segment (start 194.2 94.1) (end 182.1 94.1) (width 1.8) (layer "F.Cu") (net 41) (tstamp 38fdde49-0833-4050-853d-a6b05bd7f16a))
- (segment (start 164.4 82.3) (end 164.4 82.6) (width 0.5) (layer "F.Cu") (net 41) (tstamp 4006ff7e-8c6b-49d1-8b0f-fb2280e64fb9))
- (segment (start 200.7 103.1) (end 200.7 98.3) (width 1.8) (layer "F.Cu") (net 41) (tstamp 477f97fe-9683-4cac-a116-43f809a596ae))
- (segment (start 182.1 94.1) (end 179.9 91.9) (width 1.8) (layer "F.Cu") (net 41) (tstamp 66ce332b-e2b9-436d-ab07-0c1292d37232))
- (segment (start 200.7 98.3) (end 199.5 97.1) (width 1.8) (layer "F.Cu") (net 41) (tstamp 66cfc077-d1e7-46da-b2f6-ad9376d26c2c))
- (segment (start 169 87.8) (end 172.327729 91.127729) (width 2) (layer "F.Cu") (net 41) (tstamp 6b3b42bc-0fcb-4171-9152-65292b0776da))
- (segment (start 198.7 93.15) (end 198.8375 93.0125) (width 0.5) (layer "F.Cu") (net 41) (tstamp 7b2640c7-8b59-4ce9-91c8-e8a7e1f59faf))
- (segment (start 169 86.525) (end 169 86.8) (width 0.4) (layer "F.Cu") (net 41) (tstamp 8246ca6b-e32b-464f-80d7-2ffdc05ec297))
- (segment (start 195.7 110.618641) (end 194.40363 109.322271) (width 1.8) (layer "F.Cu") (net 41) (tstamp 824b1f53-dd4f-4275-b888-86964e997fc4))
- (segment (start 157.7325 84.3) (end 157.4575 84.025) (width 0.5) (layer "F.Cu") (net 41) (tstamp 87d62369-a783-4f64-9114-62daf650f3d6))
- (segment (start 197.2 97.1) (end 194.2 94.1) (width 1.8) (layer "F.Cu") (net 41) (tstamp 8be3cbe7-f8bb-4cd5-bd3e-76603df3bece))
- (segment (start 197.4 97.1) (end 197.2 97.1) (width 1.8) (layer "F.Cu") (net 41) (tstamp 9451ba41-3827-4a37-9e80-be70babec544))
- (segment (start 179.9 91.9) (end 179.9 91.2) (width 1.8) (layer "F.Cu") (net 41) (tstamp 9474cea3-744a-46d5-a001-7bc2ed89e883))
- (segment (start 198.8375 93.0125) (end 199.6 93.0125) (width 0.5) (layer "F.Cu") (net 41) (tstamp 99f95eae-5ff1-48b2-95bf-8d50941850fc))
- (segment (start 197.4 97.1) (end 197.4 96) (width 0.5) (layer "F.Cu") (net 41) (tstamp a6c9b3dc-13ec-419e-a876-c8221c0aa095))
- (segment (start 181.05 88.55) (end 181.05 90.05) (width 0.8) (layer "F.Cu") (net 41) (tstamp a6eed2f0-491f-4d24-9e52-70c9e5751b8c))
- (segment (start 200.8 103.2) (end 200.7 103.1) (width 1.8) (layer "F.Cu") (net 41) (tstamp a7161ec6-cdcc-42a5-9cd0-fded4ef1ff03))
- (segment (start 162.7 84.3) (end 157.7325 84.3) (width 0.5) (layer "F.Cu") (net 41) (tstamp b024ce94-79bb-4478-8f5e-7c0be876c1fd))
- (segment (start 169 86.8) (end 169 87.8) (width 2) (layer "F.Cu") (net 41) (tstamp c0fe5fd9-7752-4f14-a0e5-0d6d9501a59d))
- (segment (start 181.05 90.05) (end 179.9 91.2) (width 0.8) (layer "F.Cu") (net 41) (tstamp d1c1131f-d1fe-4747-8c5f-eba407844c87))
- (segment (start 168.7 86.225) (end 169 86.525) (width 0.4) (layer "F.Cu") (net 41) (tstamp d339ecce-3fd9-4e97-96f1-611260cda1c4))
- (via (at 200.8 103.2) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 41) (tstamp 17e4ea4e-d19f-4f68-b3b7-0022afd9c702))
- (via (at 169 86.8) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 41) (tstamp 199b2bc5-d628-464d-bbda-32ea99765395))
- (via (at 195.7 111.7) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 41) (tstamp 30f7117f-2cb4-45f2-ad41-d7d7d9e3f7b5))
- (via (at 198.7 93.15) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 41) (tstamp 8e997bba-7c66-488a-89e7-d08772b6ed03))
- (via (at 197.4 96) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 41) (tstamp cc8041e3-2624-4f27-94a4-846220bda15a))
- (via (at 164.4 82.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 41) (tstamp cc8b4f89-136b-4932-9532-599c03e72390))
- (segment (start 167.172489 82.627511) (end 161.408161 76.863183) (width 2) (layer "B.Cu") (net 41) (tstamp 029814a4-d066-4883-b628-273cc2dea8d8))
- (segment (start 199.722071 104.277929) (end 200.8 103.2) (width 1.8) (layer "B.Cu") (net 41) (tstamp 0ace29b6-ba23-4b6a-a941-7e6dc60ab560))
- (segment (start 191.45 116.05) (end 191.45 120.225) (width 1.8) (layer "B.Cu") (net 41) (tstamp 0c3919e6-b253-49fd-869b-c844ef657a44))
- (segment (start 194.236817 123.011817) (end 194.466839 123.011817) (width 1.8) (layer "B.Cu") (net 41) (tstamp 179a75af-13df-4cf1-9dcf-e63c9b3a650b))
- (segment (start 166.144978 81.6) (end 167.172489 82.627511) (width 0.5) (layer "B.Cu") (net 41) (tstamp 1c7fd2e7-4f0d-4e92-9970-f11ddd4b63e6))
- (segment (start 165.1 81.6) (end 166.144978 81.6) (width 0.5) (layer "B.Cu") (net 41) (tstamp 251dfe57-a350-4dca-a96d-227c86c78273))
- (segment (start 191.45 120.225) (end 194.236817 123.011817) (width 1.8) (layer "B.Cu") (net 41) (tstamp 48f8ff59-c9b1-443d-9cb8-477c7c584c66))
- (segment (start 195.9 111.5) (end 195.9 105.725) (width 1.8) (layer "B.Cu") (net 41) (tstamp 63cd20ba-eeb1-494d-9152-bb26868dba77))
- (segment (start 197.347071 104.277929) (end 199.722071 104.277929) (width 1.8) (layer "B.Cu") (net 41) (tstamp 6a0c5969-240b-4a58-ba34-16bd56dd3216))
- (segment (start 197.4 96) (end 198.7 94.7) (width 0.5) (layer "B.Cu") (net 41) (tstamp 6d39d10f-b05e-4c41-b2cb-e9099acfa3ba))
- (segment (start 198.7 94.7) (end 198.7 93.15) (width 0.5) (layer "B.Cu") (net 41) (tstamp 999ce3c5-5850-4f20-810a-a8d00ce36bd9))
- (segment (start 164.4 82.3) (end 165.1 81.6) (width 0.5) (layer "B.Cu") (net 41) (tstamp b17fa6b2-dc1d-48b5-a5f3-f17867a19db3))
- (segment (start 169 86.8) (end 169 84.455022) (width 2) (layer "B.Cu") (net 41) (tstamp b3173a9b-20b5-462e-b930-b2e39d76facf))
- (segment (start 169 84.455022) (end 167.172489 82.627511) (width 2) (layer "B.Cu") (net 41) (tstamp b6ae436b-6def-46a4-b12d-6da755a1abb2))
- (segment (start 195.9 105.725) (end 197.347071 104.277929) (width 1.8) (layer "B.Cu") (net 41) (tstamp c2e3b28c-9ed3-47a9-8cd0-fbb1af9df191))
- (segment (start 195.7 111.8) (end 191.45 116.05) (width 1.8) (layer "B.Cu") (net 41) (tstamp ce59f460-f21c-45e7-b6de-398d98b2e0ba))
- (segment (start 195.7 111.7) (end 195.7 111.8) (width 1.8) (layer "B.Cu") (net 41) (tstamp df6310cb-5aa6-4edc-b8f3-c778c8a1bb0e))
- (segment (start 195.7 111.7) (end 195.9 111.5) (width 1.8) (layer "B.Cu") (net 41) (tstamp f583d338-08e4-47cc-b814-2e62d2245faa))
- (segment (start 196.075 78.525) (end 199.2 81.65) (width 0.5) (layer "F.Cu") (net 42) (tstamp 0dc45530-0e7e-45c3-8cb8-2dd54967113c))
- (segment (start 177.89006 107.736481) (end 178.028194 107.802276) (width 1.8) (layer "F.Cu") (net 42) (tstamp 1aa3a770-ded2-499a-adbe-fc2db3a0d6df))
- (segment (start 177.090875 107.630219) (end 177.429905 107.673631) (width 1.8) (layer "F.Cu") (net 42) (tstamp 1fab2142-0356-43be-82d6-7046dac5ccc8))
- (segment (start 184.55 107.225) (end 189.775 102) (width 1.8) (layer "F.Cu") (net 42) (tstamp 28c1ef68-5749-4bc4-9459-6a63de56f0ed))
- (segment (start 179.285537 107.225) (end 184.55 107.225) (width 1.8) (layer "F.Cu") (net 42) (tstamp 3ddf7e52-5ce7-473f-95b7-ef4e9386d6bf))
- (segment (start 200.675 83.5125) (end 200.675 83.125) (width 0.5) (layer "F.Cu") (net 42) (tstamp 3f79f538-6e89-447d-8bef-b1479990bc75))
- (segment (start 171.7 107.225) (end 176.562585 107.225) (width 1.8) (layer "F.Cu") (net 42) (tstamp 476a9260-c86e-485a-8048-2d930719647a))
- (segment (start 176.99802 107.510093) (end 177.090875 107.630219) (width 1.8) (layer "F.Cu") (net 42) (tstamp 4ad2cad1-a578-495b-960b-0b61ca708ba7))
- (segment (start 176.562585 107.225) (end 176.6507 107.279517) (width 1.8) (layer "F.Cu") (net 42) (tstamp 4cc18194-191e-453e-9c47-ff7fdeb29001))
- (segment (start 189.9 96.6) (end 189.9 98.175) (width 1.8) (layer "F.Cu") (net 42) (tstamp 66eecd06-5377-4599-9079-9efeaa3cbb89))
- (segment (start 189.775 102) (end 189.775 98.3) (width 1.8) (layer "F.Cu") (net 42) (tstamp 6e57296c-1067-46a5-a5e0-1cf5f03c5cd6))
- (segment (start 178.028194 107.802276) (end 178.305737 107.703825) (width 1.8) (layer "F.Cu") (net 42) (tstamp 6f9551c4-f5d0-4b08-8ec2-fa3cb372e0da))
- (segment (start 176.6507 107.279517) (end 176.70794 107.403381) (width 1.8) (layer "F.Cu") (net 42) (tstamp 744e1703-307f-4130-a19e-d4120f57d6c1))
- (segment (start 200.675 83.125) (end 199.2 81.65) (width 0.5) (layer "F.Cu") (net 42) (tstamp 7611c509-33b9-4f6c-9fc7-2c6ee11e462b))
- (segment (start 177.556957 107.774594) (end 177.89006 107.736481) (width 1.8) (layer "F.Cu") (net 42) (tstamp 81fd464c-32fa-430c-8d87-3556167511be))
- (segment (start 188.7825 78.525) (end 196.075 78.525) (width 0.5) (layer "F.Cu") (net 42) (tstamp 899f5208-2ae0-4d75-965e-2bd80bb76fb4))
- (segment (start 178.305737 107.703825) (end 178.439013 107.736681) (width 1.8) (layer "F.Cu") (net 42) (tstamp b16d226f-fd14-4d7e-a0b1-f87baef22d21))
- (segment (start 189.9 98.175) (end 189.775 98.3) (width 1.8) (layer "F.Cu") (net 42) (tstamp bbdb247e-3146-474a-a820-f9bc64a92a5b))
- (segment (start 176.70794 107.403381) (end 176.99802 107.510093) (width 1.8) (layer "F.Cu") (net 42) (tstamp d69f767a-b711-4c70-bac1-4a63203c97f7))
- (segment (start 163.95 114.975) (end 171.7 107.225) (width 1.8) (layer "F.Cu") (net 42) (tstamp e6494f94-050f-4ff5-bf67-63f84c1abec9))
- (segment (start 178.439013 107.736681) (end 179.285537 107.225) (width 1.8) (layer "F.Cu") (net 42) (tstamp ef4a05ab-9a26-48da-b7e2-5e0f6479cff3))
- (segment (start 177.429905 107.673631) (end 177.556957 107.774594) (width 1.8) (layer "F.Cu") (net 42) (tstamp f80adb57-fb4f-414e-87bf-aea456e8b1e9))
- (segment (start 162.45 114.975) (end 163.95 114.975) (width 1.8) (layer "F.Cu") (net 42) (tstamp ff487baa-5fb5-45bc-aff3-2e2b0a72786f))
- (via (at 189.9 96.6) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 42) (tstamp 4727d036-cc4a-42d9-977d-a3948cb722c1))
- (via (at 162.45 114.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 42) (tstamp a3c17403-b4cb-4abd-b7d7-0096da26a95c))
- (via (at 199.2 81.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 42) (tstamp f268d2a4-ea63-45ba-a4c4-4b96c0313bd6))
- (segment (start 189.9 96.6) (end 193.9 96.6) (width 1.8) (layer "B.Cu") (net 42) (tstamp 0459ca5b-3bdc-411e-92d7-2647fc75a075))
- (segment (start 195.95 81.475) (end 197.686381 79.738619) (width 1.8) (layer "B.Cu") (net 42) (tstamp 110e8754-aff9-411e-90e3-ff065081accb))
- (segment (start 193.9 96.6) (end 195.95 94.55) (width 1.8) (layer "B.Cu") (net 42) (tstamp 8061dded-3ebe-4b5b-b3d5-028402ab7efe))
- (segment (start 199.2 81.65) (end 199.2 80.809062) (width 0.4) (layer "B.Cu") (net 42) (tstamp 96c5b7f8-ba5e-4117-92d3-1ec6e034917e))
- (segment (start 197.686381 79.738619) (end 197.686381 79.295443) (width 1.8) (layer "B.Cu") (net 42) (tstamp a699d0f0-2e0c-4b74-a46b-b5e3829e3de6))
- (segment (start 162.45 114.975) (end 162.45 116.368176) (width 1.8) (layer "B.Cu") (net 42) (tstamp a72567fd-7499-4b5d-a4ba-20939b069482))
- (segment (start 162.45 116.368176) (end 158.238619 120.579557) (width 1.8) (layer "B.Cu") (net 42) (tstamp a81da05a-04aa-4252-a168-8c7c4a6707df))
- (segment (start 195.95 94.55) (end 195.95 81.475) (width 1.8) (layer "B.Cu") (net 42) (tstamp ca5f143b-b4b1-450e-afc3-698a78f938e6))
- (segment (start 199.2 80.809062) (end 197.686381 79.295443) (width 0.4) (layer "B.Cu") (net 42) (tstamp ffc04abc-ef04-4aec-b9e0-837947bf423a))
+ (segment (start 182.749991 79.349991) (end 182.175 78.775) (width 0.4) (layer "F.Cu") (net 30) (tstamp 138f3a90-2890-4fb9-8bcd-8b6105121fdc))
+ (segment (start 187 100.85) (end 187 99) (width 0.4) (layer "F.Cu") (net 30) (tstamp 41c70534-aded-43a9-adc8-39db055e7534))
+ (segment (start 183.95 87.15) (end 182.749991 85.949991) (width 0.4) (layer "F.Cu") (net 30) (tstamp 45650487-0277-4313-b544-1f244f636b0e))
+ (segment (start 174.2 117.25) (end 176.335 117.25) (width 0.4) (layer "F.Cu") (net 30) (tstamp 534a75d5-6667-4750-a0f2-6b88bd3ce110))
+ (segment (start 182.2 104.4) (end 183.45 104.4) (width 0.4) (layer "F.Cu") (net 30) (tstamp 6aaa0ad1-0dcf-499b-9af5-3e2f6e1f3dc0))
+ (segment (start 183.95 90.15) (end 183.95 87.15) (width 0.4) (layer "F.Cu") (net 30) (tstamp 6d71193e-d725-42f2-bdb6-49e5cb4c6355))
+ (segment (start 182.175 78.775) (end 180.9625 78.775) (width 0.4) (layer "F.Cu") (net 30) (tstamp 8269d806-6020-42dc-b712-4f4da3aba7a5))
+ (segment (start 182.749991 85.949991) (end 182.749991 79.349991) (width 0.4) (layer "F.Cu") (net 30) (tstamp 8c35992b-4840-4093-b598-a00842824ec7))
+ (segment (start 184.3 90.5) (end 183.95 90.15) (width 0.4) (layer "F.Cu") (net 30) (tstamp 8dadcf1a-fdfc-4e7c-bb62-f9b05740b406))
+ (segment (start 184.7 96.7) (end 184.7 96.65) (width 0.4) (layer "F.Cu") (net 30) (tstamp b7f0dc11-6c59-4ebb-bd8f-ac4bae3879f9))
+ (segment (start 187 99) (end 184.7 96.7) (width 0.4) (layer "F.Cu") (net 30) (tstamp c1ee62bc-46cb-488c-b0d2-be1588b53a98))
+ (segment (start 183.45 104.4) (end 187 100.85) (width 0.4) (layer "F.Cu") (net 30) (tstamp cd7a1f52-3fe5-4033-8d13-ad995866a67b))
+ (segment (start 181.3 105.3) (end 182.2 104.4) (width 0.4) (layer "F.Cu") (net 30) (tstamp d0b9bacc-b7d5-4a0c-a65d-769e98904c0b))
+ (segment (start 173.8 116.85) (end 174.2 117.25) (width 0.4) (layer "F.Cu") (net 30) (tstamp d58504ec-2c53-4d5b-9d64-75fc088c8b8b))
+ (segment (start 176.335 117.25) (end 176.725 116.86) (width 0.4) (layer "F.Cu") (net 30) (tstamp fbc003f5-200c-4b29-8fcc-1bf67a66d2f5))
+ (via (at 184.3 90.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 30) (tstamp 7a7bcf80-559e-4f05-a6c5-e089cda1e47c))
+ (via (at 173.8 116.85) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 30) (tstamp 88d1c353-eb99-42c7-a27f-168038801d15))
+ (via (at 184.7 96.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 30) (tstamp d3418259-278c-4d4a-a0f8-6aaafa55825a))
+ (via (at 181.3 105.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 30) (tstamp eb1716e1-6794-4777-ba9d-b72709bfa651))
+ (segment (start 184.3 90.5) (end 184.7 90.9) (width 0.4) (layer "B.Cu") (net 30) (tstamp 16ba81e4-8779-4660-b5b1-02e405896c92))
+ (segment (start 181.3 105.3) (end 179.853026 106.746974) (width 0.4) (layer "B.Cu") (net 30) (tstamp 17379933-6608-415d-ad42-59c34b4fc7d1))
+ (segment (start 172.749511 115.047361) (end 173.8 116.09785) (width 0.4) (layer "B.Cu") (net 30) (tstamp 444bb54c-dc04-4141-83b2-c7915f7e94b2))
+ (segment (start 184.7 90.9) (end 184.7 96.65) (width 0.4) (layer "B.Cu") (net 30) (tstamp 4afa90b4-d513-4365-8ce0-dfa7d62827c7))
+ (segment (start 172.749511 109.800489) (end 172.749511 115.047361) (width 0.4) (layer "B.Cu") (net 30) (tstamp 6fc9358d-db56-41cf-a6d2-6b1f845b35f1))
+ (segment (start 175.803026 106.746974) (end 172.749511 109.800489) (width 0.4) (layer "B.Cu") (net 30) (tstamp 986a4272-c4c4-43bc-b8c1-3546d6d89cc5))
+ (segment (start 179.853026 106.746974) (end 175.803026 106.746974) (width 0.4) (layer "B.Cu") (net 30) (tstamp ae6b468c-ae9b-4c85-9abb-044f9fb10633))
+ (segment (start 173.8 116.09785) (end 173.8 116.85) (width 0.4) (layer "B.Cu") (net 30) (tstamp e011ccde-aa38-44ec-8881-a609a890e08a))
+ (segment (start 185.463216 90.5) (end 184.55 89.586784) (width 0.4) (layer "F.Cu") (net 31) (tstamp 02670b2a-754c-42cc-bc35-40975672f6f8))
+ (segment (start 184.55 89.586784) (end 184.55 86.9) (width 0.4) (layer "F.Cu") (net 31) (tstamp 15222df2-2da3-452c-aebc-d507fa0a7dcc))
+ (segment (start 182.625 78.125) (end 180.9625 78.125) (width 0.4) (layer "F.Cu") (net 31) (tstamp 3153d90a-0d34-4a1b-9bde-9e7e8aed2bba))
+ (segment (start 183 105.3) (end 183.39785 105.3) (width 0.4) (layer "F.Cu") (net 31) (tstamp 6bbd00a1-10b9-4f08-882b-18ac67204982))
+ (segment (start 183.4 78.9) (end 182.625 78.125) (width 0.4) (layer "F.Cu") (net 31) (tstamp 8ca1fcf9-ca11-4826-8e53-bea15b3799b5))
+ (segment (start 176.725 115.59) (end 175.76 115.59) (width 0.4) (layer "F.Cu") (net 31) (tstamp a6e6c070-2af3-489f-9114-4a3f4621554f))
+ (segment (start 183.4 85.75) (end 183.4 78.9) (width 0.4) (layer "F.Cu") (net 31) (tstamp b4066610-84ac-4e79-93a9-f6904042a131))
+ (segment (start 187.6 98.45) (end 185.9 96.75) (width 0.4) (layer "F.Cu") (net 31) (tstamp b4104524-ddfe-4b59-986a-d0e3c4d14613))
+ (segment (start 184.55 86.9) (end 183.4 85.75) (width 0.4) (layer "F.Cu") (net 31) (tstamp be2352a7-ab5d-486f-a1f9-95f9ea53565a))
+ (segment (start 175.76 115.59) (end 174.95 116.4) (width 0.4) (layer "F.Cu") (net 31) (tstamp be8dd6dd-682c-4afa-9dfe-04a95a7339fc))
+ (segment (start 183.39785 105.3) (end 187.6 101.09785) (width 0.4) (layer "F.Cu") (net 31) (tstamp c44323e4-a7d6-4215-b211-34a9fff97ed8))
+ (segment (start 185.9 96.75) (end 185.9 96.6) (width 0.4) (layer "F.Cu") (net 31) (tstamp eb84b62a-26d3-4607-b108-c0c1633c9f02))
+ (segment (start 187.6 101.09785) (end 187.6 98.45) (width 0.4) (layer "F.Cu") (net 31) (tstamp fb2d9884-d2d8-41e0-abeb-2349c61706c8))
+ (via (at 174.95 116.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 31) (tstamp 6565d8f7-4fad-4c44-9c77-41b75e3af009))
+ (via (at 185.9 96.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 31) (tstamp ac552522-d9cd-4663-8257-7bf61a020590))
+ (via (at 183 105.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 31) (tstamp bd2ee781-d7b1-40a9-b082-14581019fd65))
+ (via (at 185.463216 90.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 31) (tstamp dd0a8ad2-29f7-454a-99c3-597ffb169f3f))
+ (segment (start 181.950489 106.349511) (end 181.448339 106.349511) (width 0.4) (layer "B.Cu") (net 31) (tstamp 037e26b8-8665-4d98-b62e-53089f007c89))
+ (segment (start 173.349031 114.799031) (end 174.95 116.4) (width 0.4) (layer "B.Cu") (net 31) (tstamp 0cb24d68-3226-4d49-9b4e-4e9b0dcba021))
+ (segment (start 173.349031 110.048819) (end 173.349031 114.799031) (width 0.4) (layer "B.Cu") (net 31) (tstamp 0fa4e413-e6b7-40d5-bf1d-ebed74082564))
+ (segment (start 185.45 90.513216) (end 185.45 96.15) (width 0.4) (layer "B.Cu") (net 31) (tstamp 3ac67790-495e-4b56-bab0-1e87f6ec1e08))
+ (segment (start 181.448339 106.349511) (end 180.34785 107.45) (width 0.4) (layer "B.Cu") (net 31) (tstamp 468b4627-b20f-4883-89af-7d43c5898eba))
+ (segment (start 175.94785 107.45) (end 173.349031 110.048819) (width 0.4) (layer "B.Cu") (net 31) (tstamp c9d89d7b-3a66-4285-b11e-9ecca5f88319))
+ (segment (start 185.463216 90.5) (end 185.45 90.513216) (width 0.4) (layer "B.Cu") (net 31) (tstamp cc3ac19c-e309-4efb-ae4d-bcd1a115a3be))
+ (segment (start 183 105.3) (end 181.950489 106.349511) (width 0.4) (layer "B.Cu") (net 31) (tstamp d0eb10f1-dd7d-4376-bde8-d0ea09bc68ec))
+ (segment (start 185.45 96.15) (end 185.9 96.6) (width 0.4) (layer "B.Cu") (net 31) (tstamp eb7b9247-af1b-41cd-bce3-4824120e15f2))
+ (segment (start 180.34785 107.45) (end 175.94785 107.45) (width 0.4) (layer "B.Cu") (net 31) (tstamp f44d0520-47d2-4e8c-8bab-415b74186f08))
+ (segment (start 180.9625 80.725) (end 181.325 80.725) (width 0.4) (layer "F.Cu") (net 32) (tstamp 0cafe522-f2c6-4f32-90d0-c94a6d0568cc))
+ (segment (start 194.5775 90.2) (end 196.0375 91.66) (width 0.4) (layer "F.Cu") (net 32) (tstamp 18ae2b52-5f54-421d-9235-8f8f872eb9c6))
+ (segment (start 182.75 91.05) (end 183.7 92) (width 0.4) (layer "F.Cu") (net 32) (tstamp 2bb9687d-d441-4757-a276-7ddb3fa5cd08))
+ (segment (start 181.5 86.7) (end 182.75 87.95) (width 0.4) (layer "F.Cu") (net 32) (tstamp 3318018c-c878-4c0d-a935-83be9e1e7bcf))
+ (segment (start 197.15 89.948609) (end 196.0375 91.061109) (width 0.5) (layer "F.Cu") (net 32) (tstamp 40d7c6c5-8f49-4bbd-87dd-4edafc71266c))
+ (segment (start 193.4 92) (end 193.6 92.2) (width 0.4) (layer "F.Cu") (net 32) (tstamp 4e5c7a3f-f6a1-4fc0-ac73-776fdb5d5291))
+ (segment (start 193.9 90.2) (end 194.5775 90.2) (width 0.4) (layer "F.Cu") (net 32) (tstamp 556ecc91-3491-4f08-b81c-4421a892bdab))
+ (segment (start 181.5 80.9) (end 181.5 86.7) (width 0.4) (layer "F.Cu") (net 32) (tstamp 67bae3df-b3df-47e2-a0f4-0efc548c7479))
+ (segment (start 182.75 87.95) (end 182.75 91.05) (width 0.4) (layer "F.Cu") (net 32) (tstamp 828c0966-659f-41e1-a36b-77242087ee0c))
+ (segment (start 181.325 80.725) (end 181.5 80.9) (width 0.4) (layer "F.Cu") (net 32) (tstamp a98da2f1-d303-45f7-b6df-26eb7488e0e1))
+ (segment (start 196.0375 91.061109) (end 196.0375 91.66) (width 0.5) (layer "F.Cu") (net 32) (tstamp dfc95604-8f8c-420f-bb33-cf4193a4123e))
+ (segment (start 183.7 92) (end 193.4 92) (width 0.4) (layer "F.Cu") (net 32) (tstamp e81f5e71-f7a4-4e22-ac02-1ac8e9d709eb))
+ (segment (start 197.15 88.9075) (end 197.15 89.948609) (width 0.5) (layer "F.Cu") (net 32) (tstamp f3164d23-09bc-42c0-ae33-5cdcd26997b3))
+ (via (at 193.6 92.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 32) (tstamp 4d76a464-18e1-45af-beb5-9f262fbb619b))
+ (via (at 193.9 90.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 32) (tstamp 594155e0-70f5-4820-a543-edc7142da6c2))
+ (segment (start 193.9 91.9) (end 193.9 90.2) (width 0.4) (layer "B.Cu") (net 32) (tstamp 06de35bc-828e-48fe-b409-1297cc107219))
+ (segment (start 193.6 92.2) (end 193.9 91.9) (width 0.4) (layer "B.Cu") (net 32) (tstamp 92f3bf6d-2bc5-45aa-a709-a3ac1b66062f))
+ (segment (start 184.875 79.6075) (end 185.095 79.3875) (width 0.4) (layer "F.Cu") (net 33) (tstamp 020b0d2f-375c-4b44-89cd-ae0ac46c827a))
+ (segment (start 184.875 81.275) (end 184.875 79.6075) (width 0.4) (layer "F.Cu") (net 33) (tstamp 2c5b069e-bd31-498d-ab58-1fb95a924d72))
+ (segment (start 185.095 79.3875) (end 183.1825 77.475) (width 0.4) (layer "F.Cu") (net 33) (tstamp 94bafe28-c78d-4100-9b68-37264b0a9690))
+ (segment (start 184.875 83.125) (end 185.35 83.6) (width 0.4) (layer "F.Cu") (net 33) (tstamp 9a568868-c5b8-4665-83c6-a1b7b2c32366))
+ (segment (start 183.1825 77.475) (end 180.9625 77.475) (width 0.4) (layer "F.Cu") (net 33) (tstamp a3356d13-5fde-4368-ac13-bee774051c1d))
+ (segment (start 186.8575 77.625) (end 186.9075 77.575) (width 0.4) (layer "F.Cu") (net 33) (tstamp cc9ece8d-547f-40b0-add3-a0bd3d50fd8a))
+ (segment (start 186.9075 77.575) (end 185.095 79.3875) (width 0.4) (layer "F.Cu") (net 33) (tstamp cf10a9ca-eba8-487e-8ddc-82c822cd741e))
+ (segment (start 184.875 81.275) (end 184.875 83.125) (width 0.4) (layer "F.Cu") (net 33) (tstamp fb1cde5b-5285-4a87-9b26-9912cbb25fa9))
+ (segment (start 174.1 74.5) (end 173.7 74.1) (width 0.4) (layer "F.Cu") (net 34) (tstamp 22e4b770-4248-4cca-8096-3a9c7000eb70))
+ (segment (start 168.6 75.2) (end 168.6 78.4) (width 0.4) (layer "F.Cu") (net 34) (tstamp 2737a0e4-fca6-4510-b1dc-98b9bc18dc41))
+ (segment (start 169.7 74.1) (end 168.6 75.2) (width 0.4) (layer "F.Cu") (net 34) (tstamp 3d4a42c5-7a47-47b2-bd92-b4560f7f50cd))
+ (segment (start 156.1575 82.5) (end 155.5825 83.075) (width 0.4) (layer "F.Cu") (net 34) (tstamp 447e2bce-d24d-4189-8c7d-ed02467da820))
+ (segment (start 167.6 79.4) (end 165.3 79.4) (width 0.4) (layer "F.Cu") (net 34) (tstamp 5b37248a-ac15-4155-804e-642d42562ca1))
+ (segment (start 165.3 79.4) (end 162.2 82.5) (width 0.4) (layer "F.Cu") (net 34) (tstamp 6a6339cb-4ced-4ed8-94d4-f3f5795fef13))
+ (segment (start 162.2 82.5) (end 156.1575 82.5) (width 0.4) (layer "F.Cu") (net 34) (tstamp 8175bd48-21eb-46e0-ac27-4d257711ac2e))
+ (segment (start 173.7 74.1) (end 169.7 74.1) (width 0.4) (layer "F.Cu") (net 34) (tstamp 8feabd22-84c8-4c9c-ae67-0e015f6cb1cc))
+ (segment (start 174.1 75.9) (end 174.1 74.5) (width 0.4) (layer "F.Cu") (net 34) (tstamp 95bc0892-38c9-4294-8623-66c4d4b0a556))
+ (segment (start 155.5825 83.075) (end 152.075 83.075) (width 0.4) (layer "F.Cu") (net 34) (tstamp ad87bf58-3736-41be-9e01-167680dca264))
+ (segment (start 175.2375 76.175) (end 174.375 76.175) (width 0.4) (layer "F.Cu") (net 34) (tstamp b5a5bd18-0d0d-4148-aa07-1e1658f19baa))
+ (segment (start 174.375 76.175) (end 174.1 75.9) (width 0.4) (layer "F.Cu") (net 34) (tstamp c668402c-097f-4d96-a719-3e749ec4c519))
+ (segment (start 168.6 78.4) (end 167.6 79.4) (width 0.4) (layer "F.Cu") (net 34) (tstamp f8a31ba1-48c7-48f9-9da7-0527c8109af3))
+ (segment (start 152.075 83.075) (end 151.8 82.8) (width 0.4) (layer "F.Cu") (net 34) (tstamp fa92c948-fb99-4633-8f0f-10061689ac6a))
+ (segment (start 176.725 118.13) (end 174.42 118.13) (width 0.8) (layer "F.Cu") (net 35) (tstamp 5c89f336-c3a5-49fb-857c-fa5fb2270f7b))
+ (segment (start 174.42 118.13) (end 174.325 118.225) (width 0.8) (layer "F.Cu") (net 35) (tstamp 5f139dbc-d38b-44e5-862d-c207fb8aa248))
+ (segment (start 176.4 75.2) (end 176.4 74.4) (width 0.4) (layer "F.Cu") (net 36) (tstamp 096b96a6-4cca-4cd6-afaf-887c37fe605e))
+ (segment (start 175.2375 75.525) (end 176.075 75.525) (width 0.4) (layer "F.Cu") (net 36) (tstamp 26fa5918-03e1-4b9c-bef4-f9843608b718))
+ (segment (start 167.1 72.9) (end 167 73) (width 0.4) (layer "F.Cu") (net 36) (tstamp 27f58383-0746-498a-b7d9-4745597ce2cc))
+ (segment (start 176.4 74.4) (end 174.9 72.9) (width 0.4) (layer "F.Cu") (net 36) (tstamp 31be28e4-c219-4f52-8b2f-ee5fec27bb07))
+ (segment (start 174.9 72.9) (end 167.1 72.9) (width 0.4) (layer "F.Cu") (net 36) (tstamp 6ae66896-12b4-4310-95b1-1e2d1714f110))
+ (segment (start 176.075 75.525) (end 176.4 75.2) (width 0.4) (layer "F.Cu") (net 36) (tstamp 830d9637-97ac-4031-953a-99f20d7d3f07))
+ (segment (start 199.1275 91.66) (end 197.8625 91.66) (width 0.5) (layer "F.Cu") (net 37) (tstamp 7f4fa993-0838-433a-a0bc-9b969f17377f))
+ (segment (start 199.05 90.6375) (end 199.6 91.1875) (width 0.5) (layer "F.Cu") (net 37) (tstamp 81056499-7cec-40f8-9f7b-393d302679e7))
+ (segment (start 199.05 88.9075) (end 199.05 90.6375) (width 0.5) (layer "F.Cu") (net 37) (tstamp dddf6bee-b333-43bf-9e86-1a0e4207255b))
+ (segment (start 199.6 91.1875) (end 199.1275 91.66) (width 0.5) (layer "F.Cu") (net 37) (tstamp ff2653f8-18b2-4bcd-9316-6bcac0117e9a))
+ (segment (start 200.68 85.3425) (end 200.675 85.3375) (width 0.5) (layer "F.Cu") (net 38) (tstamp 2070a93f-55af-4532-9038-9edb4b425bf8))
+ (segment (start 196.802631 87.74452) (end 198.15548 87.74452) (width 0.5) (layer "F.Cu") (net 38) (tstamp 3d74ce79-8103-4eab-bad8-361ea16ffaa1))
+ (segment (start 200.68 86.9625) (end 199.38 86.9625) (width 0.5) (layer "F.Cu") (net 38) (tstamp 426eb0d1-d3fa-4175-9263-158417004f7a))
+ (segment (start 195.61 88.937151) (end 196.802631 87.74452) (width 0.5) (layer "F.Cu") (net 38) (tstamp 4b6a5795-3b87-44d9-b948-b66a8ec0eb08))
+ (segment (start 200.68 86.9625) (end 200.68 85.3425) (width 0.5) (layer "F.Cu") (net 38) (tstamp 76b89b35-e74c-431f-b3bb-6fdc75fbf7e3))
+ (segment (start 199.38 86.9625) (end 199.05 86.6325) (width 0.5) (layer "F.Cu") (net 38) (tstamp 8fb07cd4-550b-4c42-8a22-941f284292fb))
+ (segment (start 199.05 86.85) (end 199.05 86.6325) (width 0.5) (layer "F.Cu") (net 38) (tstamp ca10b68e-daad-42b3-8f4b-c98e42cbdfd7))
+ (segment (start 195.61 89.0875) (end 195.61 88.937151) (width 0.5) (layer "F.Cu") (net 38) (tstamp ce99d4fe-b379-4b66-929f-9853f4956e6c))
+ (segment (start 198.15548 87.74452) (end 199.05 86.85) (width 0.5) (layer "F.Cu") (net 38) (tstamp e25e4bc8-a24c-4299-a55b-f8bb3a7fb1f4))
+ (segment (start 199.5 97.1) (end 197.4 97.1) (width 1.8) (layer "F.Cu") (net 39) (tstamp 005c2a91-eeca-4753-baf8-aeca500f87c1))
+ (segment (start 172.327729 91.127729) (end 179.827729 91.127729) (width 2) (layer "F.Cu") (net 39) (tstamp 00d4de76-675b-42d9-9113-5556b9aef646))
+ (segment (start 195.7 111.7) (end 195.7 110.618641) (width 1.8) (layer "F.Cu") (net 39) (tstamp 03f907bf-1208-4384-a9d8-ce13e33c3fdc))
+ (segment (start 162.675 84.025) (end 157.4575 84.025) (width 0.5) (layer "F.Cu") (net 39) (tstamp 236f6364-c167-47ba-8568-2cb5153d1715))
+ (segment (start 179.827729 91.127729) (end 179.9 91.2) (width 2) (layer "F.Cu") (net 39) (tstamp 2e8efcea-7ec9-4a9d-96e1-9a3169d40333))
+ (segment (start 194.2 94.1) (end 182.1 94.1) (width 1.8) (layer "F.Cu") (net 39) (tstamp 38fdde49-0833-4050-853d-a6b05bd7f16a))
+ (segment (start 200.7 103.1) (end 200.7 98.3) (width 1.8) (layer "F.Cu") (net 39) (tstamp 477f97fe-9683-4cac-a116-43f809a596ae))
+ (segment (start 182.1 94.1) (end 179.9 91.9) (width 1.8) (layer "F.Cu") (net 39) (tstamp 66ce332b-e2b9-436d-ab07-0c1292d37232))
+ (segment (start 200.7 98.3) (end 199.5 97.1) (width 1.8) (layer "F.Cu") (net 39) (tstamp 66cfc077-d1e7-46da-b2f6-ad9376d26c2c))
+ (segment (start 169 87.8) (end 172.327729 91.127729) (width 2) (layer "F.Cu") (net 39) (tstamp 6b3b42bc-0fcb-4171-9152-65292b0776da))
+ (segment (start 198.7 93.15) (end 198.8375 93.0125) (width 0.5) (layer "F.Cu") (net 39) (tstamp 7b2640c7-8b59-4ce9-91c8-e8a7e1f59faf))
+ (segment (start 169 86.525) (end 169 86.8) (width 0.4) (layer "F.Cu") (net 39) (tstamp 8246ca6b-e32b-464f-80d7-2ffdc05ec297))
+ (segment (start 195.7 110.618641) (end 194.40363 109.322271) (width 1.8) (layer "F.Cu") (net 39) (tstamp 824b1f53-dd4f-4275-b888-86964e997fc4))
+ (segment (start 197.2 97.1) (end 194.2 94.1) (width 1.8) (layer "F.Cu") (net 39) (tstamp 8be3cbe7-f8bb-4cd5-bd3e-76603df3bece))
+ (segment (start 197.4 97.1) (end 197.2 97.1) (width 1.8) (layer "F.Cu") (net 39) (tstamp 9451ba41-3827-4a37-9e80-be70babec544))
+ (segment (start 179.9 91.9) (end 179.9 91.2) (width 1.8) (layer "F.Cu") (net 39) (tstamp 9474cea3-744a-46d5-a001-7bc2ed89e883))
+ (segment (start 198.8375 93.0125) (end 199.6 93.0125) (width 0.5) (layer "F.Cu") (net 39) (tstamp 99f95eae-5ff1-48b2-95bf-8d50941850fc))
+ (segment (start 197.4 97.1) (end 197.4 96) (width 0.5) (layer "F.Cu") (net 39) (tstamp a6c9b3dc-13ec-419e-a876-c8221c0aa095))
+ (segment (start 181.05 88.55) (end 181.05 90.05) (width 0.8) (layer "F.Cu") (net 39) (tstamp a6eed2f0-491f-4d24-9e52-70c9e5751b8c))
+ (segment (start 200.8 103.2) (end 200.7 103.1) (width 1.8) (layer "F.Cu") (net 39) (tstamp a7161ec6-cdcc-42a5-9cd0-fded4ef1ff03))
+ (segment (start 169 86.8) (end 169 87.8) (width 2) (layer "F.Cu") (net 39) (tstamp c0fe5fd9-7752-4f14-a0e5-0d6d9501a59d))
+ (segment (start 181.05 90.05) (end 179.9 91.2) (width 0.8) (layer "F.Cu") (net 39) (tstamp d1c1131f-d1fe-4747-8c5f-eba407844c87))
+ (segment (start 164.4 82.3) (end 162.675 84.025) (width 0.5) (layer "F.Cu") (net 39) (tstamp d2997322-3188-405d-8fa5-bc2bd28a048e))
+ (segment (start 168.7 86.225) (end 169 86.525) (width 0.4) (layer "F.Cu") (net 39) (tstamp d339ecce-3fd9-4e97-96f1-611260cda1c4))
+ (via (at 200.8 103.2) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 39) (tstamp 17e4ea4e-d19f-4f68-b3b7-0022afd9c702))
+ (via (at 169 86.8) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 39) (tstamp 199b2bc5-d628-464d-bbda-32ea99765395))
+ (via (at 195.7 111.7) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 39) (tstamp 30f7117f-2cb4-45f2-ad41-d7d7d9e3f7b5))
+ (via (at 198.7 93.15) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 39) (tstamp 8e997bba-7c66-488a-89e7-d08772b6ed03))
+ (via (at 197.4 96) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 39) (tstamp cc8041e3-2624-4f27-94a4-846220bda15a))
+ (via (at 164.4 82.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 39) (tstamp cc8b4f89-136b-4932-9532-599c03e72390))
+ (segment (start 167.172489 82.627511) (end 161.408161 76.863183) (width 2) (layer "B.Cu") (net 39) (tstamp 029814a4-d066-4883-b628-273cc2dea8d8))
+ (segment (start 199.722071 104.277929) (end 200.8 103.2) (width 1.8) (layer "B.Cu") (net 39) (tstamp 0ace29b6-ba23-4b6a-a941-7e6dc60ab560))
+ (segment (start 191.45 116.05) (end 191.45 120.225) (width 1.8) (layer "B.Cu") (net 39) (tstamp 0c3919e6-b253-49fd-869b-c844ef657a44))
+ (segment (start 194.236817 123.011817) (end 194.466839 123.011817) (width 1.8) (layer "B.Cu") (net 39) (tstamp 179a75af-13df-4cf1-9dcf-e63c9b3a650b))
+ (segment (start 166.144978 81.6) (end 167.172489 82.627511) (width 0.5) (layer "B.Cu") (net 39) (tstamp 1c7fd2e7-4f0d-4e92-9970-f11ddd4b63e6))
+ (segment (start 165.1 81.6) (end 166.144978 81.6) (width 0.5) (layer "B.Cu") (net 39) (tstamp 251dfe57-a350-4dca-a96d-227c86c78273))
+ (segment (start 191.45 120.225) (end 194.236817 123.011817) (width 1.8) (layer "B.Cu") (net 39) (tstamp 48f8ff59-c9b1-443d-9cb8-477c7c584c66))
+ (segment (start 195.9 111.5) (end 195.9 105.725) (width 1.8) (layer "B.Cu") (net 39) (tstamp 63cd20ba-eeb1-494d-9152-bb26868dba77))
+ (segment (start 197.347071 104.277929) (end 199.722071 104.277929) (width 1.8) (layer "B.Cu") (net 39) (tstamp 6a0c5969-240b-4a58-ba34-16bd56dd3216))
+ (segment (start 197.4 96) (end 198.7 94.7) (width 0.5) (layer "B.Cu") (net 39) (tstamp 6d39d10f-b05e-4c41-b2cb-e9099acfa3ba))
+ (segment (start 198.7 94.7) (end 198.7 93.15) (width 0.5) (layer "B.Cu") (net 39) (tstamp 999ce3c5-5850-4f20-810a-a8d00ce36bd9))
+ (segment (start 164.4 82.3) (end 165.1 81.6) (width 0.5) (layer "B.Cu") (net 39) (tstamp b17fa6b2-dc1d-48b5-a5f3-f17867a19db3))
+ (segment (start 169 86.8) (end 169 84.455022) (width 2) (layer "B.Cu") (net 39) (tstamp b3173a9b-20b5-462e-b930-b2e39d76facf))
+ (segment (start 169 84.455022) (end 167.172489 82.627511) (width 2) (layer "B.Cu") (net 39) (tstamp b6ae436b-6def-46a4-b12d-6da755a1abb2))
+ (segment (start 195.9 105.725) (end 197.347071 104.277929) (width 1.8) (layer "B.Cu") (net 39) (tstamp c2e3b28c-9ed3-47a9-8cd0-fbb1af9df191))
+ (segment (start 195.7 111.8) (end 191.45 116.05) (width 1.8) (layer "B.Cu") (net 39) (tstamp ce59f460-f21c-45e7-b6de-398d98b2e0ba))
+ (segment (start 195.7 111.7) (end 195.7 111.8) (width 1.8) (layer "B.Cu") (net 39) (tstamp df6310cb-5aa6-4edc-b8f3-c778c8a1bb0e))
+ (segment (start 195.7 111.7) (end 195.9 111.5) (width 1.8) (layer "B.Cu") (net 39) (tstamp f583d338-08e4-47cc-b814-2e62d2245faa))
+ (segment (start 196.075 78.525) (end 199.2 81.65) (width 0.5) (layer "F.Cu") (net 40) (tstamp 0dc45530-0e7e-45c3-8cb8-2dd54967113c))
+ (segment (start 177.89006 107.736481) (end 178.028194 107.802276) (width 1.8) (layer "F.Cu") (net 40) (tstamp 1aa3a770-ded2-499a-adbe-fc2db3a0d6df))
+ (segment (start 177.090875 107.630219) (end 177.429905 107.673631) (width 1.8) (layer "F.Cu") (net 40) (tstamp 1fab2142-0356-43be-82d6-7046dac5ccc8))
+ (segment (start 184.55 107.225) (end 189.775 102) (width 1.8) (layer "F.Cu") (net 40) (tstamp 28c1ef68-5749-4bc4-9459-6a63de56f0ed))
+ (segment (start 179.285537 107.225) (end 184.55 107.225) (width 1.8) (layer "F.Cu") (net 40) (tstamp 3ddf7e52-5ce7-473f-95b7-ef4e9386d6bf))
+ (segment (start 200.675 83.5125) (end 200.675 83.125) (width 0.5) (layer "F.Cu") (net 40) (tstamp 3f79f538-6e89-447d-8bef-b1479990bc75))
+ (segment (start 171.7 107.225) (end 176.562585 107.225) (width 1.8) (layer "F.Cu") (net 40) (tstamp 476a9260-c86e-485a-8048-2d930719647a))
+ (segment (start 176.99802 107.510093) (end 177.090875 107.630219) (width 1.8) (layer "F.Cu") (net 40) (tstamp 4ad2cad1-a578-495b-960b-0b61ca708ba7))
+ (segment (start 176.562585 107.225) (end 176.6507 107.279517) (width 1.8) (layer "F.Cu") (net 40) (tstamp 4cc18194-191e-453e-9c47-ff7fdeb29001))
+ (segment (start 189.9 96.6) (end 189.9 98.175) (width 1.8) (layer "F.Cu") (net 40) (tstamp 66eecd06-5377-4599-9079-9efeaa3cbb89))
+ (segment (start 189.775 102) (end 189.775 98.3) (width 1.8) (layer "F.Cu") (net 40) (tstamp 6e57296c-1067-46a5-a5e0-1cf5f03c5cd6))
+ (segment (start 178.028194 107.802276) (end 178.305737 107.703825) (width 1.8) (layer "F.Cu") (net 40) (tstamp 6f9551c4-f5d0-4b08-8ec2-fa3cb372e0da))
+ (segment (start 176.6507 107.279517) (end 176.70794 107.403381) (width 1.8) (layer "F.Cu") (net 40) (tstamp 744e1703-307f-4130-a19e-d4120f57d6c1))
+ (segment (start 200.675 83.125) (end 199.2 81.65) (width 0.5) (layer "F.Cu") (net 40) (tstamp 7611c509-33b9-4f6c-9fc7-2c6ee11e462b))
+ (segment (start 177.556957 107.774594) (end 177.89006 107.736481) (width 1.8) (layer "F.Cu") (net 40) (tstamp 81fd464c-32fa-430c-8d87-3556167511be))
+ (segment (start 188.7825 78.525) (end 196.075 78.525) (width 0.5) (layer "F.Cu") (net 40) (tstamp 899f5208-2ae0-4d75-965e-2bd80bb76fb4))
+ (segment (start 178.305737 107.703825) (end 178.439013 107.736681) (width 1.8) (layer "F.Cu") (net 40) (tstamp b16d226f-fd14-4d7e-a0b1-f87baef22d21))
+ (segment (start 189.9 98.175) (end 189.775 98.3) (width 1.8) (layer "F.Cu") (net 40) (tstamp bbdb247e-3146-474a-a820-f9bc64a92a5b))
+ (segment (start 176.70794 107.403381) (end 176.99802 107.510093) (width 1.8) (layer "F.Cu") (net 40) (tstamp d69f767a-b711-4c70-bac1-4a63203c97f7))
+ (segment (start 163.95 114.975) (end 171.7 107.225) (width 1.8) (layer "F.Cu") (net 40) (tstamp e6494f94-050f-4ff5-bf67-63f84c1abec9))
+ (segment (start 178.439013 107.736681) (end 179.285537 107.225) (width 1.8) (layer "F.Cu") (net 40) (tstamp ef4a05ab-9a26-48da-b7e2-5e0f6479cff3))
+ (segment (start 177.429905 107.673631) (end 177.556957 107.774594) (width 1.8) (layer "F.Cu") (net 40) (tstamp f80adb57-fb4f-414e-87bf-aea456e8b1e9))
+ (segment (start 162.45 114.975) (end 163.95 114.975) (width 1.8) (layer "F.Cu") (net 40) (tstamp ff487baa-5fb5-45bc-aff3-2e2b0a72786f))
+ (via (at 189.9 96.6) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 40) (tstamp 4727d036-cc4a-42d9-977d-a3948cb722c1))
+ (via (at 162.45 114.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 40) (tstamp a3c17403-b4cb-4abd-b7d7-0096da26a95c))
+ (via (at 199.2 81.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 40) (tstamp f268d2a4-ea63-45ba-a4c4-4b96c0313bd6))
+ (segment (start 189.9 96.6) (end 193.9 96.6) (width 1.8) (layer "B.Cu") (net 40) (tstamp 0459ca5b-3bdc-411e-92d7-2647fc75a075))
+ (segment (start 195.95 81.475) (end 197.686381 79.738619) (width 1.8) (layer "B.Cu") (net 40) (tstamp 110e8754-aff9-411e-90e3-ff065081accb))
+ (segment (start 193.9 96.6) (end 195.95 94.55) (width 1.8) (layer "B.Cu") (net 40) (tstamp 8061dded-3ebe-4b5b-b3d5-028402ab7efe))
+ (segment (start 199.2 81.65) (end 199.2 80.809062) (width 0.4) (layer "B.Cu") (net 40) (tstamp 96c5b7f8-ba5e-4117-92d3-1ec6e034917e))
+ (segment (start 197.686381 79.738619) (end 197.686381 79.295443) (width 1.8) (layer "B.Cu") (net 40) (tstamp a699d0f0-2e0c-4b74-a46b-b5e3829e3de6))
+ (segment (start 162.45 114.975) (end 162.45 116.368176) (width 1.8) (layer "B.Cu") (net 40) (tstamp a72567fd-7499-4b5d-a4ba-20939b069482))
+ (segment (start 162.45 116.368176) (end 158.238619 120.579557) (width 1.8) (layer "B.Cu") (net 40) (tstamp a81da05a-04aa-4252-a168-8c7c4a6707df))
+ (segment (start 195.95 94.55) (end 195.95 81.475) (width 1.8) (layer "B.Cu") (net 40) (tstamp ca5f143b-b4b1-450e-afc3-698a78f938e6))
+ (segment (start 199.2 80.809062) (end 197.686381 79.295443) (width 0.4) (layer "B.Cu") (net 40) (tstamp ffc04abc-ef04-4aec-b9e0-837947bf423a))
+ (segment (start 171.4 72.2) (end 170.9 71.7) (width 0.4) (layer "F.Cu") (net 41) (tstamp 205a8006-d022-488c-b466-f6b85b65c6c0))
+ (segment (start 175.1 72.2) (end 171.4 72.2) (width 0.4) (layer "F.Cu") (net 41) (tstamp 2350370d-5c0d-46f7-b606-81046c411323))
+ (segment (start 177.9 75.5) (end 177.9 75) (width 0.4) (layer "F.Cu") (net 41) (tstamp 624541be-6465-48ac-aa4b-81eb98c65af3))
+ (segment (start 177.9 75) (end 175.1 72.2) (width 0.4) (layer "F.Cu") (net 41) (tstamp 9b27c422-e384-4452-ab11-c0bb190a75af))
+ (segment (start 180.9625 76.825) (end 179.225 76.825) (width 0.4) (layer "F.Cu") (net 41) (tstamp ea27dbc6-e829-4a20-8134-d1ec90489097))
+ (segment (start 179.225 76.825) (end 177.9 75.5) (width 0.4) (layer "F.Cu") (net 41) (tstamp eec020d6-e4d8-4a6e-afac-06b3cc155d52))
+ (segment (start 170.9 71.7) (end 170.9 70.2) (width 0.4) (layer "F.Cu") (net 41) (tstamp f95fdf94-9bd1-4be2-9f17-338c3a3e40e1))
+ (segment (start 179.6 79.7) (end 179.875 79.425) (width 0.4) (layer "F.Cu") (net 42) (tstamp 463520dc-dcaa-4625-946f-8772557612a6))
+ (segment (start 179.875 79.425) (end 180.9625 79.425) (width 0.4) (layer "F.Cu") (net 42) (tstamp 4ebbe132-7b97-41a0-8e28-ba6abb26ad1a))
+ (segment (start 180.6 84.5) (end 180.6 82.1) (width 0.4) (layer "F.Cu") (net 42) (tstamp 62540f78-f782-4e7d-bd30-05346259ae26))
+ (segment (start 179.6 81.1) (end 179.6 79.7) (width 0.4) (layer "F.Cu") (net 42) (tstamp b534280a-6116-4caf-b7ef-3805d33211ed))
+ (segment (start 180.6 82.1) (end 179.6 81.1) (width 0.4) (layer "F.Cu") (net 42) (tstamp c87d845c-0a6b-4f07-bc58-d14e962eee26))
+ (segment (start 179.1 86) (end 180.6 84.5) (width 0.4) (layer "F.Cu") (net 42) (tstamp ce89c94e-491d-4aa8-b7c4-e7381d3096b6))
+ (segment (start 174.2 73.5) (end 175.2375 74.5375) (width 0.4) (layer "F.Cu") (net 43) (tstamp 9307fa6f-e088-44bd-b75e-3ab1e534c16d))
+ (segment (start 175.2375 74.5375) (end 175.2375 74.875) (width 0.4) (layer "F.Cu") (net 43) (tstamp c6d4c703-cf93-4842-b39d-b9f62af588a4))
+ (segment (start 167 75.9) (end 169.4 73.5) (width 0.4) (layer "F.Cu") (net 43) (tstamp d589ef59-5fa4-4c03-b15d-9b90bb7e2d5c))
+ (segment (start 169.4 73.5) (end 174.2 73.5) (width 0.4) (layer "F.Cu") (net 43) (tstamp e7b1a67c-9f52-4e75-a7bd-5447a28bf9f5))
+ (segment (start 167 76) (end 167 75.9) (width 0.4) (layer "F.Cu") (net 43) (tstamp ebcff1e6-f857-4940-be73-c7cb6341fc25))
(zone (net 26) (net_name "/PAD") (layer "F.Cu") (tstamp 887b7969-dc1a-441a-a6be-d7e6f2abbe4b) (hatch edge 0.508)
(priority 1)
@@ -10062,7 +10180,7 @@
(xy 199.5005 90.3735)
(xy 199.5005 89.632514)
(xy 199.513303 89.577175)
- (xy 199.52273 89.557891)
+ (xy 199.524098 89.555094)
(xy 199.540573 89.521393)
(xy 199.54726 89.4755)
(xy 199.549843 89.457772)
@@ -10149,10 +10267,12 @@
(xy 199.959765 88.425759)
(xy 199.5505 88.425759)
(xy 199.5505 88.36174)
- (xy 199.549775 88.356758)
+ (xy 199.548732 88.349601)
(xy 199.541984 88.303289)
(xy 199.541983 88.303287)
(xy 199.540573 88.293607)
+ (xy 199.529599 88.271158)
+ (xy 199.493786 88.197902)
(xy 199.489198 88.188517)
(xy 199.406483 88.105802)
(xy 199.315282 88.061217)
@@ -10182,7 +10302,7 @@
(xy 199.291711 87.486984)
(xy 199.291713 87.486983)
(xy 199.301393 87.485573)
- (xy 199.345156 87.464179)
+ (xy 199.324044 87.4745)
(xy 199.397102 87.438784)
(xy 199.406483 87.434198)
(xy 199.406608 87.434455)
@@ -10220,7 +10340,6 @@
(xy 201.352725 86.630151)
(xy 201.309116 86.505525)
(xy 201.230711 86.399289)
- (xy 201.19885 86.375775)
(xy 201.181679 86.363102)
(xy 201.138747 86.306557)
(xy 201.1305 86.261722)
@@ -10261,7 +10380,7 @@
(xy 201.834427 85.496393)
(xy 201.838725 85.505184)
(xy 201.838725 85.505185)
- (xy 201.8475 85.523135)
+ (xy 201.856981 85.542529)
(xy 201.885802 85.601483)
(xy 201.912595 85.628276)
(xy 201.946621 85.690588)
@@ -10275,7 +10394,7 @@
(xy 201.749289 86.074289)
(xy 201.670884 86.180525)
(xy 201.627275 86.305151)
- (xy 201.626129 86.317374)
+ (xy 201.62656 86.312781)
(xy 201.62496 86.329843)
(xy 201.6245 86.334744)
(xy 201.6245 86.915256)
@@ -10420,10 +10539,9 @@
(xy 204.510472 87.497673)
(xy 204.513254 87.488655)
(xy 204.513255 87.488652)
- (xy 204.522805 87.457687)
- (xy 204.527318 87.443057)
+ (xy 204.527313 87.443072)
(xy 204.528787 87.438593)
- (xy 204.528983 87.438035)
+ (xy 204.530744 87.433002)
(xy 204.547646 87.384699)
(xy 204.547967 87.376113)
(xy 204.5505 87.367902)
@@ -10437,7 +10555,7 @@
(xy 204.8255 86.915256)
(xy 204.8255 86.334744)
(xy 204.825041 86.329843)
- (xy 204.823871 86.317374)
+ (xy 204.82344 86.312781)
(xy 204.822725 86.305151)
(xy 204.779116 86.180525)
(xy 204.700711 86.074289)
@@ -10450,7 +10568,7 @@
(xy 204.650748 85.617362)
(xy 204.656812 85.608869)
(xy 204.664198 85.601483)
- (xy 204.7025 85.523135)
+ (xy 204.693019 85.542529)
(xy 204.711275 85.505185)
(xy 204.711275 85.505184)
(xy 204.715573 85.496393)
@@ -10476,19 +10594,19 @@
(xy 205.026562 83.762124)
(xy 205.03086 83.758205)
(xy 205.030865 83.758202)
- (xy 205.132679 83.665385)
+ (xy 205.132056 83.665953)
(xy 205.190981 83.612236)
(xy 205.325058 83.434689)
(xy 205.352681 83.379216)
- (xy 205.421631 83.240745)
+ (xy 205.389895 83.304479)
(xy 205.424229 83.235528)
- (xy 205.428274 83.22131)
+ (xy 205.427856 83.222781)
(xy 205.483522 83.027136)
(xy 205.483523 83.027133)
(xy 205.485115 83.021536)
(xy 205.505643 82.8)
(xy 205.485115 82.578464)
- (xy 205.478876 82.556534)
+ (xy 205.479557 82.558927)
(xy 205.425823 82.370074)
(xy 205.425823 82.370073)
(xy 205.424229 82.364472)
@@ -10506,14 +10624,14 @@
(xy 204.84236 81.723824)
(xy 204.837401 81.720753)
(xy 204.62994 81.640382)
- (xy 204.520592 81.619941)
+ (xy 204.513578 81.61863)
(xy 204.416968 81.60057)
(xy 204.416966 81.60057)
(xy 204.411243 81.5995)
(xy 204.188757 81.5995)
(xy 204.183034 81.60057)
(xy 204.183032 81.60057)
- (xy 204.079408 81.619941)
+ (xy 204.086422 81.61863)
(xy 203.97006 81.640382)
(xy 203.870072 81.679118)
(xy 203.799327 81.685075)
@@ -10523,7 +10641,7 @@
(xy 203.744334 81.660186)
(xy 203.73737 81.657878)
(xy 203.737367 81.657877)
- (xy 203.631843 81.62291)
+ (xy 203.629042 81.621982)
(xy 203.577797 81.605001)
(xy 203.519501 81.599045)
(xy 203.478194 81.594825)
@@ -10532,38 +10650,38 @@
(xy 203.275063 81.5945)
(xy 203.074992 81.594501)
(xy 202.972203 81.605001)
- (xy 202.915825 81.623683)
+ (xy 202.920958 81.621982)
(xy 202.812633 81.657877)
(xy 202.81263 81.657878)
(xy 202.805666 81.660186)
(xy 202.79942 81.664039)
(xy 202.799419 81.664039)
- (xy 202.774972 81.679118)
+ (xy 202.791593 81.668866)
(xy 202.656344 81.752288)
(xy 202.532288 81.876344)
- (xy 202.488209 81.947808)
+ (xy 202.489161 81.946264)
(xy 202.449304 82.010884)
(xy 202.440186 82.025666)
(xy 202.437878 82.03263)
(xy 202.437877 82.032633)
- (xy 202.416237 82.097939)
+ (xy 202.427949 82.062595)
(xy 202.385001 82.192203)
- (xy 202.380461 82.236642)
+ (xy 202.381243 82.228988)
(xy 202.375374 82.286439)
(xy 202.3745 82.294991)
(xy 202.3745 82.467225)
(xy 202.361623 82.522715)
- (xy 202.345034 82.556534)
+ (xy 202.339993 82.566812)
(xy 202.33194 82.583229)
(xy 202.330339 82.589413)
- (xy 202.295801 82.722808)
+ (xy 202.283124 82.771769)
(xy 202.280937 82.780215)
(xy 202.270631 82.983436)
(xy 202.271598 82.989748)
(xy 202.271598 82.989749)
- (xy 202.300107 83.175842)
+ (xy 202.297001 83.155568)
(xy 202.301444 83.184571)
- (xy 202.335934 83.277696)
+ (xy 202.342943 83.296621)
(xy 202.366658 83.360655)
(xy 202.374501 83.404415)
(xy 202.374501 83.570008)
@@ -10597,8 +10715,6 @@
(xy 201.748098 83.154223)
(xy 201.747724 83.148703)
(xy 201.703734 82.971815)
- (xy 201.700503 82.965299)
- (xy 201.650059 82.863589)
(xy 201.622747 82.808519)
(xy 201.508549 82.666451)
(xy 201.366481 82.552253)
@@ -10614,19 +10730,19 @@
(xy 200.167878 81.394049)
(xy 200.161828 81.374103)
(xy 200.128814 81.265273)
- (xy 200.109043 81.228283)
+ (xy 200.122649 81.253738)
(xy 200.038828 81.096921)
(xy 200.038827 81.09692)
(xy 200.03591 81.091462)
(xy 199.910883 80.939117)
(xy 199.758538 80.81409)
- (xy 199.660035 80.761439)
+ (xy 199.70241 80.784089)
(xy 199.590185 80.724103)
(xy 199.590182 80.724102)
(xy 199.584727 80.721186)
(xy 199.455946 80.68212)
(xy 199.403431 80.650643)
- (xy 198.060709 79.30792)
+ (xy 198.056588 79.303799)
(xy 196.704461 77.951672)
(xy 196.697524 77.944148)
(xy 196.667763 77.90911)
@@ -10636,12 +10752,13 @@
(xy 196.657893 77.899761)
(xy 196.600259 77.855949)
(xy 196.597571 77.853848)
- (xy 196.577115 77.837405)
+ (xy 196.567283 77.829502)
(xy 196.535754 77.804158)
(xy 196.529635 77.801123)
(xy 196.525672 77.79859)
(xy 196.52151 77.796086)
(xy 196.516064 77.791946)
+ (xy 196.467951 77.769686)
(xy 196.444109 77.758655)
(xy 196.441035 77.757182)
(xy 196.376139 77.724998)
@@ -10671,6 +10788,7 @@
(xy 195.98261 77.6745)
(xy 189.662328 77.6745)
(xy 189.622695 77.668104)
+ (xy 189.570602 77.650842)
(xy 189.522797 77.635001)
(xy 189.464501 77.629045)
(xy 189.423194 77.624825)
@@ -10685,15 +10803,15 @@
(xy 187.847473 77.547903)
(xy 187.8455 77.525693)
(xy 187.8455 77.39174)
- (xy 187.843861 77.380487)
+ (xy 187.844601 77.385564)
(xy 187.836984 77.333289)
(xy 187.836983 77.333287)
(xy 187.835573 77.323607)
- (xy 187.829515 77.311214)
+ (xy 187.81283 77.277084)
(xy 187.788786 77.227902)
(xy 187.784198 77.218517)
(xy 187.701483 77.135802)
- (xy 187.669869 77.120347)
+ (xy 187.6682 77.119531)
(xy 187.605185 77.088725)
(xy 187.605184 77.088725)
(xy 187.596393 77.084427)
@@ -10710,7 +10828,7 @@
(xy 186.218607 77.084427)
(xy 186.209816 77.088725)
(xy 186.209815 77.088725)
- (xy 186.145131 77.120347)
+ (xy 186.1468 77.119531)
(xy 186.113517 77.135802)
(xy 186.030802 77.218517)
(xy 186.029111 77.221977)
@@ -10751,26 +10869,9 @@
(xy 184.369678 77.918412)
(xy 184.318188 77.951503)
(xy 184.247191 77.951503)
- (xy 184.193596 77.919704)
- (xy 183.585105 77.311213)
- (xy 183.551081 77.248902)
- (xy 183.556146 77.178086)
- (xy 183.598693 77.121251)
- (xy 183.665213 77.09644)
- (xy 183.72242 77.10571)
- (xy 183.783992 77.131214)
- (xy 183.793238 77.135044)
- (xy 183.95 77.155682)
- (xy 183.958188 77.154604)
- (xy 184.098574 77.136122)
- (xy 184.106762 77.135044)
- (xy 184.114389 77.131885)
- (xy 184.114392 77.131884)
- (xy 184.200644 77.096157)
- (xy 184.271234 77.088568)
- (xy 184.334721 77.120347)
- (xy 184.370948 77.181406)
- (xy 184.372861 77.190202)
+ (xy 184.193597 77.919704)
+ (xy 183.474652 77.200759)
+ (xy 184.374765 77.200759)
(xy 184.376269 77.209098)
(xy 184.388668 77.2125)
(xy 184.726885 77.2125)
@@ -10780,19 +10881,121 @@
(xy 184.745 76.848001)
(xy 184.741027 76.83447)
(xy 184.735023 76.833607)
- (xy 184.702835 76.845613)
- (xy 184.632019 76.850679)
- (xy 184.569707 76.816654)
- (xy 184.535681 76.754343)
- (xy 184.536805 76.706994)
- (xy 184.535044 76.706762)
- (xy 184.547934 76.60885)
- (xy 184.555682 76.55)
- (xy 184.543308 76.456011)
- (xy 184.554247 76.385864)
- (xy 184.565247 76.366967)
- (xy 184.574673 76.353596)
- (xy 184.575 76.351622)
+ (xy 184.627969 76.873536)
+ (xy 184.612313 76.882085)
+ (xy 184.513594 76.955985)
+ (xy 184.500985 76.968594)
+ (xy 184.427085 77.067313)
+ (xy 184.418536 77.082969)
+ (xy 184.375046 77.199571)
+ (xy 184.374765 77.200759)
+ (xy 183.474652 77.200759)
+ (xy 183.443409 77.169516)
+ (xy 183.443405 77.169513)
+ (xy 183.420842 77.14695)
+ (xy 183.401246 77.136965)
+ (xy 183.384393 77.126639)
+ (xy 183.36659 77.113704)
+ (xy 183.345666 77.106905)
+ (xy 183.327406 77.099341)
+ (xy 183.316641 77.093856)
+ (xy 183.316637 77.093855)
+ (xy 183.307804 77.089354)
+ (xy 183.298013 77.087803)
+ (xy 183.298012 77.087803)
+ (xy 183.286078 77.085913)
+ (xy 183.266853 77.081297)
+ (xy 183.255368 77.077565)
+ (xy 183.255364 77.077564)
+ (xy 183.245933 77.0745)
+ (xy 182.0265 77.0745)
+ (xy 181.958379 77.054498)
+ (xy 181.911886 77.000842)
+ (xy 181.9005 76.9485)
+ (xy 181.900499 76.683774)
+ (xy 181.900499 76.680136)
+ (xy 181.899328 76.670033)
+ (xy 181.898677 76.664424)
+ (xy 181.898677 76.664423)
+ (xy 181.897585 76.655009)
+ (xy 181.893758 76.646342)
+ (xy 181.893757 76.646338)
+ (xy 181.852206 76.552235)
+ (xy 181.855892 76.550607)
+ (xy 181.840606 76.503729)
+ (xy 181.854938 76.448971)
+ (xy 181.852206 76.447765)
+ (xy 181.893756 76.353663)
+ (xy 181.897585 76.344991)
+ (xy 181.899687 76.32687)
+ (xy 181.900082 76.32347)
+ (xy 181.900082 76.323466)
+ (xy 181.9005 76.319865)
+ (xy 181.900499 76.030136)
+ (xy 181.897585 76.005009)
+ (xy 181.893758 75.996342)
+ (xy 181.893757 75.996338)
+ (xy 181.852206 75.902235)
+ (xy 181.855892 75.900607)
+ (xy 181.840606 75.853729)
+ (xy 181.854938 75.798971)
+ (xy 181.852206 75.797765)
+ (xy 181.893756 75.703663)
+ (xy 181.897585 75.694991)
+ (xy 181.9005 75.669865)
+ (xy 181.900499 75.4015)
+ (xy 181.920501 75.33338)
+ (xy 181.974156 75.286887)
+ (xy 182.026499 75.2755)
+ (xy 182.234417 75.2755)
+ (xy 182.302538 75.295502)
+ (xy 182.323512 75.312405)
+ (xy 182.437595 75.426488)
+ (xy 182.471621 75.4888)
+ (xy 182.4745 75.515583)
+ (xy 182.4745 76.01826)
+ (xy 182.475157 76.022767)
+ (xy 182.475157 76.022772)
+ (xy 182.475704 76.026526)
+ (xy 182.484427 76.086393)
+ (xy 182.535802 76.191483)
+ (xy 182.618517 76.274198)
+ (xy 182.627902 76.278786)
+ (xy 182.714337 76.321041)
+ (xy 182.723607 76.325573)
+ (xy 182.733287 76.326983)
+ (xy 182.733289 76.326984)
+ (xy 182.787228 76.334843)
+ (xy 182.787233 76.334843)
+ (xy 182.79174 76.3355)
+ (xy 183.15826 76.3355)
+ (xy 183.162767 76.334843)
+ (xy 183.162772 76.334843)
+ (xy 183.216711 76.326984)
+ (xy 183.216713 76.326983)
+ (xy 183.226393 76.325573)
+ (xy 183.235664 76.321041)
+ (xy 183.322098 76.278786)
+ (xy 183.331483 76.274198)
+ (xy 183.414198 76.191483)
+ (xy 183.465573 76.086393)
+ (xy 183.474296 76.026526)
+ (xy 183.474843 76.022772)
+ (xy 183.474843 76.022767)
+ (xy 183.4755 76.01826)
+ (xy 183.4755 76.01184)
+ (xy 184.321001 76.01184)
+ (xy 184.321776 76.021687)
+ (xy 184.334433 76.101607)
+ (xy 184.340485 76.120233)
+ (xy 184.389583 76.216593)
+ (xy 184.401094 76.232436)
+ (xy 184.477564 76.308906)
+ (xy 184.493407 76.320417)
+ (xy 184.55886 76.353767)
+ (xy 184.572718 76.35637)
+ (xy 184.574672 76.353597)
+ (xy 184.575 76.351621)
(xy 184.575 76.343876)
(xy 185.175 76.343876)
(xy 185.178973 76.357407)
@@ -10824,30 +11027,18 @@
(xy 184.323877 75.701975)
(xy 184.322672 75.703365)
(xy 184.321001 75.711048)
- (xy 184.321001 75.865124)
- (xy 184.300999 75.933245)
- (xy 184.247343 75.979738)
- (xy 184.177069 75.989842)
- (xy 184.146783 75.981533)
- (xy 184.114392 75.968116)
- (xy 184.114389 75.968115)
- (xy 184.106762 75.964956)
- (xy 183.95 75.944318)
- (xy 183.950384 75.941404)
- (xy 183.895858 75.925394)
- (xy 183.874883 75.908491)
- (xy 183.695331 75.728938)
- (xy 183.512404 75.546011)
- (xy 183.478379 75.483699)
- (xy 183.4755 75.456916)
+ (xy 184.321001 76.01184)
+ (xy 183.4755 76.01184)
(xy 183.4755 74.77674)
- (xy 183.469936 74.738548)
+ (xy 183.473467 74.762781)
(xy 183.466984 74.718289)
(xy 183.466983 74.718287)
(xy 183.465573 74.708607)
+ (xy 183.458485 74.694107)
+ (xy 183.418786 74.612902)
(xy 183.414198 74.603517)
(xy 183.331483 74.520802)
- (xy 183.282322 74.496769)
+ (xy 183.267155 74.489354)
(xy 183.235185 74.473725)
(xy 183.235184 74.473725)
(xy 183.226393 74.469427)
@@ -10859,71 +11050,59 @@
(xy 182.79174 74.4595)
(xy 182.787233 74.460157)
(xy 182.787228 74.460157)
- (xy 182.733289 74.468016)
- (xy 182.733287 74.468017)
+ (xy 182.763903 74.463556)
(xy 182.723607 74.469427)
- (xy 182.714816 74.473725)
(xy 182.714815 74.473725)
- (xy 182.667678 74.496769)
- (xy 182.618517 74.520802)
- (xy 182.535802 74.603517)
- (xy 182.484427 74.708607)
- (xy 182.483017 74.718287)
- (xy 182.483016 74.718289)
- (xy 182.480065 74.738548)
- (xy 182.4745 74.77674)
- (xy 182.4745 76.01826)
- (xy 182.475157 76.022767)
- (xy 182.475157 76.022772)
- (xy 182.479302 76.05122)
- (xy 182.484427 76.086393)
- (xy 182.488725 76.095184)
- (xy 182.488725 76.095185)
- (xy 182.524401 76.168162)
- (xy 182.53635 76.238146)
- (xy 182.508565 76.30348)
- (xy 182.449869 76.343421)
- (xy 182.411204 76.3495)
- (xy 182.1015 76.3495)
- (xy 182.033379 76.329498)
- (xy 181.986886 76.275842)
- (xy 181.9755 76.2235)
- (xy 181.9755 75.855252)
- (xy 181.964426 75.799579)
- (xy 181.964426 75.750421)
- (xy 181.9755 75.694748)
- (xy 181.9755 75.205252)
- (xy 181.964426 75.149579)
- (xy 181.964426 75.100421)
- (xy 181.9755 75.044748)
- (xy 181.9755 74.555252)
- (xy 181.970117 74.528188)
- (xy 181.966288 74.508939)
- (xy 181.966288 74.508938)
- (xy 181.963867 74.496769)
- (xy 181.919552 74.430448)
- (xy 181.853231 74.386133)
- (xy 181.841062 74.383712)
- (xy 181.841061 74.383712)
- (xy 181.800816 74.375707)
- (xy 181.794748 74.3745)
- (xy 180.9765 74.3745)
- (xy 180.908379 74.354498)
- (xy 180.861886 74.300842)
- (xy 180.8505 74.2485)
- (xy 180.8505 74.14326)
+ (xy 182.684694 74.488449)
+ (xy 182.614709 74.500397)
+ (xy 182.590422 74.495085)
+ (xy 182.58664 74.493856)
+ (xy 182.577804 74.489354)
+ (xy 182.558778 74.486341)
+ (xy 182.556078 74.485913)
+ (xy 182.536853 74.481297)
+ (xy 182.525368 74.477565)
+ (xy 182.525364 74.477564)
+ (xy 182.515933 74.4745)
+ (xy 180.930981 74.4745)
+ (xy 180.930979 74.474501)
+ (xy 180.280136 74.474501)
+ (xy 180.276525 74.47492)
+ (xy 180.276521 74.47492)
+ (xy 180.264424 74.476323)
+ (xy 180.264423 74.476323)
+ (xy 180.255009 74.477415)
+ (xy 180.246342 74.481242)
+ (xy 180.246338 74.481243)
+ (xy 180.195157 74.503842)
+ (xy 180.152235 74.522794)
+ (xy 180.072794 74.602235)
+ (xy 180.055273 74.641917)
+ (xy 180.033469 74.691299)
+ (xy 180.027415 74.705009)
+ (xy 180.0245 74.730135)
+ (xy 180.0245 74.853917)
+ (xy 180.004498 74.922038)
+ (xy 179.950842 74.968531)
+ (xy 179.880568 74.978635)
+ (xy 179.815988 74.949141)
+ (xy 179.809405 74.943012)
+ (xy 179.597405 74.731012)
+ (xy 179.563379 74.6687)
+ (xy 179.5605 74.641917)
+ (xy 179.5605 74.14326)
(xy 183.4245 74.14326)
(xy 183.425157 74.147767)
(xy 183.425157 74.147772)
- (xy 183.430103 74.181716)
+ (xy 183.432711 74.199617)
(xy 183.434427 74.211393)
(xy 183.438725 74.220184)
(xy 183.438725 74.220185)
- (xy 183.442995 74.22892)
+ (xy 183.452282 74.247916)
(xy 183.485802 74.316483)
(xy 183.568517 74.399198)
(xy 183.577902 74.403786)
- (xy 183.654502 74.441233)
+ (xy 183.659579 74.443715)
(xy 183.673607 74.450573)
(xy 183.683287 74.451983)
(xy 183.683289 74.451984)
@@ -10990,7 +11169,7 @@
(xy 184.411275 74.220185)
(xy 184.411275 74.220184)
(xy 184.415573 74.211393)
- (xy 184.419897 74.181716)
+ (xy 184.417289 74.199617)
(xy 184.424843 74.147772)
(xy 184.424843 74.147767)
(xy 184.4255 74.14326)
@@ -11006,8 +11185,6 @@
(xy 185.867528 73.359885)
(xy 185.870884 73.369475)
(xy 185.949289 73.475711)
- (xy 186.014552 73.523877)
- (xy 186.035201 73.539116)
(xy 186.055525 73.554116)
(xy 186.180151 73.597725)
(xy 186.197118 73.599316)
@@ -11020,8 +11197,6 @@
(xy 186.802882 73.599316)
(xy 186.819849 73.597725)
(xy 186.944475 73.554116)
- (xy 186.9648 73.539116)
- (xy 186.985448 73.523877)
(xy 187.050711 73.475711)
(xy 187.129116 73.369475)
(xy 187.149968 73.309884)
@@ -11034,8 +11209,6 @@
(xy 187.702638 73.250748)
(xy 187.71113 73.256811)
(xy 187.718517 73.264198)
- (xy 187.727902 73.268786)
- (xy 187.803831 73.305905)
(xy 187.823607 73.315573)
(xy 187.833287 73.316983)
(xy 187.833289 73.316984)
@@ -11082,15 +11255,16 @@
(xy 185.870884 74.130525)
(xy 185.827275 74.255151)
(xy 185.82656 74.262781)
- (xy 185.824941 74.280044)
+ (xy 185.825265 74.276591)
(xy 185.8245 74.284744)
(xy 185.8245 74.965256)
+ (xy 185.824775 74.968184)
+ (xy 185.824775 74.968193)
+ (xy 185.82534 74.974211)
(xy 185.827275 74.994849)
(xy 185.870884 75.119475)
- (xy 185.87649 75.127071)
- (xy 185.893104 75.149582)
(xy 185.949289 75.225711)
- (xy 185.962179 75.235224)
+ (xy 185.957159 75.231519)
(xy 186.039858 75.292553)
(xy 186.055525 75.304116)
(xy 186.180151 75.347725)
@@ -11105,10 +11279,8 @@
(xy 186.819849 75.347725)
(xy 186.944475 75.304116)
(xy 186.960143 75.292553)
- (xy 187.037821 75.235224)
+ (xy 187.042841 75.231519)
(xy 187.050711 75.225711)
- (xy 187.106896 75.149582)
- (xy 187.12351 75.127071)
(xy 187.129116 75.119475)
(xy 187.132472 75.109885)
(xy 187.132931 75.109244)
@@ -11165,80 +11337,80 @@
(xy 200.414885 80.921536)
(xy 200.416477 80.927133)
(xy 200.416478 80.927136)
- (xy 200.465842 81.100632)
+ (xy 200.459177 81.077206)
(xy 200.475771 81.135528)
- (xy 200.520074 81.2245)
+ (xy 200.500083 81.184353)
(xy 200.555312 81.295266)
(xy 200.574942 81.334689)
(xy 200.709019 81.512236)
- (xy 200.749212 81.548877)
+ (xy 200.713325 81.516161)
(xy 200.869135 81.658202)
(xy 200.86914 81.658205)
(xy 200.873438 81.662124)
(xy 200.878389 81.66519)
(xy 200.878391 81.665191)
- (xy 201.031598 81.760052)
+ (xy 201.022222 81.754247)
(xy 201.062599 81.779247)
(xy 201.27006 81.859618)
- (xy 201.356941 81.875859)
+ (xy 201.359535 81.876344)
(xy 201.483032 81.89943)
(xy 201.483034 81.89943)
(xy 201.488757 81.9005)
(xy 201.711243 81.9005)
(xy 201.716966 81.89943)
(xy 201.716968 81.89943)
- (xy 201.843059 81.875859)
+ (xy 201.840465 81.876344)
(xy 201.92994 81.859618)
(xy 202.137401 81.779247)
- (xy 202.168402 81.760052)
+ (xy 202.177778 81.754247)
(xy 202.321609 81.665191)
(xy 202.321611 81.66519)
(xy 202.326562 81.662124)
(xy 202.33086 81.658205)
(xy 202.330865 81.658202)
- (xy 202.450788 81.548877)
+ (xy 202.486675 81.516161)
(xy 202.490981 81.512236)
(xy 202.625058 81.334689)
(xy 202.644689 81.295266)
- (xy 202.679926 81.2245)
+ (xy 202.699917 81.184353)
(xy 202.724229 81.135528)
- (xy 202.734158 81.100632)
+ (xy 202.740823 81.077206)
(xy 202.783522 80.927136)
(xy 202.783523 80.927133)
(xy 202.785115 80.921536)
(xy 202.805643 80.7)
(xy 202.785115 80.478464)
- (xy 202.774565 80.441382)
+ (xy 202.777653 80.452235)
(xy 202.725823 80.270074)
(xy 202.725823 80.270073)
(xy 202.724229 80.264472)
- (xy 202.66909 80.153738)
+ (xy 202.634247 80.083764)
(xy 202.627656 80.070528)
(xy 202.627655 80.070526)
(xy 202.625058 80.065311)
(xy 202.490981 79.887764)
- (xy 202.3954 79.80063)
+ (xy 202.398415 79.803379)
(xy 202.330865 79.741798)
(xy 202.33086 79.741795)
(xy 202.326562 79.737876)
- (xy 202.309133 79.727084)
+ (xy 202.310693 79.72805)
(xy 202.142361 79.623824)
(xy 202.14236 79.623824)
(xy 202.137401 79.620753)
(xy 201.92994 79.540382)
- (xy 201.798937 79.515893)
+ (xy 201.798889 79.515884)
(xy 201.716968 79.50057)
(xy 201.716966 79.50057)
(xy 201.711243 79.4995)
(xy 201.488757 79.4995)
(xy 201.483034 79.50057)
(xy 201.483032 79.50057)
- (xy 201.401063 79.515893)
+ (xy 201.401111 79.515884)
(xy 201.27006 79.540382)
(xy 201.062599 79.620753)
(xy 201.05764 79.623824)
(xy 201.057639 79.623824)
- (xy 200.890868 79.727084)
+ (xy 200.889308 79.72805)
(xy 200.873438 79.737876)
(xy 200.832715 79.775)
(xy 200.769229 79.832875)
@@ -11269,25 +11441,25 @@
(xy 192.925531 75.455568)
(xy 192.955025 75.390988)
(xy 192.968419 75.377689)
- (xy 193.092436 75.271768)
+ (xy 193.098144 75.266893)
(xy 193.135224 75.235224)
- (xy 193.187893 75.173557)
+ (xy 193.18942 75.171769)
(xy 193.295621 75.047424)
(xy 193.295624 75.047419)
(xy 193.298836 75.043659)
(xy 193.430466 74.828859)
- (xy 193.450165 74.781303)
+ (xy 193.447516 74.787698)
(xy 193.524979 74.600684)
(xy 193.52498 74.600682)
(xy 193.526873 74.596111)
- (xy 193.55943 74.4605)
+ (xy 193.557514 74.468481)
(xy 193.584528 74.355961)
(xy 193.584529 74.355955)
(xy 193.585683 74.351148)
(xy 193.605449 74.1)
(xy 193.585683 73.848852)
- (xy 193.582033 73.833646)
- (xy 193.542537 73.669135)
+ (xy 193.580733 73.828231)
+ (xy 193.547135 73.688289)
(xy 193.526873 73.603889)
(xy 193.523271 73.595193)
(xy 193.432361 73.375715)
@@ -11298,7 +11470,7 @@
(xy 193.295621 73.152576)
(xy 193.138437 72.968538)
(xy 193.135224 72.964776)
- (xy 193.082241 72.919524)
+ (xy 193.066761 72.906303)
(xy 192.947424 72.804379)
(xy 192.947419 72.804376)
(xy 192.943659 72.801164)
@@ -11308,7 +11480,7 @@
(xy 192.500684 72.575021)
(xy 192.500682 72.57502)
(xy 192.496111 72.573127)
- (xy 192.396835 72.549293)
+ (xy 192.411711 72.552865)
(xy 192.255961 72.515472)
(xy 192.255955 72.515471)
(xy 192.251148 72.514317)
@@ -11316,7 +11488,7 @@
(xy 191.748852 72.514317)
(xy 191.744045 72.515471)
(xy 191.744039 72.515472)
- (xy 191.603165 72.549293)
+ (xy 191.588289 72.552865)
(xy 191.503889 72.573127)
(xy 191.499318 72.57502)
(xy 191.499316 72.575021)
@@ -11350,14 +11522,14 @@
(xy 189.806157 72.71937)
(xy 189.806153 72.719372)
(xy 189.800829 72.721723)
- (xy 189.790911 72.728517)
+ (xy 189.796025 72.725014)
(xy 189.647707 72.826614)
(xy 189.580204 72.84861)
(xy 189.511525 72.830619)
(xy 189.463475 72.778353)
(xy 189.4505 72.722664)
(xy 189.4505 72.64174)
- (xy 189.447939 72.624158)
+ (xy 189.443607 72.594427)
(xy 189.441984 72.583289)
(xy 189.441983 72.583287)
(xy 189.440573 72.573607)
@@ -11408,7 +11580,7 @@
(xy 186.055525 72.195884)
(xy 185.949289 72.274289)
(xy 185.943686 72.281881)
- (xy 185.878838 72.369748)
+ (xy 185.889231 72.355666)
(xy 185.870884 72.380525)
(xy 185.867765 72.389437)
(xy 185.867765 72.389438)
@@ -11434,7 +11606,7 @@
(xy 184.427593 72.499342)
(xy 184.409332 72.506906)
(xy 184.38841 72.513704)
- (xy 184.378152 72.521157)
+ (xy 184.373418 72.524597)
(xy 184.370616 72.526632)
(xy 184.353759 72.536962)
(xy 184.342993 72.542448)
@@ -11457,50 +11629,68 @@
(xy 183.673607 72.594427)
(xy 183.664816 72.598725)
(xy 183.664815 72.598725)
- (xy 183.663179 72.599525)
+ (xy 183.661025 72.600578)
(xy 183.568517 72.645802)
(xy 183.485802 72.728517)
(xy 183.481214 72.737902)
(xy 183.451551 72.79858)
(xy 183.434427 72.833607)
- (xy 183.433017 72.843287)
- (xy 183.433016 72.843289)
- (xy 183.426653 72.886965)
(xy 183.4245 72.90174)
(xy 183.4245 74.14326)
- (xy 180.8505 74.14326)
- (xy 180.8505 73.168481)
- (xy 180.850499 73.168475)
- (xy 180.850499 73.136567)
- (xy 180.847436 73.12714)
- (xy 180.847435 73.127133)
- (xy 180.843703 73.115647)
- (xy 180.839089 73.096427)
- (xy 180.837199 73.084493)
- (xy 180.837197 73.084485)
- (xy 180.835646 73.074696)
- (xy 180.831146 73.065865)
- (xy 180.831145 73.065861)
- (xy 180.825658 73.055093)
- (xy 180.818094 73.036832)
- (xy 180.811296 73.01591)
- (xy 180.798368 72.998116)
- (xy 180.788038 72.981259)
- (xy 180.782552 72.970493)
- (xy 180.782551 72.970492)
- (xy 180.77805 72.961658)
- (xy 180.143063 72.326671)
- (xy 179.597405 71.781012)
- (xy 179.563379 71.7187)
- (xy 179.5605 71.691917)
+ (xy 179.5605 74.14326)
(xy 179.5605 71.527599)
(xy 179.580502 71.459478)
(xy 179.627103 71.416478)
(xy 179.74645 71.352685)
+ (xy 179.756121 71.344748)
+ (xy 180.6495 71.344748)
+ (xy 180.650707 71.350816)
+ (xy 180.655588 71.375352)
+ (xy 180.661133 71.403231)
+ (xy 180.705448 71.469552)
+ (xy 180.771769 71.513867)
+ (xy 180.783938 71.516288)
+ (xy 180.783939 71.516288)
+ (xy 180.824184 71.524293)
+ (xy 180.830252 71.5255)
+ (xy 182.569748 71.5255)
+ (xy 182.575816 71.524293)
+ (xy 182.616061 71.516288)
+ (xy 182.616062 71.516288)
+ (xy 182.628231 71.513867)
+ (xy 182.694552 71.469552)
+ (xy 182.738867 71.403231)
+ (xy 182.744413 71.375352)
+ (xy 182.749293 71.350816)
+ (xy 182.7505 71.344748)
+ (xy 182.7505 69.605252)
+ (xy 182.74829 69.594141)
+ (xy 182.741288 69.558939)
+ (xy 182.741288 69.558938)
+ (xy 182.738867 69.546769)
+ (xy 182.694552 69.480448)
+ (xy 182.628231 69.436133)
+ (xy 182.616062 69.433712)
+ (xy 182.616061 69.433712)
+ (xy 182.575816 69.425707)
+ (xy 182.569748 69.4245)
+ (xy 180.830252 69.4245)
+ (xy 180.824184 69.425707)
+ (xy 180.783939 69.433712)
+ (xy 180.783938 69.433712)
+ (xy 180.771769 69.436133)
+ (xy 180.705448 69.480448)
+ (xy 180.661133 69.546769)
+ (xy 180.658712 69.558938)
+ (xy 180.658712 69.558939)
+ (xy 180.65171 69.594141)
+ (xy 180.6495 69.605252)
+ (xy 180.6495 71.344748)
+ (xy 179.756121 71.344748)
(xy 179.90641 71.22141)
(xy 180.037685 71.06145)
(xy 180.135232 70.878954)
- (xy 180.164036 70.783999)
+ (xy 180.151599 70.825)
(xy 180.193503 70.68686)
(xy 180.193504 70.686854)
(xy 180.1953 70.680934)
@@ -11508,7 +11698,7 @@
(xy 180.1953 70.269066)
(xy 180.193504 70.263146)
(xy 180.193503 70.26314)
- (xy 180.146654 70.1087)
+ (xy 180.147489 70.111452)
(xy 180.135232 70.071046)
(xy 180.037685 69.88855)
(xy 179.90641 69.72859)
@@ -11528,7 +11718,7 @@
(xy 178.41359 69.72859)
(xy 178.282315 69.88855)
(xy 178.184768 70.071046)
- (xy 178.173346 70.1087)
+ (xy 178.172511 70.111452)
(xy 178.126497 70.26314)
(xy 178.126496 70.263146)
(xy 178.1247 70.269066)
@@ -11536,7 +11726,7 @@
(xy 178.1247 70.680934)
(xy 178.126496 70.686854)
(xy 178.126497 70.68686)
- (xy 178.155964 70.783999)
+ (xy 178.168401 70.825)
(xy 178.184768 70.878954)
(xy 178.282315 71.06145)
(xy 178.41359 71.22141)
@@ -11544,30 +11734,26 @@
(xy 178.692897 71.416478)
(xy 178.743544 71.466229)
(xy 178.7595 71.527599)
- (xy 178.7595 71.574208)
- (xy 178.739498 71.642329)
- (xy 178.685842 71.688822)
- (xy 178.615568 71.698926)
- (xy 178.58468 71.689132)
- (xy 178.58409 71.688704)
- (xy 178.563166 71.681905)
- (xy 178.544906 71.674341)
- (xy 178.534141 71.668856)
- (xy 178.534137 71.668855)
- (xy 178.525304 71.664354)
- (xy 178.515513 71.662803)
- (xy 178.515512 71.662803)
- (xy 178.503578 71.660913)
- (xy 178.484353 71.656297)
- (xy 178.472868 71.652565)
- (xy 178.472864 71.652564)
- (xy 178.463433 71.6495)
- (xy 177.2631 71.6495)
- (xy 177.194979 71.629498)
- (xy 177.148486 71.575842)
- (xy 177.138382 71.505568)
- (xy 177.167876 71.440988)
- (xy 177.19677 71.416372)
+ (xy 178.7595 73.988917)
+ (xy 178.739498 74.057038)
+ (xy 178.685842 74.103531)
+ (xy 178.615568 74.113635)
+ (xy 178.550988 74.084141)
+ (xy 178.544405 74.078012)
+ (xy 176.201942 71.735549)
+ (xy 176.167916 71.673237)
+ (xy 176.172981 71.602422)
+ (xy 176.215528 71.545586)
+ (xy 176.237302 71.537465)
+ (xy 176.236244 71.535467)
+ (xy 176.266287 71.519551)
+ (xy 176.270001 71.512949)
+ (xy 176.270001 71.505244)
+ (xy 176.970001 71.505244)
+ (xy 176.973974 71.518775)
+ (xy 176.979707 71.519599)
+ (xy 177.108772 71.469598)
+ (xy 177.119154 71.464429)
(xy 177.283205 71.362854)
(xy 177.292468 71.355859)
(xy 177.435056 71.225872)
@@ -11579,83 +11765,44 @@
(xy 177.663277 70.842426)
(xy 177.663159 70.828323)
(xy 177.655718 70.825)
+ (xy 176.988116 70.825)
+ (xy 176.972877 70.829475)
+ (xy 176.971672 70.830865)
+ (xy 176.970001 70.838548)
+ (xy 176.970001 71.505244)
+ (xy 176.270001 71.505244)
+ (xy 176.270001 70.843115)
+ (xy 176.265526 70.827876)
+ (xy 176.264136 70.826671)
+ (xy 176.256453 70.825)
(xy 175.589882 70.825)
- (xy 175.576351 70.828973)
- (xy 175.575192 70.837037)
- (xy 175.584548 70.869919)
- (xy 175.588736 70.88073)
- (xy 175.674744 71.053457)
- (xy 175.680852 71.063321)
- (xy 175.797128 71.217296)
- (xy 175.804946 71.225872)
- (xy 175.947534 71.355859)
- (xy 175.956797 71.362854)
- (xy 176.043232 71.416372)
- (xy 176.09062 71.469239)
- (xy 176.101903 71.539334)
- (xy 176.073499 71.604401)
- (xy 176.014427 71.643783)
- (xy 175.976902 71.6495)
- (xy 175.873082 71.6495)
- (xy 175.804961 71.629498)
- (xy 175.783987 71.612595)
- (xy 175.107497 70.936105)
- (xy 175.073471 70.873793)
- (xy 175.076017 70.810435)
- (xy 175.092952 70.754605)
- (xy 175.1153 70.680934)
+ (xy 175.575092 70.829343)
+ (xy 175.547361 70.890065)
+ (xy 175.487635 70.928449)
+ (xy 175.416638 70.928449)
+ (xy 175.363041 70.896648)
+ (xy 175.160311 70.693918)
+ (xy 175.126285 70.631606)
+ (xy 175.124013 70.592473)
+ (xy 175.134976 70.481163)
(xy 175.135583 70.475)
(xy 175.1153 70.269066)
(xy 175.113504 70.263146)
(xy 175.113503 70.26314)
- (xy 175.066654 70.1087)
- (xy 175.055232 70.071046)
- (xy 174.957685 69.88855)
- (xy 174.82641 69.72859)
- (xy 174.66645 69.597315)
- (xy 174.483954 69.499768)
- (xy 174.397547 69.473557)
- (xy 174.29186 69.441497)
- (xy 174.291854 69.441496)
- (xy 174.285934 69.4397)
- (xy 174.08 69.419417)
- (xy 173.874066 69.4397)
- (xy 173.868146 69.441496)
- (xy 173.86814 69.441497)
- (xy 173.762453 69.473557)
- (xy 173.676046 69.499768)
- (xy 173.607414 69.536453)
- (xy 173.545616 69.569485)
- (xy 173.47611 69.583957)
- (xy 173.409814 69.558554)
- (xy 173.367776 69.501341)
- (xy 173.363343 69.430483)
- (xy 173.397125 69.369268)
- (xy 173.578988 69.187405)
- (xy 173.6413 69.153379)
- (xy 173.668083 69.1505)
- (xy 176.337511 69.1505)
- (xy 176.405632 69.170502)
- (xy 176.452125 69.224158)
- (xy 176.462229 69.294432)
- (xy 176.432735 69.359012)
- (xy 176.373009 69.397396)
- (xy 176.360663 69.400355)
- (xy 176.322308 69.407525)
- (xy 176.31115 69.4107)
- (xy 176.13123 69.480402)
- (xy 176.120848 69.485571)
- (xy 175.956797 69.587146)
- (xy 175.947534 69.594141)
- (xy 175.804946 69.724128)
- (xy 175.797128 69.732704)
- (xy 175.680852 69.886679)
- (xy 175.674744 69.896543)
- (xy 175.588736 70.06927)
- (xy 175.584548 70.080081)
+ (xy 175.067489 70.111452)
+ (xy 175.066313 70.107574)
(xy 175.576725 70.107574)
(xy 175.576843 70.121677)
(xy 175.584284 70.125)
+ (xy 176.251886 70.125)
+ (xy 176.267125 70.120525)
+ (xy 176.26833 70.119135)
+ (xy 176.270001 70.111452)
+ (xy 176.270001 70.106885)
+ (xy 176.970001 70.106885)
+ (xy 176.974476 70.122124)
+ (xy 176.975866 70.123329)
+ (xy 176.983549 70.125)
(xy 177.65012 70.125)
(xy 177.663651 70.121027)
(xy 177.66481 70.112963)
@@ -11669,705 +11816,278 @@
(xy 177.283205 69.587146)
(xy 177.119154 69.485571)
(xy 177.108772 69.480402)
- (xy 176.928852 69.4107)
- (xy 176.917694 69.407525)
- (xy 176.879339 69.400355)
- (xy 176.816053 69.368176)
- (xy 176.780211 69.306891)
- (xy 176.783192 69.235957)
- (xy 176.82405 69.177895)
- (xy 176.889812 69.15114)
- (xy 176.902491 69.1505)
- (xy 179.756916 69.1505)
- (xy 179.825037 69.170502)
- (xy 179.846011 69.187404)
- (xy 180.612595 69.953987)
- (xy 180.64662 70.0163)
- (xy 180.6495 70.043083)
- (xy 180.6495 71.344748)
- (xy 180.650707 71.350816)
- (xy 180.653353 71.364116)
- (xy 180.661133 71.403231)
- (xy 180.705448 71.469552)
- (xy 180.771769 71.513867)
- (xy 180.783938 71.516288)
- (xy 180.783939 71.516288)
- (xy 180.811495 71.521769)
- (xy 180.830252 71.5255)
- (xy 182.569748 71.5255)
- (xy 182.588505 71.521769)
- (xy 182.616061 71.516288)
- (xy 182.616062 71.516288)
- (xy 182.628231 71.513867)
- (xy 182.694552 71.469552)
- (xy 182.738867 71.403231)
- (xy 182.746648 71.364116)
- (xy 182.749293 71.350816)
- (xy 182.7505 71.344748)
- (xy 182.7505 69.605252)
- (xy 182.74829 69.594141)
- (xy 182.741288 69.558939)
- (xy 182.741288 69.558938)
- (xy 182.738867 69.546769)
- (xy 182.694552 69.480448)
- (xy 182.628231 69.436133)
- (xy 182.616062 69.433712)
- (xy 182.616061 69.433712)
- (xy 182.575816 69.425707)
- (xy 182.569748 69.4245)
- (xy 181.268082 69.4245)
- (xy 181.199961 69.404498)
- (xy 181.178991 69.387599)
- (xy 180.235909 68.444516)
- (xy 180.235905 68.444513)
- (xy 180.213342 68.42195)
- (xy 180.193746 68.411965)
- (xy 180.176893 68.401639)
- (xy 180.15909 68.388704)
- (xy 180.138166 68.381905)
- (xy 180.119906 68.374341)
- (xy 180.109141 68.368856)
- (xy 180.109137 68.368855)
- (xy 180.100304 68.364354)
- (xy 180.090513 68.362803)
- (xy 180.090512 68.362803)
- (xy 180.078578 68.360913)
- (xy 180.059353 68.356297)
- (xy 180.047868 68.352565)
- (xy 180.047864 68.352564)
- (xy 180.038433 68.3495)
- (xy 173.386567 68.3495)
- (xy 173.377136 68.352564)
- (xy 173.377132 68.352565)
- (xy 173.365647 68.356297)
- (xy 173.346422 68.360913)
- (xy 173.334488 68.362803)
- (xy 173.334487 68.362803)
- (xy 173.324696 68.364354)
- (xy 173.315863 68.368855)
- (xy 173.315859 68.368856)
- (xy 173.305092 68.374342)
- (xy 173.286832 68.381906)
- (xy 173.265911 68.388704)
- (xy 173.257888 68.394533)
- (xy 173.248113 68.401635)
- (xy 173.231258 68.411963)
- (xy 173.220499 68.417445)
- (xy 173.220497 68.417446)
- (xy 173.211658 68.42195)
- (xy 173.189095 68.444513)
- (xy 173.189091 68.444516)
- (xy 171.994516 69.639091)
- (xy 171.994513 69.639095)
- (xy 171.97195 69.661658)
- (xy 171.967446 69.670498)
- (xy 171.961965 69.681255)
- (xy 171.951639 69.698107)
- (xy 171.938704 69.71591)
- (xy 171.932089 69.73627)
- (xy 171.931907 69.73683)
- (xy 171.924341 69.755094)
- (xy 171.918856 69.765859)
- (xy 171.918855 69.765863)
- (xy 171.914354 69.774696)
- (xy 171.912803 69.784487)
- (xy 171.912803 69.784488)
- (xy 171.910913 69.796422)
- (xy 171.906297 69.815647)
- (xy 171.902565 69.827132)
- (xy 171.902564 69.827136)
- (xy 171.8995 69.836567)
- (xy 171.8995 71.513433)
- (xy 171.902564 71.522864)
- (xy 171.902565 71.522868)
- (xy 171.906297 71.534353)
- (xy 171.910913 71.553578)
- (xy 171.914354 71.575304)
- (xy 171.918855 71.584137)
- (xy 171.918856 71.584141)
- (xy 171.924341 71.594906)
- (xy 171.931905 71.613166)
- (xy 171.938704 71.63409)
- (xy 171.951639 71.651893)
- (xy 171.961965 71.668745)
- (xy 171.97195 71.688342)
- (xy 171.994503 71.710895)
- (xy 171.994516 71.710909)
- (xy 172.67195 72.388342)
- (xy 172.761658 72.47805)
- (xy 172.770494 72.482552)
- (xy 172.770495 72.482553)
- (xy 172.781259 72.488038)
- (xy 172.798116 72.498368)
- (xy 172.81591 72.511296)
- (xy 172.836832 72.518094)
- (xy 172.855093 72.525658)
- (xy 172.865861 72.531145)
- (xy 172.865865 72.531146)
- (xy 172.874696 72.535646)
- (xy 172.884485 72.537197)
- (xy 172.884493 72.537199)
- (xy 172.896427 72.539089)
- (xy 172.915647 72.543703)
- (xy 172.927133 72.547435)
- (xy 172.92714 72.547436)
- (xy 172.936567 72.550499)
- (xy 172.968477 72.550499)
- (xy 172.968481 72.5505)
- (xy 174.030939 72.5505)
- (xy 174.09906 72.570502)
- (xy 174.120034 72.587405)
- (xy 175.692034 74.159405)
- (xy 175.72606 74.221717)
- (xy 175.720995 74.292532)
- (xy 175.678448 74.349368)
- (xy 175.611928 74.374179)
- (xy 175.602939 74.3745)
- (xy 174.405252 74.3745)
- (xy 174.346769 74.386133)
- (xy 174.339905 74.39072)
- (xy 174.295762 74.3995)
- (xy 174.243082 74.3995)
- (xy 174.174961 74.379498)
- (xy 174.153987 74.362595)
- (xy 173.448278 73.656885)
- (xy 172.710909 72.919516)
- (xy 172.710905 72.919513)
- (xy 172.688342 72.89695)
- (xy 172.668746 72.886965)
- (xy 172.651893 72.876639)
- (xy 172.63409 72.863704)
- (xy 172.613166 72.856905)
- (xy 172.594906 72.849341)
- (xy 172.584141 72.843856)
- (xy 172.584137 72.843855)
- (xy 172.575304 72.839354)
- (xy 172.565513 72.837803)
- (xy 172.565512 72.837803)
- (xy 172.553578 72.835913)
- (xy 172.534353 72.831297)
- (xy 172.522868 72.827565)
- (xy 172.522864 72.827564)
- (xy 172.513433 72.8245)
- (xy 171.543083 72.8245)
- (xy 171.474962 72.804498)
- (xy 171.453988 72.787595)
- (xy 171.236916 72.570523)
- (xy 171.20289 72.508211)
- (xy 171.200011 72.481428)
- (xy 171.200011 70.836078)
- (xy 171.196947 70.826647)
- (xy 171.196946 70.826643)
- (xy 171.193214 70.815158)
- (xy 171.188598 70.795933)
- (xy 171.186708 70.783999)
- (xy 171.186708 70.783998)
- (xy 171.185157 70.774207)
- (xy 171.180656 70.765374)
- (xy 171.180655 70.76537)
- (xy 171.17517 70.754605)
- (xy 171.167604 70.736341)
- (xy 171.160807 70.715421)
- (xy 171.147872 70.697618)
- (xy 171.137546 70.680766)
- (xy 171.132065 70.670009)
- (xy 171.127561 70.661169)
- (xy 171.104998 70.638606)
- (xy 171.104995 70.638602)
- (xy 170.637405 70.171012)
- (xy 170.603379 70.1087)
- (xy 170.6005 70.081917)
- (xy 170.6005 69.684744)
- (xy 170.597725 69.655151)
- (xy 170.554116 69.530525)
- (xy 170.475711 69.424289)
- (xy 170.40795 69.37428)
- (xy 170.377071 69.35149)
- (xy 170.369475 69.345884)
- (xy 170.244849 69.302275)
- (xy 170.226928 69.300595)
- (xy 170.218193 69.299775)
- (xy 170.218184 69.299775)
- (xy 170.215256 69.2995)
- (xy 169.534744 69.2995)
- (xy 169.531816 69.299775)
- (xy 169.531807 69.299775)
- (xy 169.523072 69.300595)
- (xy 169.505151 69.302275)
- (xy 169.380525 69.345884)
- (xy 169.372929 69.35149)
- (xy 169.34205 69.37428)
- (xy 169.274289 69.424289)
- (xy 169.195884 69.530525)
- (xy 169.152275 69.655151)
- (xy 169.15156 69.662781)
- (xy 169.149922 69.670277)
- (xy 169.148162 69.669893)
- (xy 169.125457 69.726887)
- (xy 169.067694 69.768166)
- (xy 168.996784 69.771664)
- (xy 168.935239 69.73627)
- (xy 168.9026 69.67322)
- (xy 168.901005 69.662635)
- (xy 168.898549 69.639787)
- (xy 168.894952 69.624566)
- (xy 168.851464 69.507969)
- (xy 168.842915 69.492313)
- (xy 168.769015 69.393594)
- (xy 168.756406 69.380985)
- (xy 168.657687 69.307085)
- (xy 168.642031 69.298536)
- (xy 168.525433 69.255048)
- (xy 168.510214 69.251452)
- (xy 168.493013 69.249602)
- (xy 168.478401 69.252239)
- (xy 168.475 69.264634)
- (xy 168.475 70.684219)
- (xy 168.479183 70.698464)
- (xy 168.491874 70.70052)
- (xy 168.510214 70.698548)
- (xy 168.525433 70.694952)
- (xy 168.642031 70.651464)
- (xy 168.657687 70.642915)
- (xy 168.756406 70.569015)
- (xy 168.769015 70.556406)
- (xy 168.842915 70.457687)
- (xy 168.851464 70.442031)
- (xy 168.894952 70.325434)
- (xy 168.898549 70.310213)
- (xy 168.901005 70.287365)
- (xy 168.928174 70.221773)
- (xy 168.986492 70.181282)
- (xy 169.057444 70.178748)
- (xy 169.118502 70.214975)
- (xy 169.150281 70.278462)
- (xy 169.151344 70.286234)
- (xy 169.15156 70.287221)
- (xy 169.152275 70.294849)
- (xy 169.195884 70.419475)
- (xy 169.274289 70.525711)
- (xy 169.380525 70.604116)
- (xy 169.505151 70.647725)
- (xy 169.523072 70.649405)
- (xy 169.531807 70.650225)
- (xy 169.531816 70.650225)
- (xy 169.534744 70.6505)
- (xy 169.931917 70.6505)
- (xy 170.000038 70.670502)
- (xy 170.021012 70.687405)
- (xy 170.362106 71.028499)
- (xy 170.396132 71.090811)
- (xy 170.399011 71.117594)
- (xy 170.399011 71.2735)
- (xy 170.379009 71.341621)
- (xy 170.325353 71.388114)
- (xy 170.273011 71.3995)
- (xy 169.180252 71.3995)
- (xy 169.174184 71.400707)
- (xy 169.133939 71.408712)
- (xy 169.133938 71.408712)
- (xy 169.121769 71.411133)
- (xy 169.055448 71.455448)
- (xy 169.048557 71.465761)
- (xy 169.031977 71.490575)
- (xy 169.011133 71.521769)
- (xy 169.008713 71.533936)
- (xy 169.008712 71.533938)
- (xy 169.000852 71.573455)
- (xy 168.967944 71.636364)
- (xy 168.906249 71.671496)
- (xy 168.835354 71.667696)
- (xy 168.777768 71.62617)
- (xy 168.753694 71.573452)
- (xy 168.741684 71.513069)
- (xy 168.732367 71.490573)
- (xy 168.690017 71.427192)
- (xy 168.672808 71.409983)
- (xy 168.609425 71.367632)
- (xy 168.586934 71.358316)
- (xy 168.531085 71.347207)
- (xy 168.51883 71.346)
- (xy 168.268115 71.346)
- (xy 168.252876 71.350475)
- (xy 168.251671 71.351865)
- (xy 168.25 71.359548)
- (xy 168.25 72.585884)
- (xy 168.254475 72.601123)
- (xy 168.255865 72.602328)
- (xy 168.263548 72.603999)
- (xy 168.518828 72.603999)
- (xy 168.531088 72.602791)
- (xy 168.586931 72.591685)
- (xy 168.609427 72.582367)
- (xy 168.672808 72.540017)
- (xy 168.690017 72.522808)
- (xy 168.732368 72.459425)
- (xy 168.741684 72.436934)
- (xy 168.753695 72.37655)
- (xy 168.786602 72.31364)
- (xy 168.848297 72.278508)
- (xy 168.919192 72.282308)
- (xy 168.976778 72.323833)
- (xy 169.000853 72.37655)
- (xy 169.008374 72.414359)
- (xy 169.011133 72.428231)
- (xy 169.018026 72.438547)
- (xy 169.031978 72.459427)
- (xy 169.055448 72.494552)
- (xy 169.121769 72.538867)
- (xy 169.133938 72.541288)
- (xy 169.133939 72.541288)
- (xy 169.162405 72.54695)
- (xy 169.180252 72.5505)
- (xy 169.325506 72.5505)
- (xy 169.393627 72.570502)
- (xy 169.44012 72.624158)
- (xy 169.449786 72.655756)
- (xy 169.452664 72.672998)
- (xy 169.453409 72.678116)
- (xy 169.459427 72.726393)
- (xy 169.462686 72.73306)
- (xy 169.463908 72.740381)
- (xy 169.468876 72.749561)
- (xy 169.468878 72.749567)
- (xy 169.487057 72.783158)
- (xy 169.489442 72.787791)
- (xy 169.506215 72.822102)
- (xy 169.506217 72.822104)
- (xy 169.510802 72.831484)
- (xy 169.516051 72.836733)
- (xy 169.519582 72.843258)
- (xy 169.527263 72.850329)
- (xy 169.555374 72.876207)
- (xy 169.559131 72.879813)
- (xy 169.985223 73.305905)
- (xy 170.019249 73.368217)
- (xy 170.014184 73.439032)
- (xy 169.971637 73.495868)
- (xy 169.905117 73.520679)
- (xy 169.896128 73.521)
- (xy 169.718115 73.521)
- (xy 169.702876 73.525475)
- (xy 169.701671 73.526865)
- (xy 169.7 73.534548)
- (xy 169.7 74.006885)
- (xy 169.704475 74.022124)
- (xy 169.705865 74.023329)
- (xy 169.713548 74.025)
- (xy 170.285884 74.025)
- (xy 170.301123 74.020525)
- (xy 170.302328 74.019135)
- (xy 170.303999 74.011452)
- (xy 170.303999 73.92887)
- (xy 170.324001 73.860749)
- (xy 170.377657 73.814256)
- (xy 170.447931 73.804152)
- (xy 170.512511 73.833646)
- (xy 170.519094 73.839775)
- (xy 170.612595 73.933276)
- (xy 170.646621 73.995588)
- (xy 170.6495 74.022371)
- (xy 170.6495 74.994748)
- (xy 170.650707 75.000816)
- (xy 170.65841 75.03954)
- (xy 170.661133 75.053231)
- (xy 170.668025 75.063546)
- (xy 170.668027 75.06355)
- (xy 170.703143 75.116104)
- (xy 170.724358 75.183857)
- (xy 170.705575 75.252324)
- (xy 170.684219 75.274456)
- (xy 170.685969 75.276206)
- (xy 170.659983 75.302192)
- (xy 170.617632 75.365575)
- (xy 170.608316 75.388066)
- (xy 170.597207 75.443915)
- (xy 170.596 75.45617)
- (xy 170.596 75.706885)
- (xy 170.600475 75.722124)
- (xy 170.601865 75.723329)
- (xy 170.609548 75.725)
- (xy 172.464417 75.725)
- (xy 172.532538 75.745002)
- (xy 172.541796 75.751559)
- (xy 172.555874 75.762517)
- (xy 172.562893 75.764927)
- (xy 172.568934 75.76924)
- (xy 172.578942 75.772219)
- (xy 172.578943 75.77222)
- (xy 172.598527 75.77805)
- (xy 172.615538 75.783114)
- (xy 172.620495 75.784702)
- (xy 172.656639 75.797111)
- (xy 172.656642 75.797111)
- (xy 172.666512 75.8005)
- (xy 172.673936 75.8005)
- (xy 172.681047 75.802617)
- (xy 172.691475 75.802186)
- (xy 172.691477 75.802186)
- (xy 172.72963 75.800608)
- (xy 172.734836 75.8005)
- (xy 172.853918 75.8005)
- (xy 172.922039 75.820502)
- (xy 172.968532 75.874158)
- (xy 172.978636 75.944432)
- (xy 172.949142 76.009012)
- (xy 172.943013 76.015595)
- (xy 172.570513 76.388095)
- (xy 172.508201 76.422121)
- (xy 172.481418 76.425)
- (xy 170.614116 76.425)
- (xy 170.598877 76.429475)
- (xy 170.581651 76.449355)
- (xy 170.521925 76.487739)
- (xy 170.450928 76.487739)
- (xy 170.397331 76.455938)
- (xy 170.287405 76.346012)
- (xy 170.253379 76.2837)
- (xy 170.2505 76.256917)
- (xy 170.2505 75.455252)
- (xy 170.246106 75.433163)
- (xy 170.241288 75.408939)
- (xy 170.241288 75.408938)
- (xy 170.238867 75.396769)
- (xy 170.231975 75.386454)
- (xy 170.231973 75.38645)
- (xy 170.196857 75.333896)
- (xy 170.175642 75.266143)
- (xy 170.194425 75.197676)
- (xy 170.215781 75.175544)
- (xy 170.214031 75.173794)
- (xy 170.240017 75.147808)
- (xy 170.282368 75.084425)
- (xy 170.291684 75.061934)
- (xy 170.302793 75.006085)
- (xy 170.304 74.99383)
- (xy 170.304 74.743115)
- (xy 170.299525 74.727876)
- (xy 170.298135 74.726671)
- (xy 170.290452 74.725)
- (xy 168.414116 74.725)
- (xy 168.398877 74.729475)
- (xy 168.397672 74.730865)
- (xy 168.396001 74.738548)
- (xy 168.396001 74.993828)
- (xy 168.397209 75.006088)
- (xy 168.408315 75.061931)
- (xy 168.417633 75.084427)
- (xy 168.459983 75.147808)
- (xy 168.485969 75.173794)
- (xy 168.483064 75.176699)
- (xy 168.513909 75.213609)
- (xy 168.522755 75.284052)
- (xy 168.503143 75.333896)
- (xy 168.468027 75.38645)
- (xy 168.468025 75.386454)
- (xy 168.461133 75.396769)
- (xy 168.458712 75.408938)
- (xy 168.458712 75.408939)
- (xy 168.453894 75.433163)
- (xy 168.4495 75.455252)
- (xy 168.4495 75.5485)
- (xy 168.429498 75.616621)
- (xy 168.375842 75.663114)
- (xy 168.3235 75.6745)
- (xy 167.6515 75.6745)
- (xy 167.583379 75.654498)
- (xy 167.536886 75.600842)
- (xy 167.5255 75.5485)
- (xy 167.5255 75.305252)
- (xy 167.524159 75.29851)
- (xy 167.516288 75.258939)
- (xy 167.516288 75.258938)
- (xy 167.513867 75.246769)
- (xy 167.469552 75.180448)
- (xy 167.403231 75.136133)
- (xy 167.391064 75.133713)
- (xy 167.391062 75.133712)
- (xy 167.351545 75.125852)
- (xy 167.288636 75.092944)
- (xy 167.253504 75.031249)
- (xy 167.257304 74.960354)
- (xy 167.29883 74.902768)
- (xy 167.351548 74.878694)
- (xy 167.411931 74.866684)
- (xy 167.434427 74.857367)
- (xy 167.497808 74.815017)
- (xy 167.515017 74.797808)
- (xy 167.557368 74.734425)
- (xy 167.566684 74.711934)
- (xy 167.577793 74.656085)
- (xy 167.579 74.64383)
- (xy 167.579 74.393115)
- (xy 167.574525 74.377876)
- (xy 167.573135 74.376671)
- (xy 167.565452 74.375)
- (xy 166.339116 74.375)
- (xy 166.323877 74.379475)
- (xy 166.322672 74.380865)
- (xy 166.321001 74.388548)
- (xy 166.321001 74.643828)
- (xy 166.322209 74.656088)
- (xy 166.333315 74.711931)
- (xy 166.342633 74.734427)
- (xy 166.384983 74.797808)
- (xy 166.402192 74.815017)
- (xy 166.465575 74.857368)
- (xy 166.488066 74.866684)
- (xy 166.54845 74.878695)
- (xy 166.61136 74.911602)
- (xy 166.646492 74.973297)
- (xy 166.642692 75.044192)
- (xy 166.601167 75.101778)
- (xy 166.54845 75.125853)
- (xy 166.508939 75.133712)
- (xy 166.508938 75.133712)
- (xy 166.496769 75.136133)
- (xy 166.430448 75.180448)
- (xy 166.386133 75.246769)
- (xy 166.383712 75.258938)
- (xy 166.383712 75.258939)
- (xy 166.375841 75.29851)
- (xy 166.3745 75.305252)
- (xy 166.3745 76.544748)
- (xy 166.375707 76.550816)
- (xy 166.383116 76.588062)
- (xy 166.386133 76.603231)
- (xy 166.393026 76.613547)
- (xy 166.409052 76.637531)
- (xy 166.430448 76.669552)
- (xy 166.496769 76.713867)
- (xy 166.508938 76.716288)
- (xy 166.508939 76.716288)
- (xy 166.549184 76.724293)
- (xy 166.555252 76.7255)
- (xy 167.344748 76.7255)
- (xy 167.350816 76.724293)
- (xy 167.391061 76.716288)
- (xy 167.391062 76.716288)
- (xy 167.403231 76.713867)
- (xy 167.469552 76.669552)
- (xy 167.490948 76.637531)
- (xy 167.506974 76.613547)
- (xy 167.513867 76.603231)
- (xy 167.519101 76.576918)
- (xy 167.552009 76.514008)
- (xy 167.613704 76.478877)
- (xy 167.64268 76.4755)
- (xy 168.3235 76.4755)
- (xy 168.391621 76.495502)
- (xy 168.438114 76.549158)
- (xy 168.4495 76.6015)
- (xy 168.4495 76.694748)
- (xy 168.450707 76.700816)
- (xy 168.453785 76.716288)
- (xy 168.461133 76.753231)
- (xy 168.505448 76.819552)
- (xy 168.571769 76.863867)
- (xy 168.583938 76.866288)
- (xy 168.583939 76.866288)
- (xy 168.620378 76.873536)
- (xy 168.630252 76.8755)
- (xy 169.631917 76.8755)
- (xy 169.700038 76.895502)
- (xy 169.721012 76.912405)
- (xy 170.189091 77.380484)
- (xy 170.189095 77.380487)
- (xy 170.211658 77.40305)
- (xy 170.220492 77.407551)
- (xy 170.220493 77.407552)
- (xy 170.231257 77.413037)
- (xy 170.248113 77.423366)
- (xy 170.265911 77.436297)
- (xy 170.286836 77.443096)
- (xy 170.305097 77.45066)
- (xy 170.315856 77.456142)
- (xy 170.315857 77.456142)
- (xy 170.324696 77.460646)
- (xy 170.328915 77.461314)
- (xy 170.384932 77.499617)
- (xy 170.41257 77.565014)
- (xy 170.400464 77.634971)
- (xy 170.377143 77.667556)
- (xy 170.371718 77.671718)
- (xy 170.275464 77.797159)
- (xy 170.214956 77.943238)
- (xy 170.194318 78.1)
- (xy 170.195396 78.108188)
- (xy 170.202412 78.161481)
- (xy 170.191473 78.23163)
- (xy 170.166585 78.267022)
- (xy 169.794516 78.639091)
- (xy 169.794513 78.639095)
- (xy 169.77195 78.661658)
- (xy 169.767446 78.670498)
- (xy 169.761965 78.681255)
- (xy 169.751639 78.698107)
- (xy 169.738704 78.71591)
- (xy 169.734713 78.728194)
- (xy 169.731907 78.73683)
- (xy 169.724341 78.755094)
- (xy 169.718856 78.765859)
- (xy 169.718855 78.765863)
- (xy 169.714354 78.774696)
- (xy 169.712803 78.784487)
- (xy 169.712803 78.784488)
- (xy 169.710913 78.796422)
- (xy 169.706297 78.815647)
- (xy 169.702565 78.827132)
- (xy 169.702564 78.827136)
- (xy 169.6995 78.836567)
- (xy 169.6995 79.7735)
- (xy 169.679498 79.841621)
- (xy 169.625842 79.888114)
- (xy 169.5735 79.8995)
- (xy 164.368481 79.8995)
- (xy 164.368477 79.899501)
- (xy 164.336567 79.899501)
- (xy 164.32714 79.902564)
- (xy 164.327133 79.902565)
- (xy 164.315647 79.906297)
- (xy 164.296427 79.910911)
- (xy 164.284493 79.912801)
- (xy 164.284485 79.912803)
- (xy 164.274696 79.914354)
- (xy 164.265865 79.918854)
- (xy 164.265861 79.918855)
- (xy 164.255093 79.924342)
- (xy 164.236832 79.931906)
- (xy 164.21591 79.938704)
- (xy 164.201868 79.948906)
- (xy 164.198116 79.951632)
- (xy 164.181259 79.961962)
- (xy 164.170493 79.967448)
- (xy 164.170492 79.967449)
- (xy 164.161658 79.97195)
- (xy 164.139091 79.994517)
- (xy 161.371012 82.762595)
- (xy 161.3087 82.796621)
- (xy 161.281917 82.7995)
- (xy 159.518083 82.7995)
- (xy 159.449962 82.779498)
- (xy 159.428987 82.762595)
- (xy 159.162857 82.496464)
- (xy 158.860909 82.194516)
- (xy 158.860895 82.194503)
- (xy 158.838342 82.17195)
- (xy 158.818746 82.161965)
- (xy 158.801893 82.151639)
- (xy 158.78409 82.138704)
- (xy 158.763166 82.131905)
- (xy 158.744906 82.124341)
- (xy 158.734141 82.118856)
- (xy 158.734137 82.118855)
- (xy 158.725304 82.114354)
- (xy 158.715513 82.112803)
- (xy 158.715512 82.112803)
- (xy 158.703578 82.110913)
- (xy 158.684353 82.106297)
- (xy 158.672868 82.102565)
- (xy 158.672864 82.102564)
- (xy 158.663433 82.0995)
+ (xy 176.986896 69.433186)
+ (xy 176.972841 69.432003)
+ (xy 176.970001 69.437051)
+ (xy 176.970001 70.106885)
+ (xy 176.270001 70.106885)
+ (xy 176.270001 69.444756)
+ (xy 176.266028 69.431225)
+ (xy 176.260295 69.430401)
+ (xy 176.13123 69.480402)
+ (xy 176.120848 69.485571)
+ (xy 175.956797 69.587146)
+ (xy 175.947534 69.594141)
+ (xy 175.804946 69.724128)
+ (xy 175.797128 69.732704)
+ (xy 175.680852 69.886679)
+ (xy 175.674744 69.896543)
+ (xy 175.588736 70.06927)
+ (xy 175.584548 70.080081)
+ (xy 175.576725 70.107574)
+ (xy 175.066313 70.107574)
+ (xy 175.055232 70.071046)
+ (xy 174.957685 69.88855)
+ (xy 174.82641 69.72859)
+ (xy 174.66645 69.597315)
+ (xy 174.483954 69.499768)
+ (xy 174.397547 69.473557)
+ (xy 174.29186 69.441497)
+ (xy 174.291854 69.441496)
+ (xy 174.285934 69.4397)
+ (xy 174.08 69.419417)
+ (xy 173.874066 69.4397)
+ (xy 173.868146 69.441496)
+ (xy 173.86814 69.441497)
+ (xy 173.762453 69.473557)
+ (xy 173.676046 69.499768)
+ (xy 173.49355 69.597315)
+ (xy 173.33359 69.72859)
+ (xy 173.202315 69.88855)
+ (xy 173.104768 70.071046)
+ (xy 173.092511 70.111452)
+ (xy 173.046497 70.26314)
+ (xy 173.046496 70.263146)
+ (xy 173.0447 70.269066)
+ (xy 173.024417 70.475)
+ (xy 173.0447 70.680934)
+ (xy 173.046496 70.686854)
+ (xy 173.046497 70.68686)
+ (xy 173.088401 70.825)
+ (xy 173.104768 70.878954)
+ (xy 173.202315 71.06145)
+ (xy 173.33359 71.22141)
+ (xy 173.49355 71.352685)
+ (xy 173.676046 71.450232)
+ (xy 173.722848 71.464429)
+ (xy 173.86814 71.508503)
+ (xy 173.868146 71.508504)
+ (xy 173.874066 71.5103)
+ (xy 174.08 71.530583)
+ (xy 174.285934 71.5103)
+ (xy 174.291854 71.508504)
+ (xy 174.29186 71.508503)
+ (xy 174.437152 71.464429)
+ (xy 174.483954 71.450232)
+ (xy 174.59697 71.389823)
+ (xy 174.666472 71.375352)
+ (xy 174.732768 71.400755)
+ (xy 174.745458 71.411851)
+ (xy 174.918012 71.584405)
+ (xy 174.952038 71.646717)
+ (xy 174.946973 71.717532)
+ (xy 174.904426 71.774368)
+ (xy 174.837906 71.799179)
+ (xy 174.828917 71.7995)
+ (xy 171.618083 71.7995)
+ (xy 171.549962 71.779498)
+ (xy 171.528988 71.762595)
+ (xy 171.337405 71.571012)
+ (xy 171.303379 71.5087)
+ (xy 171.3005 71.481917)
+ (xy 171.3005 71.2765)
+ (xy 171.320502 71.208379)
+ (xy 171.374158 71.161886)
+ (xy 171.4265 71.1505)
+ (xy 171.669748 71.1505)
+ (xy 171.675816 71.149293)
+ (xy 171.716061 71.141288)
+ (xy 171.716062 71.141288)
+ (xy 171.728231 71.138867)
+ (xy 171.794552 71.094552)
+ (xy 171.838867 71.028231)
+ (xy 171.8505 70.969748)
+ (xy 171.8505 69.430252)
+ (xy 171.838867 69.371769)
+ (xy 171.794552 69.305448)
+ (xy 171.728231 69.261133)
+ (xy 171.716062 69.258712)
+ (xy 171.716061 69.258712)
+ (xy 171.675816 69.250707)
+ (xy 171.669748 69.2495)
+ (xy 170.130252 69.2495)
+ (xy 170.124184 69.250707)
+ (xy 170.083939 69.258712)
+ (xy 170.083938 69.258712)
+ (xy 170.071769 69.261133)
+ (xy 170.005448 69.305448)
+ (xy 169.961133 69.371769)
+ (xy 169.9495 69.430252)
+ (xy 169.9495 70.969748)
+ (xy 169.961133 71.028231)
+ (xy 170.005448 71.094552)
+ (xy 170.071769 71.138867)
+ (xy 170.083938 71.141288)
+ (xy 170.083939 71.141288)
+ (xy 170.124184 71.149293)
+ (xy 170.130252 71.1505)
+ (xy 170.3735 71.1505)
+ (xy 170.441621 71.170502)
+ (xy 170.488114 71.224158)
+ (xy 170.4995 71.2765)
+ (xy 170.4995 71.763433)
+ (xy 170.502564 71.772864)
+ (xy 170.502565 71.772868)
+ (xy 170.506297 71.784353)
+ (xy 170.510913 71.803578)
+ (xy 170.514354 71.825304)
+ (xy 170.518855 71.834137)
+ (xy 170.518856 71.834141)
+ (xy 170.524341 71.844906)
+ (xy 170.531905 71.863166)
+ (xy 170.538704 71.88409)
+ (xy 170.551639 71.901893)
+ (xy 170.561965 71.918745)
+ (xy 170.57195 71.938342)
+ (xy 170.594513 71.960905)
+ (xy 170.594516 71.960909)
+ (xy 170.918012 72.284405)
+ (xy 170.952038 72.346717)
+ (xy 170.946973 72.417532)
+ (xy 170.904426 72.474368)
+ (xy 170.837906 72.499179)
+ (xy 170.828917 72.4995)
+ (xy 168.0765 72.4995)
+ (xy 168.008379 72.479498)
+ (xy 167.961886 72.425842)
+ (xy 167.9505 72.3735)
+ (xy 167.9505 72.230252)
+ (xy 167.941546 72.185235)
+ (xy 167.941288 72.183939)
+ (xy 167.941288 72.183938)
+ (xy 167.938867 72.171769)
+ (xy 167.894552 72.105448)
+ (xy 167.828231 72.061133)
+ (xy 167.816062 72.058712)
+ (xy 167.816061 72.058712)
+ (xy 167.775816 72.050707)
+ (xy 167.769748 72.0495)
+ (xy 166.230252 72.0495)
+ (xy 166.224184 72.050707)
+ (xy 166.183939 72.058712)
+ (xy 166.183938 72.058712)
+ (xy 166.171769 72.061133)
+ (xy 166.105448 72.105448)
+ (xy 166.061133 72.171769)
+ (xy 166.058712 72.183938)
+ (xy 166.058712 72.183939)
+ (xy 166.058454 72.185235)
+ (xy 166.0495 72.230252)
+ (xy 166.0495 73.769748)
+ (xy 166.061133 73.828231)
+ (xy 166.105448 73.894552)
+ (xy 166.171769 73.938867)
+ (xy 166.183938 73.941288)
+ (xy 166.183939 73.941288)
+ (xy 166.224184 73.949293)
+ (xy 166.230252 73.9505)
+ (xy 167.769748 73.9505)
+ (xy 167.775816 73.949293)
+ (xy 167.816061 73.941288)
+ (xy 167.816062 73.941288)
+ (xy 167.828231 73.938867)
+ (xy 167.894552 73.894552)
+ (xy 167.938867 73.828231)
+ (xy 167.9505 73.769748)
+ (xy 167.9505 73.4265)
+ (xy 167.970502 73.358379)
+ (xy 168.024158 73.311886)
+ (xy 168.0765 73.3005)
+ (xy 168.728917 73.3005)
+ (xy 168.797038 73.320502)
+ (xy 168.843531 73.374158)
+ (xy 168.853635 73.444432)
+ (xy 168.824141 73.509012)
+ (xy 168.818012 73.515595)
+ (xy 167.321012 75.012595)
+ (xy 167.2587 75.046621)
+ (xy 167.231917 75.0495)
+ (xy 166.230252 75.0495)
+ (xy 166.224184 75.050707)
+ (xy 166.183939 75.058712)
+ (xy 166.183938 75.058712)
+ (xy 166.171769 75.061133)
+ (xy 166.105448 75.105448)
+ (xy 166.061133 75.171769)
+ (xy 166.058712 75.183938)
+ (xy 166.058712 75.183939)
+ (xy 166.05242 75.215573)
+ (xy 166.0495 75.230252)
+ (xy 166.0495 76.769748)
+ (xy 166.050707 76.775816)
+ (xy 166.054969 76.79724)
+ (xy 166.061133 76.828231)
+ (xy 166.105448 76.894552)
+ (xy 166.171769 76.938867)
+ (xy 166.183938 76.941288)
+ (xy 166.183939 76.941288)
+ (xy 166.220197 76.9485)
+ (xy 166.230252 76.9505)
+ (xy 167.769748 76.9505)
+ (xy 167.779803 76.9485)
+ (xy 167.816061 76.941288)
+ (xy 167.816062 76.941288)
+ (xy 167.828231 76.938867)
+ (xy 167.894552 76.894552)
+ (xy 167.938867 76.828231)
+ (xy 167.945032 76.79724)
+ (xy 167.949921 76.772659)
+ (xy 167.982829 76.709749)
+ (xy 168.044524 76.674617)
+ (xy 168.115418 76.678417)
+ (xy 168.173004 76.719943)
+ (xy 168.198999 76.78601)
+ (xy 168.1995 76.79724)
+ (xy 168.1995 77.5235)
+ (xy 168.179498 77.591621)
+ (xy 168.125842 77.638114)
+ (xy 168.0735 77.6495)
+ (xy 167.530252 77.6495)
+ (xy 167.524184 77.650707)
+ (xy 167.483939 77.658712)
+ (xy 167.483938 77.658712)
+ (xy 167.471769 77.661133)
+ (xy 167.405448 77.705448)
+ (xy 167.361133 77.771769)
+ (xy 167.358712 77.783938)
+ (xy 167.358712 77.783939)
+ (xy 167.35469 77.804158)
+ (xy 167.3495 77.830252)
+ (xy 167.3495 78.8735)
+ (xy 167.329498 78.941621)
+ (xy 167.275842 78.988114)
+ (xy 167.2235 78.9995)
+ (xy 165.236567 78.9995)
+ (xy 165.227136 79.002564)
+ (xy 165.227132 79.002565)
+ (xy 165.215647 79.006297)
+ (xy 165.196422 79.010913)
+ (xy 165.184488 79.012803)
+ (xy 165.184487 79.012803)
+ (xy 165.174696 79.014354)
+ (xy 165.165863 79.018855)
+ (xy 165.165859 79.018856)
+ (xy 165.155094 79.024341)
+ (xy 165.136834 79.031905)
+ (xy 165.11591 79.038704)
+ (xy 165.098107 79.051639)
+ (xy 165.081254 79.061965)
+ (xy 165.061658 79.07195)
+ (xy 165.039095 79.094513)
+ (xy 165.039091 79.094516)
+ (xy 162.071012 82.062595)
+ (xy 162.0087 82.096621)
+ (xy 161.981917 82.0995)
(xy 156.094067 82.0995)
(xy 156.084636 82.102564)
(xy 156.084632 82.102565)
@@ -12398,6 +12118,9 @@
(xy 154.903289 82.583016)
(xy 154.903287 82.583017)
(xy 154.893607 82.584427)
+ (xy 154.884816 82.588725)
+ (xy 154.884815 82.588725)
+ (xy 154.825181 82.617878)
(xy 154.788517 82.635802)
(xy 154.78113 82.643189)
(xy 154.772638 82.649252)
@@ -12409,7 +12132,7 @@
(xy 154.236898 82.623321)
(xy 154.206314 82.581881)
(xy 154.200711 82.574289)
- (xy 154.13083 82.522715)
+ (xy 154.111922 82.50876)
(xy 154.102071 82.50149)
(xy 154.094475 82.495884)
(xy 153.969849 82.452275)
@@ -12424,7 +12147,7 @@
(xy 153.330151 82.452275)
(xy 153.205525 82.495884)
(xy 153.197929 82.50149)
- (xy 153.16917 82.522715)
+ (xy 153.188078 82.50876)
(xy 153.099289 82.574289)
(xy 153.093686 82.581881)
(xy 153.063102 82.623321)
@@ -12435,9 +12158,6 @@
(xy 152.511886 82.600842)
(xy 152.5005 82.5485)
(xy 152.5005 82.280252)
- (xy 152.495682 82.256032)
- (xy 152.491288 82.233939)
- (xy 152.491288 82.233938)
(xy 152.488867 82.221769)
(xy 152.444552 82.155448)
(xy 152.378231 82.111133)
@@ -12452,9 +12172,6 @@
(xy 151.221769 82.111133)
(xy 151.155448 82.155448)
(xy 151.111133 82.221769)
- (xy 151.108712 82.233938)
- (xy 151.108712 82.233939)
- (xy 151.104318 82.256032)
(xy 151.0995 82.280252)
(xy 151.0995 83.319748)
(xy 151.111133 83.378231)
@@ -12535,8 +12252,6 @@
(xy 156.324563 84.695002)
(xy 156.345537 84.711905)
(xy 156.401344 84.767712)
- (xy 156.479609 84.815986)
- (xy 156.527398 84.845462)
(xy 156.550666 84.859814)
(xy 156.55763 84.862122)
(xy 156.557633 84.862123)
@@ -14156,7 +13871,7 @@
(xy 149.888247 81.949487)
(xy 149.908249 81.881366)
(xy 149.938422 81.848856)
- (xy 149.948768 81.841061)
+ (xy 149.94549 81.843531)
(xy 153.467408 79.189781)
(xy 153.468416 79.191118)
(xy 153.46868 79.190909)
@@ -14172,113 +13887,13 @@
(xy 153.525225 79.141619)
(xy 153.526021 79.140954)
(xy 153.526516 79.140007)
- (xy 153.549406 79.111439)
+ (xy 153.555393 79.103967)
(xy 153.580934 79.072091)
(xy 153.603497 79.050203)
- (xy 153.767385 78.926865)
- (xy 155.165367 77.874775)
- (xy 160.304892 74.006885)
- (xy 168.396 74.006885)
- (xy 168.400475 74.022124)
- (xy 168.401865 74.023329)
- (xy 168.409548 74.025)
- (xy 168.981885 74.025)
- (xy 168.997124 74.020525)
- (xy 168.998329 74.019135)
- (xy 169 74.011452)
- (xy 169 73.539116)
- (xy 168.995525 73.523877)
- (xy 168.994135 73.522672)
- (xy 168.986452 73.521001)
- (xy 168.631172 73.521001)
- (xy 168.618912 73.522209)
- (xy 168.563069 73.533315)
- (xy 168.540573 73.542633)
- (xy 168.477192 73.584983)
- (xy 168.459983 73.602192)
- (xy 168.417632 73.665575)
- (xy 168.408316 73.688066)
- (xy 168.397207 73.743915)
- (xy 168.396 73.75617)
- (xy 168.396 74.006885)
- (xy 160.304892 74.006885)
- (xy 160.769961 73.656885)
- (xy 166.321 73.656885)
- (xy 166.325475 73.672124)
- (xy 166.326865 73.673329)
- (xy 166.334548 73.675)
- (xy 166.581885 73.675)
- (xy 166.597124 73.670525)
- (xy 166.598329 73.669135)
- (xy 166.6 73.661452)
- (xy 166.6 73.656885)
- (xy 167.3 73.656885)
- (xy 167.304475 73.672124)
- (xy 167.305865 73.673329)
- (xy 167.313548 73.675)
- (xy 167.560884 73.675)
- (xy 167.576123 73.670525)
- (xy 167.577328 73.669135)
- (xy 167.578999 73.661452)
- (xy 167.578999 73.406172)
- (xy 167.577791 73.393912)
- (xy 167.566685 73.338069)
- (xy 167.557367 73.315573)
- (xy 167.515017 73.252192)
- (xy 167.497808 73.234983)
- (xy 167.434425 73.192632)
- (xy 167.411934 73.183316)
- (xy 167.356085 73.172207)
- (xy 167.34383 73.171)
- (xy 167.318115 73.171)
- (xy 167.302876 73.175475)
- (xy 167.301671 73.176865)
- (xy 167.3 73.184548)
- (xy 167.3 73.656885)
- (xy 166.6 73.656885)
- (xy 166.6 73.189116)
- (xy 166.595525 73.173877)
- (xy 166.594135 73.172672)
- (xy 166.586452 73.171001)
- (xy 166.556172 73.171001)
- (xy 166.543912 73.172209)
- (xy 166.488069 73.183315)
- (xy 166.465573 73.192633)
- (xy 166.402192 73.234983)
- (xy 166.384983 73.252192)
- (xy 166.342633 73.315573)
- (xy 166.333316 73.338066)
- (xy 166.322207 73.393915)
- (xy 166.321 73.40617)
- (xy 166.321 73.656885)
- (xy 160.769961 73.656885)
+ (xy 153.67087 78.9995)
+ (xy 155.193174 77.853848)
(xy 162.438212 72.401397)
(xy 162.453964 72.391283)
- (xy 162.495417 72.368828)
- (xy 167.046001 72.368828)
- (xy 167.047209 72.381088)
- (xy 167.058315 72.436931)
- (xy 167.067633 72.459427)
- (xy 167.109983 72.522808)
- (xy 167.127192 72.540017)
- (xy 167.190575 72.582368)
- (xy 167.213066 72.591684)
- (xy 167.268915 72.602793)
- (xy 167.28117 72.604)
- (xy 167.531885 72.604)
- (xy 167.547124 72.599525)
- (xy 167.548329 72.598135)
- (xy 167.55 72.590452)
- (xy 167.55 72.343115)
- (xy 167.545525 72.327876)
- (xy 167.544135 72.326671)
- (xy 167.536452 72.325)
- (xy 167.064116 72.325)
- (xy 167.048877 72.329475)
- (xy 167.047672 72.330865)
- (xy 167.046001 72.338548)
- (xy 167.046001 72.368828)
- (xy 162.495417 72.368828)
(xy 162.57073 72.328031)
(xy 162.570731 72.328029)
(xy 162.576453 72.32493)
@@ -14286,73 +13901,6 @@
(xy 162.578268 72.323947)
(xy 162.579416 72.323325)
(xy 162.579924 72.322699)
- (xy 163.529846 71.606885)
- (xy 167.046 71.606885)
- (xy 167.050475 71.622124)
- (xy 167.051865 71.623329)
- (xy 167.059548 71.625)
- (xy 167.531885 71.625)
- (xy 167.547124 71.620525)
- (xy 167.548329 71.619135)
- (xy 167.55 71.611452)
- (xy 167.55 71.364116)
- (xy 167.545525 71.348877)
- (xy 167.544135 71.347672)
- (xy 167.536452 71.346001)
- (xy 167.281172 71.346001)
- (xy 167.268912 71.347209)
- (xy 167.213069 71.358315)
- (xy 167.190573 71.367633)
- (xy 167.127192 71.409983)
- (xy 167.109983 71.427192)
- (xy 167.067632 71.490575)
- (xy 167.058316 71.513066)
- (xy 167.047207 71.568915)
- (xy 167.046 71.58117)
- (xy 167.046 71.606885)
- (xy 163.529846 71.606885)
- (xy 165.217733 70.334977)
- (xy 167.358607 70.334977)
- (xy 167.398536 70.442031)
- (xy 167.407085 70.457687)
- (xy 167.480985 70.556406)
- (xy 167.493594 70.569015)
- (xy 167.592313 70.642915)
- (xy 167.607969 70.651464)
- (xy 167.724567 70.694952)
- (xy 167.739786 70.698548)
- (xy 167.756987 70.700398)
- (xy 167.771599 70.697761)
- (xy 167.775 70.685366)
- (xy 167.775 70.343115)
- (xy 167.770525 70.327876)
- (xy 167.769135 70.326671)
- (xy 167.761452 70.325)
- (xy 167.373001 70.325)
- (xy 167.35947 70.328973)
- (xy 167.358607 70.334977)
- (xy 165.217733 70.334977)
- (xy 166.163768 69.622092)
- (xy 167.360211 69.622092)
- (xy 167.365536 69.625)
- (xy 167.756885 69.625)
- (xy 167.772124 69.620525)
- (xy 167.773329 69.619135)
- (xy 167.775 69.611452)
- (xy 167.775 69.265781)
- (xy 167.770817 69.251536)
- (xy 167.758126 69.24948)
- (xy 167.739786 69.251452)
- (xy 167.724567 69.255048)
- (xy 167.607969 69.298536)
- (xy 167.592313 69.307085)
- (xy 167.493594 69.380985)
- (xy 167.480985 69.393594)
- (xy 167.407085 69.492313)
- (xy 167.398536 69.507969)
- (xy 167.361217 69.608027)
- (xy 167.360211 69.622092)
- (xy 166.163768 69.622092)
(xy 169.244809 67.300372)
(xy 169.311249 67.27535)
(xy 169.320636 67.275)
@@ -16710,41 +16258,54 @@
(filled_polygon
(layer "F.Cu")
(pts
- (xy 159.25933 88.070502)
- (xy 159.305823 88.124158)
- (xy 159.315927 88.194432)
- (xy 159.286433 88.259012)
- (xy 159.273042 88.272308)
- (xy 159.164776 88.364776)
- (xy 159.161563 88.368538)
- (xy 159.004379 88.552576)
- (xy 159.004376 88.552581)
- (xy 159.001164 88.556341)
- (xy 158.869534 88.771141)
- (xy 158.867641 88.775711)
- (xy 158.867639 88.775715)
- (xy 158.788967 88.965647)
- (xy 158.773127 89.003889)
- (xy 158.771972 89.008701)
- (xy 158.720418 89.22344)
- (xy 158.714317 89.248852)
- (xy 158.694551 89.5)
- (xy 158.714317 89.751148)
- (xy 158.715471 89.755955)
- (xy 158.715472 89.755961)
- (xy 158.749512 89.897745)
- (xy 158.773127 89.996111)
- (xy 158.77502 90.000682)
- (xy 158.775021 90.000684)
- (xy 158.866296 90.221041)
- (xy 158.869534 90.228859)
- (xy 159.001164 90.443659)
- (xy 159.042291 90.491812)
- (xy 159.106225 90.56667)
- (xy 159.135256 90.631459)
- (xy 159.124651 90.701659)
- (xy 159.077776 90.754982)
- (xy 159.010414 90.7745)
+ (xy 159.653535 88.320502)
+ (xy 159.700028 88.374158)
+ (xy 159.710132 88.444432)
+ (xy 159.680638 88.509012)
+ (xy 159.674509 88.515595)
+ (xy 159.561505 88.628599)
+ (xy 159.558348 88.633107)
+ (xy 159.558346 88.63311)
+ (xy 159.429122 88.817661)
+ (xy 159.425965 88.82217)
+ (xy 159.423642 88.827152)
+ (xy 159.423639 88.827157)
+ (xy 159.332069 89.023529)
+ (xy 159.326097 89.036337)
+ (xy 159.324675 89.041645)
+ (xy 159.324674 89.041647)
+ (xy 159.272617 89.23593)
+ (xy 159.264937 89.264592)
+ (xy 159.244341 89.5)
+ (xy 159.264937 89.735408)
+ (xy 159.266361 89.740722)
+ (xy 159.266361 89.740723)
+ (xy 159.311953 89.910875)
+ (xy 159.326097 89.963663)
+ (xy 159.328419 89.968643)
+ (xy 159.32842 89.968645)
+ (xy 159.419138 90.163188)
+ (xy 159.425965 90.177829)
+ (xy 159.561505 90.371401)
+ (xy 159.728599 90.538495)
+ (xy 159.881116 90.645288)
+ (xy 159.925443 90.700744)
+ (xy 159.932752 90.771363)
+ (xy 159.900721 90.834724)
+ (xy 159.83952 90.870709)
+ (xy 159.808844 90.8745)
+ (xy 159.612371 90.8745)
+ (xy 159.54425 90.854498)
+ (xy 159.5375 90.849058)
+ (xy 159.537362 90.849252)
+ (xy 159.528869 90.843188)
+ (xy 159.521483 90.835802)
+ (xy 159.416393 90.784427)
+ (xy 159.406713 90.783017)
+ (xy 159.406711 90.783016)
+ (xy 159.352772 90.775157)
+ (xy 159.352767 90.775157)
+ (xy 159.34826 90.7745)
(xy 158.10674 90.7745)
(xy 158.102233 90.775157)
(xy 158.102228 90.775157)
@@ -16758,7 +16319,7 @@
(xy 157.799427 91.023607)
(xy 157.798017 91.033287)
(xy 157.798016 91.033289)
- (xy 157.790246 91.086621)
+ (xy 157.79304 91.067446)
(xy 157.7895 91.09174)
(xy 157.7895 91.45826)
(xy 157.790157 91.462767)
@@ -17256,11 +16817,11 @@
(xy 155.9145 90.50826)
(xy 155.915157 90.512767)
(xy 155.915157 90.512772)
- (xy 155.92301 90.56667)
+ (xy 155.923016 90.566711)
(xy 155.924427 90.576393)
(xy 155.928725 90.585184)
(xy 155.928725 90.585185)
- (xy 155.953554 90.635974)
+ (xy 155.941931 90.612199)
(xy 155.975802 90.681483)
(xy 156.058517 90.764198)
(xy 156.067902 90.768786)
@@ -17281,11 +16842,11 @@
(xy 157.637098 90.768786)
(xy 157.646483 90.764198)
(xy 157.729198 90.681483)
- (xy 157.751446 90.635974)
+ (xy 157.763069 90.612199)
(xy 157.776275 90.585185)
(xy 157.776275 90.585184)
(xy 157.780573 90.576393)
- (xy 157.78199 90.56667)
+ (xy 157.781984 90.566711)
(xy 157.789843 90.512772)
(xy 157.789843 90.512767)
(xy 157.7905 90.50826)
@@ -17298,355 +16859,24 @@
(xy 157.733786 89.977902)
(xy 157.729198 89.968517)
(xy 157.646483 89.885802)
- (xy 157.588514 89.857463)
- (xy 157.550185 89.838725)
- (xy 157.550184 89.838725)
- (xy 157.541393 89.834427)
- (xy 157.531713 89.833017)
- (xy 157.531711 89.833016)
- (xy 157.477772 89.825157)
- (xy 157.477767 89.825157)
- (xy 157.47326 89.8245)
- (xy 157.329 89.8245)
- (xy 157.260879 89.804498)
- (xy 157.214386 89.750842)
- (xy 157.203 89.6985)
- (xy 157.203 89.444872)
- (xy 157.223002 89.376751)
- (xy 157.239905 89.355777)
- (xy 158.508277 88.087405)
- (xy 158.570589 88.053379)
- (xy 158.597372 88.0505)
- (xy 159.191209 88.0505)
+ (xy 157.559273 89.843168)
+ (xy 157.506859 89.79528)
+ (xy 157.488656 89.726657)
+ (xy 157.510443 89.659086)
+ (xy 157.525517 89.640876)
+ (xy 158.828988 88.337405)
+ (xy 158.8913 88.303379)
+ (xy 158.918083 88.3005)
+ (xy 159.585414 88.3005)
)
)
(filled_polygon
(layer "F.Cu")
(pts
- (xy 176.76937 83.101188)
- (xy 176.826206 83.143735)
- (xy 176.851017 83.210255)
- (xy 176.850788 83.231007)
- (xy 176.8495 83.244744)
- (xy 176.8495 83.825256)
- (xy 176.849775 83.828184)
- (xy 176.849775 83.828193)
- (xy 176.850492 83.835831)
- (xy 176.852275 83.854849)
- (xy 176.895884 83.979475)
- (xy 176.974289 84.085711)
- (xy 177.031981 84.128289)
- (xy 177.080525 84.164116)
- (xy 177.079175 84.165945)
- (xy 177.120756 84.206411)
- (xy 177.137 84.268295)
- (xy 177.137 84.391917)
- (xy 177.116998 84.460038)
- (xy 177.100095 84.481012)
- (xy 176.883512 84.697595)
- (xy 176.8212 84.731621)
- (xy 176.794417 84.7345)
- (xy 176.434744 84.7345)
- (xy 176.431816 84.734775)
- (xy 176.431807 84.734775)
- (xy 176.423072 84.735595)
- (xy 176.405151 84.737275)
- (xy 176.280525 84.780884)
- (xy 176.174289 84.859289)
- (xy 176.168686 84.866881)
- (xy 176.110662 84.945502)
- (xy 176.095884 84.965525)
- (xy 176.052275 85.090151)
- (xy 176.0495 85.119744)
- (xy 176.0495 85.700256)
- (xy 176.052275 85.729849)
- (xy 176.095884 85.854475)
- (xy 176.174289 85.960711)
- (xy 176.181881 85.966314)
- (xy 176.280525 86.039116)
- (xy 176.279175 86.040945)
- (xy 176.320756 86.081411)
- (xy 176.337 86.143295)
- (xy 176.337 86.210477)
- (xy 176.316998 86.278598)
- (xy 176.263342 86.325091)
- (xy 176.252615 86.329406)
- (xy 176.214438 86.342765)
- (xy 176.205525 86.345884)
- (xy 176.099289 86.424289)
- (xy 176.093686 86.431881)
- (xy 176.035014 86.51138)
- (xy 176.020884 86.530525)
- (xy 176.017766 86.539436)
- (xy 176.017765 86.539438)
- (xy 175.997591 86.597091)
- (xy 175.956213 86.654783)
- (xy 175.890212 86.680945)
- (xy 175.820545 86.667272)
- (xy 175.769329 86.618104)
- (xy 175.760606 86.599509)
- (xy 175.726463 86.507967)
- (xy 175.717915 86.492313)
- (xy 175.644015 86.393594)
- (xy 175.631406 86.380985)
- (xy 175.532687 86.307085)
- (xy 175.517033 86.298537)
- (xy 175.463544 86.278587)
- (xy 175.406709 86.23604)
- (xy 175.381898 86.16952)
- (xy 175.396989 86.100146)
- (xy 175.432069 86.059662)
- (xy 175.506407 86.004014)
- (xy 175.519015 85.991406)
- (xy 175.592915 85.892687)
- (xy 175.601464 85.877031)
- (xy 175.638783 85.776973)
- (xy 175.639789 85.762908)
- (xy 175.634464 85.76)
- (xy 174.198001 85.76)
- (xy 174.18447 85.763973)
- (xy 174.183607 85.769977)
- (xy 174.223536 85.877031)
- (xy 174.232085 85.892687)
- (xy 174.305985 85.991406)
- (xy 174.318594 86.004015)
- (xy 174.417313 86.077915)
- (xy 174.437137 86.08874)
- (xy 174.487338 86.138943)
- (xy 174.502428 86.208317)
- (xy 174.477616 86.274837)
- (xy 174.448387 86.300162)
- (xy 174.449527 86.301685)
- (xy 174.343594 86.380985)
- (xy 174.330985 86.393594)
- (xy 174.257085 86.492313)
- (xy 174.248536 86.507969)
- (xy 174.211217 86.608027)
- (xy 174.210211 86.622092)
- (xy 174.215536 86.625)
- (xy 175.2115 86.625)
- (xy 175.279621 86.645002)
- (xy 175.326114 86.698658)
- (xy 175.3375 86.751)
- (xy 175.3375 87.685563)
- (xy 175.341683 87.699808)
- (xy 175.354373 87.701864)
- (xy 175.385214 87.698548)
- (xy 175.400433 87.694952)
- (xy 175.517031 87.651464)
- (xy 175.532687 87.642915)
- (xy 175.631406 87.569015)
- (xy 175.644015 87.556406)
- (xy 175.717915 87.457687)
- (xy 175.726463 87.442033)
- (xy 175.760606 87.350491)
- (xy 175.803153 87.293656)
- (xy 175.869673 87.268845)
- (xy 175.939047 87.283936)
- (xy 175.98925 87.334139)
- (xy 175.997591 87.352909)
- (xy 176.005711 87.376113)
- (xy 176.020884 87.419475)
- (xy 176.099289 87.525711)
- (xy 176.106881 87.531314)
- (xy 176.192969 87.594849)
- (xy 176.205525 87.604116)
- (xy 176.214437 87.607234)
- (xy 176.214438 87.607235)
- (xy 176.252615 87.620594)
- (xy 176.310307 87.661972)
- (xy 176.336469 87.727973)
- (xy 176.337 87.739523)
- (xy 176.337 88.400933)
- (xy 176.340064 88.410364)
- (xy 176.340065 88.410368)
- (xy 176.343797 88.421853)
- (xy 176.348413 88.441078)
- (xy 176.351854 88.462804)
- (xy 176.356355 88.471637)
- (xy 176.356356 88.471641)
- (xy 176.361841 88.482406)
- (xy 176.369405 88.500666)
- (xy 176.376204 88.52159)
- (xy 176.389139 88.539393)
- (xy 176.399465 88.556245)
- (xy 176.40945 88.575842)
- (xy 176.432013 88.598405)
- (xy 176.432016 88.598409)
- (xy 176.437595 88.603988)
- (xy 176.438852 88.60629)
- (xy 176.443718 88.6119)
- (xy 176.443721 88.611904)
- (xy 176.442442 88.612864)
- (xy 176.471621 88.6663)
- (xy 176.4745 88.693083)
- (xy 176.4745 89.294748)
- (xy 176.486133 89.353231)
- (xy 176.489176 89.357785)
- (xy 176.49625 89.423604)
- (xy 176.46447 89.48709)
- (xy 176.403411 89.523316)
- (xy 176.372253 89.527229)
- (xy 175.536789 89.527229)
- (xy 175.468668 89.507227)
- (xy 175.422175 89.453571)
- (xy 175.412071 89.383297)
- (xy 175.414576 89.374168)
- (xy 175.414263 89.374106)
- (xy 175.427793 89.306085)
- (xy 175.429 89.29383)
- (xy 175.429 89.143115)
- (xy 175.424525 89.127876)
- (xy 175.423135 89.126671)
- (xy 175.415452 89.125)
- (xy 173.939116 89.125)
- (xy 173.923877 89.129475)
- (xy 173.922672 89.130865)
- (xy 173.921001 89.138548)
- (xy 173.921001 89.293828)
- (xy 173.922209 89.306088)
- (xy 173.935737 89.374106)
- (xy 173.931968 89.374856)
- (xy 173.937212 89.423591)
- (xy 173.905438 89.48708)
- (xy 173.844382 89.523313)
- (xy 173.813212 89.527229)
- (xy 173.042867 89.527229)
- (xy 172.974746 89.507227)
- (xy 172.953772 89.490324)
- (xy 171.870333 88.406885)
- (xy 173.921 88.406885)
- (xy 173.925475 88.422124)
- (xy 173.926865 88.423329)
- (xy 173.934548 88.425)
- (xy 174.306885 88.425)
- (xy 174.322124 88.420525)
- (xy 174.323329 88.419135)
- (xy 174.325 88.411452)
- (xy 174.325 88.406885)
- (xy 175.025 88.406885)
- (xy 175.029475 88.422124)
- (xy 175.030865 88.423329)
- (xy 175.038548 88.425)
- (xy 175.410884 88.425)
- (xy 175.426123 88.420525)
- (xy 175.427328 88.419135)
- (xy 175.428999 88.411452)
- (xy 175.428999 88.256172)
- (xy 175.427791 88.243912)
- (xy 175.416685 88.188069)
- (xy 175.407367 88.165573)
- (xy 175.365017 88.102192)
- (xy 175.347808 88.084983)
- (xy 175.284425 88.042632)
- (xy 175.261934 88.033316)
- (xy 175.206085 88.022207)
- (xy 175.19383 88.021)
- (xy 175.043115 88.021)
- (xy 175.027876 88.025475)
- (xy 175.026671 88.026865)
- (xy 175.025 88.034548)
- (xy 175.025 88.406885)
- (xy 174.325 88.406885)
- (xy 174.325 88.039116)
- (xy 174.320525 88.023877)
- (xy 174.319135 88.022672)
- (xy 174.311452 88.021001)
- (xy 174.156172 88.021001)
- (xy 174.143912 88.022209)
- (xy 174.088069 88.033315)
- (xy 174.065573 88.042633)
- (xy 174.002192 88.084983)
- (xy 173.984983 88.102192)
- (xy 173.942632 88.165575)
- (xy 173.933316 88.188066)
- (xy 173.922207 88.243915)
- (xy 173.921 88.25617)
- (xy 173.921 88.406885)
- (xy 171.870333 88.406885)
- (xy 170.798426 87.334977)
- (xy 174.208607 87.334977)
- (xy 174.248536 87.442031)
- (xy 174.257085 87.457687)
- (xy 174.330985 87.556406)
- (xy 174.343594 87.569015)
- (xy 174.442313 87.642915)
- (xy 174.457969 87.651464)
- (xy 174.574567 87.694952)
- (xy 174.589786 87.698548)
- (xy 174.619487 87.701742)
- (xy 174.634099 87.699105)
- (xy 174.6375 87.686707)
- (xy 174.6375 87.343115)
- (xy 174.633025 87.327876)
- (xy 174.631635 87.326671)
- (xy 174.623952 87.325)
- (xy 174.223001 87.325)
- (xy 174.20947 87.328973)
- (xy 174.208607 87.334977)
- (xy 170.798426 87.334977)
- (xy 170.637405 87.173956)
- (xy 170.603379 87.111644)
- (xy 170.6005 87.084861)
- (xy 170.6005 86.867838)
- (xy 170.600888 86.857952)
- (xy 170.605061 86.80493)
- (xy 170.605449 86.8)
- (xy 170.585683 86.548852)
- (xy 170.583423 86.539436)
- (xy 170.5343 86.334824)
- (xy 170.526873 86.303889)
- (xy 170.524399 86.297916)
- (xy 170.432361 86.075715)
- (xy 170.432359 86.075711)
- (xy 170.430466 86.071141)
- (xy 170.298836 85.856341)
- (xy 170.295624 85.852581)
- (xy 170.295621 85.852576)
- (xy 170.138437 85.668538)
- (xy 170.135224 85.664776)
- (xy 170.092488 85.628276)
- (xy 169.947424 85.504379)
- (xy 169.947419 85.504376)
- (xy 169.943659 85.501164)
- (xy 169.728859 85.369534)
- (xy 169.724289 85.367641)
- (xy 169.724285 85.367639)
- (xy 169.500684 85.275021)
- (xy 169.500682 85.27502)
- (xy 169.496111 85.273127)
- (xy 169.411711 85.252865)
- (xy 169.255961 85.215472)
- (xy 169.255955 85.215471)
- (xy 169.251148 85.214317)
- (xy 169 85.194551)
- (xy 168.748852 85.214317)
- (xy 168.744045 85.215471)
- (xy 168.744039 85.215472)
- (xy 168.588289 85.252865)
- (xy 168.503889 85.273127)
- (xy 168.499318 85.27502)
- (xy 168.499316 85.275021)
- (xy 168.275715 85.367639)
- (xy 168.275711 85.367641)
- (xy 168.271141 85.369534)
- (xy 168.056341 85.501164)
- (xy 168.052581 85.504376)
- (xy 168.052576 85.504379)
- (xy 167.907512 85.628276)
- (xy 167.864776 85.664776)
- (xy 167.861563 85.668538)
- (xy 167.704379 85.852576)
- (xy 167.704376 85.852581)
- (xy 167.701164 85.856341)
- (xy 167.569534 86.071141)
- (xy 167.567641 86.075711)
- (xy 167.567639 86.075715)
- (xy 167.475601 86.297916)
- (xy 167.473127 86.303889)
- (xy 167.4657 86.334824)
- (xy 167.416578 86.539436)
- (xy 167.414317 86.548852)
+ (xy 167.32275 86.547808)
+ (xy 167.379586 86.590355)
+ (xy 167.404397 86.656875)
+ (xy 167.40433 86.675747)
(xy 167.394551 86.8)
(xy 167.394939 86.80493)
(xy 167.399112 86.857952)
@@ -17658,7 +16888,7 @@
(xy 167.414317 88.051148)
(xy 167.415471 88.055955)
(xy 167.415472 88.055961)
- (xy 167.450332 88.201164)
+ (xy 167.452865 88.211711)
(xy 167.473127 88.296111)
(xy 167.47502 88.300682)
(xy 167.475021 88.300684)
@@ -17990,45 +17220,12 @@
(xy 163.937405 93.021012)
(xy 163.903379 92.9587)
(xy 163.9005 92.931917)
- (xy 163.9005 89.268083)
- (xy 163.920502 89.199962)
- (xy 163.937405 89.178988)
- (xy 168.529467 84.586925)
- (xy 168.591779 84.552899)
- (xy 168.618562 84.55002)
- (xy 174.295313 84.55002)
- (xy 174.363434 84.570022)
- (xy 174.409927 84.623678)
- (xy 174.420031 84.693952)
- (xy 174.390537 84.758532)
- (xy 174.370821 84.776889)
- (xy 174.318593 84.815986)
- (xy 174.305985 84.828594)
- (xy 174.232085 84.927313)
- (xy 174.223536 84.942969)
- (xy 174.186217 85.043027)
- (xy 174.185211 85.057092)
- (xy 174.190536 85.06)
- (xy 175.626999 85.06)
- (xy 175.64053 85.056027)
- (xy 175.641393 85.050023)
- (xy 175.601464 84.942969)
- (xy 175.592915 84.927313)
- (xy 175.519015 84.828594)
- (xy 175.506406 84.815985)
- (xy 175.407687 84.742085)
- (xy 175.392031 84.733536)
- (xy 175.309213 84.702647)
- (xy 175.252377 84.6601)
- (xy 175.227566 84.59358)
- (xy 175.242657 84.524206)
- (xy 175.279182 84.482656)
- (xy 175.279985 84.482073)
- (xy 175.288822 84.47757)
- (xy 175.311385 84.455007)
- (xy 175.311389 84.455004)
- (xy 176.636244 83.130149)
- (xy 176.698555 83.096124)
+ (xy 163.9005 89.918083)
+ (xy 163.920502 89.849962)
+ (xy 163.937405 89.828988)
+ (xy 165.546893 88.2195)
+ (xy 167.189623 86.576769)
+ (xy 167.251935 86.542743)
)
)
(filled_polygon
@@ -18050,7 +17247,7 @@
(xy 198.598708 90.619228)
(xy 198.598425 90.621736)
(xy 198.59473 90.654535)
- (xy 198.596485 90.66381)
+ (xy 198.599128 90.67778)
(xy 198.60535 90.710666)
(xy 198.606139 90.71531)
(xy 198.60753 90.724536)
@@ -18207,247 +17404,12 @@
(filled_polygon
(layer "F.Cu")
(pts
- (xy 182.307538 77.170502)
- (xy 182.328512 77.187405)
- (xy 184.382595 79.241487)
- (xy 184.41662 79.303799)
- (xy 184.4195 79.330582)
- (xy 184.4195 79.690256)
- (xy 184.419775 79.693184)
- (xy 184.419775 79.693193)
- (xy 184.420595 79.701928)
- (xy 184.422275 79.719849)
- (xy 184.441688 79.775328)
- (xy 184.465884 79.844475)
- (xy 184.463647 79.845258)
- (xy 184.4745 79.889014)
- (xy 184.4745 80.449165)
- (xy 184.454498 80.517286)
- (xy 184.400842 80.563779)
- (xy 184.361065 80.5745)
- (xy 184.355252 80.5745)
- (xy 184.349184 80.575707)
- (xy 184.308939 80.583712)
- (xy 184.308938 80.583712)
- (xy 184.296769 80.586133)
- (xy 184.230448 80.630448)
- (xy 184.223557 80.640761)
- (xy 184.19339 80.685909)
- (xy 184.186133 80.696769)
- (xy 184.183712 80.708938)
- (xy 184.183712 80.708939)
- (xy 184.17805 80.737405)
- (xy 184.1745 80.755252)
- (xy 184.1745 81.794748)
- (xy 184.175707 81.800816)
- (xy 184.183079 81.837876)
- (xy 184.186133 81.853231)
- (xy 184.193026 81.863547)
- (xy 184.206978 81.884427)
- (xy 184.230448 81.919552)
- (xy 184.296769 81.963867)
- (xy 184.308938 81.966288)
- (xy 184.308939 81.966288)
- (xy 184.333046 81.971083)
- (xy 184.355252 81.9755)
- (xy 185.394748 81.9755)
- (xy 185.416954 81.971083)
- (xy 185.441061 81.966288)
- (xy 185.441062 81.966288)
- (xy 185.453231 81.963867)
- (xy 185.519552 81.919552)
- (xy 185.543022 81.884427)
- (xy 185.556974 81.863547)
- (xy 185.563867 81.853231)
- (xy 185.566922 81.837876)
- (xy 185.574293 81.800816)
- (xy 185.5755 81.794748)
- (xy 185.5755 81.793828)
- (xy 186.621001 81.793828)
- (xy 186.622209 81.806088)
- (xy 186.633315 81.861931)
- (xy 186.642633 81.884427)
- (xy 186.684983 81.947808)
- (xy 186.702192 81.965017)
- (xy 186.765575 82.007368)
- (xy 186.788066 82.016684)
- (xy 186.843915 82.027793)
- (xy 186.85617 82.029)
- (xy 187.006885 82.029)
- (xy 187.022124 82.024525)
- (xy 187.023329 82.023135)
- (xy 187.025 82.015452)
- (xy 187.025 82.010884)
- (xy 187.725 82.010884)
- (xy 187.729475 82.026123)
- (xy 187.730865 82.027328)
- (xy 187.738548 82.028999)
- (xy 187.893828 82.028999)
- (xy 187.906088 82.027791)
- (xy 187.961931 82.016685)
- (xy 187.984427 82.007367)
- (xy 188.047808 81.965017)
- (xy 188.065017 81.947808)
- (xy 188.107368 81.884425)
- (xy 188.116684 81.861934)
- (xy 188.127793 81.806085)
- (xy 188.129 81.79383)
- (xy 188.129 81.643115)
- (xy 188.124525 81.627876)
- (xy 188.123135 81.626671)
- (xy 188.115452 81.625)
- (xy 187.743115 81.625)
- (xy 187.727876 81.629475)
- (xy 187.726671 81.630865)
- (xy 187.725 81.638548)
- (xy 187.725 82.010884)
- (xy 187.025 82.010884)
- (xy 187.025 81.643115)
- (xy 187.020525 81.627876)
- (xy 187.019135 81.626671)
- (xy 187.011452 81.625)
- (xy 186.639116 81.625)
- (xy 186.623877 81.629475)
- (xy 186.622672 81.630865)
- (xy 186.621001 81.638548)
- (xy 186.621001 81.793828)
- (xy 185.5755 81.793828)
- (xy 185.5755 80.906885)
- (xy 186.621 80.906885)
- (xy 186.625475 80.922124)
- (xy 186.626865 80.923329)
- (xy 186.634548 80.925)
- (xy 187.006885 80.925)
- (xy 187.022124 80.920525)
- (xy 187.023329 80.919135)
- (xy 187.025 80.911452)
- (xy 187.025 80.906885)
- (xy 187.725 80.906885)
- (xy 187.729475 80.922124)
- (xy 187.730865 80.923329)
- (xy 187.738548 80.925)
- (xy 188.110884 80.925)
- (xy 188.126123 80.920525)
- (xy 188.127328 80.919135)
- (xy 188.128999 80.911452)
- (xy 188.128999 80.756172)
- (xy 188.127791 80.743912)
- (xy 188.116685 80.688069)
- (xy 188.107367 80.665573)
- (xy 188.065017 80.602192)
- (xy 188.047808 80.584983)
- (xy 187.984425 80.542632)
- (xy 187.961934 80.533316)
- (xy 187.906085 80.522207)
- (xy 187.89383 80.521)
- (xy 187.743115 80.521)
- (xy 187.727876 80.525475)
- (xy 187.726671 80.526865)
- (xy 187.725 80.534548)
- (xy 187.725 80.906885)
- (xy 187.025 80.906885)
- (xy 187.025 80.539116)
- (xy 187.020525 80.523877)
- (xy 187.019135 80.522672)
- (xy 187.011452 80.521001)
- (xy 186.856172 80.521001)
- (xy 186.843912 80.522209)
- (xy 186.788069 80.533315)
- (xy 186.765573 80.542633)
- (xy 186.702192 80.584983)
- (xy 186.684983 80.602192)
- (xy 186.642632 80.665575)
- (xy 186.633316 80.688066)
- (xy 186.622207 80.743915)
- (xy 186.621 80.75617)
- (xy 186.621 80.906885)
- (xy 185.5755 80.906885)
- (xy 185.5755 80.755252)
- (xy 185.57195 80.737405)
- (xy 185.566288 80.708939)
- (xy 185.566288 80.708938)
- (xy 185.563867 80.696769)
- (xy 185.556611 80.685909)
- (xy 185.526443 80.640761)
- (xy 185.519552 80.630448)
- (xy 185.453231 80.586133)
- (xy 185.441062 80.583712)
- (xy 185.441061 80.583712)
- (xy 185.400816 80.575707)
- (xy 185.394748 80.5745)
- (xy 185.389006 80.5745)
- (xy 185.323318 80.547976)
- (xy 185.282308 80.490021)
- (xy 185.2755 80.449165)
- (xy 185.2755 80.20053)
- (xy 185.295502 80.132409)
- (xy 185.349158 80.085916)
- (xy 185.389736 80.07508)
- (xy 185.392725 80.0748)
- (xy 185.414849 80.072725)
- (xy 185.539475 80.029116)
- (xy 185.645711 79.950711)
- (xy 185.724116 79.844475)
- (xy 185.730286 79.826843)
- (xy 185.771664 79.769151)
- (xy 185.837664 79.742989)
- (xy 185.907332 79.756662)
- (xy 185.961482 79.811255)
- (xy 185.984583 79.856593)
- (xy 185.996094 79.872436)
- (xy 186.072564 79.948906)
- (xy 186.088407 79.960417)
- (xy 186.184768 80.009515)
- (xy 186.203392 80.015567)
- (xy 186.283315 80.028225)
- (xy 186.293158 80.029)
- (xy 186.589385 80.029)
- (xy 186.604624 80.024525)
- (xy 186.605829 80.023135)
- (xy 186.6075 80.015452)
- (xy 186.6075 80.010884)
- (xy 187.2075 80.010884)
- (xy 187.211975 80.026123)
- (xy 187.213365 80.027328)
- (xy 187.221048 80.028999)
- (xy 187.52184 80.028999)
- (xy 187.531687 80.028224)
- (xy 187.611607 80.015567)
- (xy 187.630233 80.009515)
- (xy 187.726593 79.960417)
- (xy 187.742436 79.948906)
- (xy 187.818906 79.872436)
- (xy 187.830417 79.856593)
- (xy 187.863767 79.79114)
- (xy 187.86637 79.777282)
- (xy 187.863597 79.775328)
- (xy 187.861621 79.775)
- (xy 187.225615 79.775)
- (xy 187.210376 79.779475)
- (xy 187.209171 79.780865)
- (xy 187.2075 79.788548)
- (xy 187.2075 80.010884)
- (xy 186.6075 80.010884)
- (xy 186.6075 78.939116)
- (xy 186.603025 78.923877)
- (xy 186.601635 78.922672)
- (xy 186.593952 78.921001)
- (xy 186.432082 78.921001)
- (xy 186.363961 78.900999)
- (xy 186.317468 78.847343)
- (xy 186.307364 78.777069)
- (xy 186.336858 78.712489)
- (xy 186.342987 78.705906)
- (xy 186.936488 78.112405)
- (xy 186.9988 78.078379)
- (xy 187.025583 78.0755)
- (xy 187.331344 78.0755)
(xy 187.399465 78.095502)
(xy 187.445958 78.149158)
(xy 187.456019 78.219132)
(xy 187.455001 78.222203)
(xy 187.454302 78.229047)
- (xy 187.445127 78.318856)
+ (xy 187.445206 78.318082)
(xy 187.4445 78.324991)
(xy 187.444501 78.725008)
(xy 187.449577 78.774696)
@@ -18483,12 +17445,10 @@
(xy 188.416635 79.634012)
(xy 188.398115 79.651463)
(xy 188.371718 79.671718)
- (xy 188.366695 79.678264)
- (xy 188.357493 79.690256)
(xy 188.275464 79.797159)
(xy 188.214956 79.943238)
(xy 188.213878 79.951426)
- (xy 188.213083 79.957462)
+ (xy 188.212694 79.960417)
(xy 188.194318 80.1)
(xy 188.214956 80.256762)
(xy 188.275464 80.402841)
@@ -18573,11 +17533,17 @@
(xy 195.1595 86.561722)
(xy 195.139498 86.629843)
(xy 195.108321 86.663102)
+ (xy 195.091184 86.67575)
(xy 195.059289 86.699289)
+ (xy 195.053686 86.706881)
+ (xy 194.99651 86.784353)
(xy 194.980884 86.805525)
(xy 194.937275 86.930151)
(xy 194.9345 86.959744)
(xy 194.9345 87.565256)
+ (xy 194.934775 87.568184)
+ (xy 194.934775 87.568193)
+ (xy 194.935595 87.576928)
(xy 194.937275 87.594849)
(xy 194.980884 87.719475)
(xy 195.059289 87.825711)
@@ -18602,8 +17568,6 @@
(xy 195.308072 88.400595)
(xy 195.290151 88.402275)
(xy 195.165525 88.445884)
- (xy 195.157929 88.45149)
- (xy 195.134736 88.468607)
(xy 195.059289 88.524289)
(xy 194.980884 88.630525)
(xy 194.937275 88.755151)
@@ -18642,7 +17606,7 @@
(xy 196.650157 89.457772)
(xy 196.65274 89.4755)
(xy 196.659427 89.521393)
- (xy 196.67727 89.557891)
+ (xy 196.675902 89.555094)
(xy 196.686697 89.577175)
(xy 196.6995 89.632514)
(xy 196.6995 89.709816)
@@ -18674,14 +17638,10 @@
(xy 194.33861 89.779498)
(xy 194.32854 89.771382)
(xy 194.328282 89.771718)
- (xy 194.265027 89.723181)
+ (xy 194.280962 89.735408)
(xy 194.202841 89.675464)
(xy 194.056762 89.614956)
- (xy 194.008233 89.608567)
- (xy 193.908188 89.595396)
(xy 193.9 89.594318)
- (xy 193.891812 89.595396)
- (xy 193.791768 89.608567)
(xy 193.743238 89.614956)
(xy 193.597159 89.675464)
(xy 193.471718 89.771718)
@@ -18712,6 +17672,8 @@
(xy 185.987752 90.802841)
(xy 186.04826 90.656762)
(xy 186.068898 90.5)
+ (xy 186.052955 90.378899)
+ (xy 186.049338 90.351426)
(xy 186.04826 90.343238)
(xy 185.987752 90.197159)
(xy 185.891498 90.071718)
@@ -18721,197 +17683,679 @@
(xy 185.4636 89.891404)
(xy 185.409074 89.875394)
(xy 185.388099 89.858491)
+ (xy 185.188695 89.659086)
(xy 184.987404 89.457795)
(xy 184.953379 89.395483)
(xy 184.9505 89.3687)
- (xy 184.9505 86.868481)
- (xy 184.950499 86.868475)
- (xy 184.950499 86.836567)
- (xy 184.947436 86.82714)
- (xy 184.947435 86.827133)
+ (xy 184.9505 86.836567)
+ (xy 184.947436 86.827136)
+ (xy 184.947435 86.827132)
(xy 184.943703 86.815647)
- (xy 184.939089 86.796427)
- (xy 184.937199 86.784493)
- (xy 184.937197 86.784485)
+ (xy 184.939087 86.796422)
+ (xy 184.937197 86.784488)
+ (xy 184.937197 86.784487)
(xy 184.935646 86.774696)
- (xy 184.931146 86.765865)
- (xy 184.931145 86.765861)
- (xy 184.925658 86.755093)
- (xy 184.918094 86.736832)
- (xy 184.911296 86.71591)
- (xy 184.898368 86.698116)
- (xy 184.888038 86.681259)
- (xy 184.882552 86.670493)
- (xy 184.882551 86.670492)
+ (xy 184.931145 86.765863)
+ (xy 184.931144 86.765859)
+ (xy 184.925658 86.755092)
+ (xy 184.918092 86.736828)
+ (xy 184.91436 86.725342)
+ (xy 184.911296 86.715911)
+ (xy 184.898365 86.698113)
+ (xy 184.888037 86.681258)
+ (xy 184.882555 86.670499)
+ (xy 184.882554 86.670497)
(xy 184.87805 86.661658)
- (xy 184.290681 86.074289)
- (xy 183.787405 85.571012)
- (xy 183.753379 85.5087)
- (xy 183.7505 85.481917)
- (xy 183.7505 79.463229)
- (xy 183.747436 79.453798)
- (xy 183.747435 79.453794)
- (xy 183.743703 79.442309)
- (xy 183.739087 79.423084)
- (xy 183.737197 79.41115)
- (xy 183.737197 79.411149)
- (xy 183.735646 79.401358)
- (xy 183.731145 79.392525)
- (xy 183.731144 79.392521)
- (xy 183.725658 79.381754)
- (xy 183.718092 79.36349)
- (xy 183.71436 79.352004)
- (xy 183.711296 79.342573)
- (xy 183.698365 79.324775)
- (xy 183.688037 79.30792)
- (xy 183.682555 79.297161)
- (xy 183.682554 79.297159)
- (xy 183.67805 79.28832)
- (xy 183.655487 79.265757)
- (xy 183.655484 79.265753)
- (xy 182.784247 78.394516)
- (xy 182.784243 78.394513)
- (xy 182.76168 78.37195)
- (xy 182.742084 78.361965)
- (xy 182.725231 78.351639)
- (xy 182.707428 78.338704)
- (xy 182.686504 78.331905)
- (xy 182.668244 78.324341)
- (xy 182.657479 78.318856)
- (xy 182.657475 78.318855)
- (xy 182.648642 78.314354)
- (xy 182.638851 78.312803)
- (xy 182.63885 78.312803)
- (xy 182.626916 78.310913)
- (xy 182.607691 78.306297)
- (xy 182.596206 78.302565)
- (xy 182.596202 78.302564)
- (xy 182.586771 78.2995)
- (xy 182.096476 78.2995)
- (xy 182.028355 78.279498)
- (xy 182.025171 78.277114)
- (xy 182.015452 78.275)
- (xy 181.799826 78.275)
- (xy 181.796422 78.274833)
- (xy 181.794748 78.2745)
- (xy 180.305252 78.2745)
- (xy 180.303578 78.274833)
- (xy 180.300174 78.275)
- (xy 180.089116 78.275)
- (xy 180.073877 78.279475)
- (xy 180.072672 78.280865)
- (xy 180.071001 78.288548)
- (xy 180.071001 78.293828)
- (xy 180.072209 78.306088)
- (xy 180.083315 78.361931)
- (xy 180.092633 78.384426)
- (xy 180.103266 78.40034)
- (xy 180.1245 78.470341)
- (xy 180.1245 78.944748)
- (xy 180.135574 79.000421)
- (xy 180.135574 79.049579)
- (xy 180.1245 79.105252)
- (xy 180.1245 79.4735)
- (xy 180.104498 79.541621)
- (xy 180.050842 79.588114)
- (xy 179.9985 79.5995)
- (xy 179.919459 79.5995)
- (xy 179.919455 79.599501)
- (xy 179.887545 79.599501)
- (xy 179.878118 79.602564)
- (xy 179.878111 79.602565)
- (xy 179.866625 79.606297)
- (xy 179.847405 79.610911)
- (xy 179.835471 79.612801)
- (xy 179.835463 79.612803)
- (xy 179.825674 79.614354)
- (xy 179.816843 79.618854)
- (xy 179.816839 79.618855)
- (xy 179.806071 79.624342)
- (xy 179.78781 79.631906)
- (xy 179.766888 79.638704)
- (xy 179.751896 79.649597)
- (xy 179.749094 79.651632)
- (xy 179.732237 79.661962)
- (xy 179.721471 79.667448)
- (xy 179.72147 79.667449)
- (xy 179.712636 79.67195)
- (xy 179.690069 79.694517)
- (xy 179.416084 79.968501)
- (xy 179.353772 80.002527)
- (xy 179.282957 79.997462)
- (xy 179.226121 79.954915)
- (xy 179.20131 79.888395)
- (xy 179.200989 79.879406)
- (xy 179.200989 77.9265)
- (xy 179.220991 77.858379)
- (xy 179.274647 77.811886)
- (xy 179.326989 77.8005)
- (xy 180.003524 77.8005)
- (xy 180.071645 77.820502)
- (xy 180.074829 77.822886)
- (xy 180.084548 77.825)
- (xy 180.300174 77.825)
- (xy 180.303578 77.825167)
- (xy 180.305252 77.8255)
- (xy 181.794748 77.8255)
- (xy 181.796422 77.825167)
- (xy 181.799826 77.825)
- (xy 182.010884 77.825)
- (xy 182.026123 77.820525)
- (xy 182.027328 77.819135)
- (xy 182.028999 77.811452)
- (xy 182.028999 77.806172)
- (xy 182.027791 77.793912)
- (xy 182.016685 77.738069)
- (xy 182.007367 77.715574)
- (xy 181.996734 77.69966)
- (xy 181.9755 77.629659)
- (xy 181.9755 77.2765)
- (xy 181.995502 77.208379)
- (xy 182.049158 77.161886)
- (xy 182.1015 77.1505)
- (xy 182.239417 77.1505)
+ (xy 184.855487 86.639095)
+ (xy 184.855484 86.639091)
+ (xy 183.837405 85.621012)
+ (xy 183.803379 85.5587)
+ (xy 183.8005 85.531917)
+ (xy 183.8005 78.963582)
+ (xy 183.820502 78.895461)
+ (xy 183.874158 78.848968)
+ (xy 183.944432 78.838864)
+ (xy 184.009012 78.868358)
+ (xy 184.015595 78.874487)
+ (xy 184.382595 79.241487)
+ (xy 184.416621 79.303799)
+ (xy 184.4195 79.330582)
+ (xy 184.4195 79.690256)
+ (xy 184.422275 79.719849)
+ (xy 184.451504 79.803379)
+ (xy 184.465884 79.844475)
+ (xy 184.463647 79.845258)
+ (xy 184.4745 79.889014)
+ (xy 184.4745 80.449165)
+ (xy 184.454498 80.517286)
+ (xy 184.400842 80.563779)
+ (xy 184.361065 80.5745)
+ (xy 184.355252 80.5745)
+ (xy 184.349184 80.575707)
+ (xy 184.308939 80.583712)
+ (xy 184.308938 80.583712)
+ (xy 184.296769 80.586133)
+ (xy 184.230448 80.630448)
+ (xy 184.223557 80.640761)
+ (xy 184.193968 80.685044)
+ (xy 184.186133 80.696769)
+ (xy 184.183712 80.708938)
+ (xy 184.183712 80.708939)
+ (xy 184.178526 80.735012)
+ (xy 184.1745 80.755252)
+ (xy 184.1745 81.794748)
+ (xy 184.175707 81.800816)
+ (xy 184.183079 81.837876)
+ (xy 184.186133 81.853231)
+ (xy 184.193026 81.863547)
+ (xy 184.206978 81.884427)
+ (xy 184.230448 81.919552)
+ (xy 184.296769 81.963867)
+ (xy 184.308938 81.966288)
+ (xy 184.308939 81.966288)
+ (xy 184.349184 81.974293)
+ (xy 184.355252 81.9755)
+ (xy 184.360994 81.9755)
+ (xy 184.426682 82.002024)
+ (xy 184.467692 82.059979)
+ (xy 184.4745 82.100835)
+ (xy 184.4745 82.638712)
+ (xy 184.453265 82.708715)
+ (xy 184.411133 82.771769)
+ (xy 184.408712 82.783938)
+ (xy 184.408712 82.783939)
+ (xy 184.405294 82.801123)
+ (xy 184.3995 82.830252)
+ (xy 184.3995 84.369748)
+ (xy 184.400707 84.375816)
+ (xy 184.404201 84.393379)
+ (xy 184.411133 84.428231)
+ (xy 184.455448 84.494552)
+ (xy 184.521769 84.538867)
+ (xy 184.533938 84.541288)
+ (xy 184.533939 84.541288)
+ (xy 184.569634 84.548388)
+ (xy 184.580252 84.5505)
+ (xy 186.119748 84.5505)
+ (xy 186.130366 84.548388)
+ (xy 186.166061 84.541288)
+ (xy 186.166062 84.541288)
+ (xy 186.178231 84.538867)
+ (xy 186.244552 84.494552)
+ (xy 186.288867 84.428231)
+ (xy 186.2958 84.393379)
+ (xy 186.299293 84.375816)
+ (xy 186.3005 84.369748)
+ (xy 186.3005 82.830252)
+ (xy 186.294706 82.801123)
+ (xy 186.291288 82.783939)
+ (xy 186.291288 82.783938)
+ (xy 186.288867 82.771769)
+ (xy 186.244552 82.705448)
+ (xy 186.178231 82.661133)
+ (xy 186.166062 82.658712)
+ (xy 186.166061 82.658712)
+ (xy 186.125816 82.650707)
+ (xy 186.119748 82.6495)
+ (xy 185.4015 82.6495)
+ (xy 185.333379 82.629498)
+ (xy 185.286886 82.575842)
+ (xy 185.2755 82.5235)
+ (xy 185.2755 82.100835)
+ (xy 185.295502 82.032714)
+ (xy 185.349158 81.986221)
+ (xy 185.388935 81.9755)
+ (xy 185.394748 81.9755)
+ (xy 185.400816 81.974293)
+ (xy 185.441061 81.966288)
+ (xy 185.441062 81.966288)
+ (xy 185.453231 81.963867)
+ (xy 185.519552 81.919552)
+ (xy 185.543022 81.884427)
+ (xy 185.556974 81.863547)
+ (xy 185.563867 81.853231)
+ (xy 185.566922 81.837876)
+ (xy 185.574293 81.800816)
+ (xy 185.5755 81.794748)
+ (xy 185.5755 81.793828)
+ (xy 186.621001 81.793828)
+ (xy 186.622209 81.806088)
+ (xy 186.633315 81.861931)
+ (xy 186.642633 81.884427)
+ (xy 186.684983 81.947808)
+ (xy 186.702192 81.965017)
+ (xy 186.765575 82.007368)
+ (xy 186.788066 82.016684)
+ (xy 186.843915 82.027793)
+ (xy 186.85617 82.029)
+ (xy 187.006885 82.029)
+ (xy 187.022124 82.024525)
+ (xy 187.023329 82.023135)
+ (xy 187.025 82.015452)
+ (xy 187.025 82.010884)
+ (xy 187.725 82.010884)
+ (xy 187.729475 82.026123)
+ (xy 187.730865 82.027328)
+ (xy 187.738548 82.028999)
+ (xy 187.893828 82.028999)
+ (xy 187.906088 82.027791)
+ (xy 187.961931 82.016685)
+ (xy 187.984427 82.007367)
+ (xy 188.047808 81.965017)
+ (xy 188.065017 81.947808)
+ (xy 188.107368 81.884425)
+ (xy 188.116684 81.861934)
+ (xy 188.127793 81.806085)
+ (xy 188.129 81.79383)
+ (xy 188.129 81.643115)
+ (xy 188.124525 81.627876)
+ (xy 188.123135 81.626671)
+ (xy 188.115452 81.625)
+ (xy 187.743115 81.625)
+ (xy 187.727876 81.629475)
+ (xy 187.726671 81.630865)
+ (xy 187.725 81.638548)
+ (xy 187.725 82.010884)
+ (xy 187.025 82.010884)
+ (xy 187.025 81.643115)
+ (xy 187.020525 81.627876)
+ (xy 187.019135 81.626671)
+ (xy 187.011452 81.625)
+ (xy 186.639116 81.625)
+ (xy 186.623877 81.629475)
+ (xy 186.622672 81.630865)
+ (xy 186.621001 81.638548)
+ (xy 186.621001 81.793828)
+ (xy 185.5755 81.793828)
+ (xy 185.5755 80.906885)
+ (xy 186.621 80.906885)
+ (xy 186.625475 80.922124)
+ (xy 186.626865 80.923329)
+ (xy 186.634548 80.925)
+ (xy 187.006885 80.925)
+ (xy 187.022124 80.920525)
+ (xy 187.023329 80.919135)
+ (xy 187.025 80.911452)
+ (xy 187.025 80.906885)
+ (xy 187.725 80.906885)
+ (xy 187.729475 80.922124)
+ (xy 187.730865 80.923329)
+ (xy 187.738548 80.925)
+ (xy 188.110884 80.925)
+ (xy 188.126123 80.920525)
+ (xy 188.127328 80.919135)
+ (xy 188.128999 80.911452)
+ (xy 188.128999 80.756172)
+ (xy 188.127791 80.743912)
+ (xy 188.116685 80.688069)
+ (xy 188.107367 80.665573)
+ (xy 188.065017 80.602192)
+ (xy 188.047808 80.584983)
+ (xy 187.984425 80.542632)
+ (xy 187.961934 80.533316)
+ (xy 187.906085 80.522207)
+ (xy 187.89383 80.521)
+ (xy 187.743115 80.521)
+ (xy 187.727876 80.525475)
+ (xy 187.726671 80.526865)
+ (xy 187.725 80.534548)
+ (xy 187.725 80.906885)
+ (xy 187.025 80.906885)
+ (xy 187.025 80.539116)
+ (xy 187.020525 80.523877)
+ (xy 187.019135 80.522672)
+ (xy 187.011452 80.521001)
+ (xy 186.856172 80.521001)
+ (xy 186.843912 80.522209)
+ (xy 186.788069 80.533315)
+ (xy 186.765573 80.542633)
+ (xy 186.702192 80.584983)
+ (xy 186.684983 80.602192)
+ (xy 186.642632 80.665575)
+ (xy 186.633316 80.688066)
+ (xy 186.622207 80.743915)
+ (xy 186.621 80.75617)
+ (xy 186.621 80.906885)
+ (xy 185.5755 80.906885)
+ (xy 185.5755 80.755252)
+ (xy 185.571474 80.735012)
+ (xy 185.566288 80.708939)
+ (xy 185.566288 80.708938)
+ (xy 185.563867 80.696769)
+ (xy 185.556033 80.685044)
+ (xy 185.526443 80.640761)
+ (xy 185.519552 80.630448)
+ (xy 185.453231 80.586133)
+ (xy 185.441062 80.583712)
+ (xy 185.441061 80.583712)
+ (xy 185.400816 80.575707)
+ (xy 185.394748 80.5745)
+ (xy 185.389006 80.5745)
+ (xy 185.323318 80.547976)
+ (xy 185.282308 80.490021)
+ (xy 185.2755 80.449165)
+ (xy 185.2755 80.20053)
+ (xy 185.295502 80.132409)
+ (xy 185.349158 80.085916)
+ (xy 185.389736 80.07508)
+ (xy 185.392725 80.0748)
+ (xy 185.414849 80.072725)
+ (xy 185.539475 80.029116)
+ (xy 185.645711 79.950711)
+ (xy 185.724116 79.844475)
+ (xy 185.730286 79.826843)
+ (xy 185.771664 79.769151)
+ (xy 185.837664 79.742989)
+ (xy 185.907332 79.756662)
+ (xy 185.961482 79.811255)
+ (xy 185.984583 79.856593)
+ (xy 185.996094 79.872436)
+ (xy 186.072564 79.948906)
+ (xy 186.088407 79.960417)
+ (xy 186.184768 80.009515)
+ (xy 186.203392 80.015567)
+ (xy 186.283315 80.028225)
+ (xy 186.293158 80.029)
+ (xy 186.589385 80.029)
+ (xy 186.604624 80.024525)
+ (xy 186.605829 80.023135)
+ (xy 186.6075 80.015452)
+ (xy 186.6075 80.010884)
+ (xy 187.2075 80.010884)
+ (xy 187.211975 80.026123)
+ (xy 187.213365 80.027328)
+ (xy 187.221048 80.028999)
+ (xy 187.52184 80.028999)
+ (xy 187.531687 80.028224)
+ (xy 187.611607 80.015567)
+ (xy 187.630233 80.009515)
+ (xy 187.726593 79.960417)
+ (xy 187.742436 79.948906)
+ (xy 187.818906 79.872436)
+ (xy 187.830417 79.856593)
+ (xy 187.863767 79.79114)
+ (xy 187.86637 79.777282)
+ (xy 187.863597 79.775328)
+ (xy 187.861621 79.775)
+ (xy 187.225615 79.775)
+ (xy 187.210376 79.779475)
+ (xy 187.209171 79.780865)
+ (xy 187.2075 79.788548)
+ (xy 187.2075 80.010884)
+ (xy 186.6075 80.010884)
+ (xy 186.6075 78.939116)
+ (xy 186.603025 78.923877)
+ (xy 186.601635 78.922672)
+ (xy 186.593952 78.921001)
+ (xy 186.432082 78.921001)
+ (xy 186.363961 78.900999)
+ (xy 186.317468 78.847343)
+ (xy 186.307364 78.777069)
+ (xy 186.336858 78.712489)
+ (xy 186.342987 78.705906)
+ (xy 186.936488 78.112405)
+ (xy 186.9988 78.078379)
+ (xy 187.025583 78.0755)
+ (xy 187.331344 78.0755)
)
)
(filled_polygon
(layer "F.Cu")
(pts
- (xy 179.971512 81.887381)
- (xy 179.978095 81.89351)
- (xy 181.713066 83.62848)
- (xy 181.747091 83.690792)
- (xy 181.749971 83.717575)
- (xy 181.749971 86.313904)
- (xy 181.753035 86.323335)
- (xy 181.753036 86.323339)
- (xy 181.756768 86.334824)
- (xy 181.761384 86.354049)
- (xy 181.764825 86.375775)
- (xy 181.769326 86.384608)
- (xy 181.769327 86.384612)
- (xy 181.774812 86.395377)
- (xy 181.782376 86.413637)
- (xy 181.789175 86.434561)
- (xy 181.80211 86.452364)
- (xy 181.812436 86.469216)
- (xy 181.822421 86.488813)
- (xy 181.829434 86.495826)
- (xy 181.832059 86.499439)
- (xy 181.855918 86.566307)
- (xy 181.839837 86.635458)
- (xy 181.788923 86.684939)
- (xy 181.730123 86.6995)
- (xy 178.9765 86.6995)
- (xy 178.908379 86.679498)
- (xy 178.861886 86.625842)
- (xy 178.8505 86.5735)
- (xy 178.8505 84.322443)
- (xy 178.870502 84.254322)
- (xy 178.924158 84.207829)
- (xy 178.994432 84.197725)
- (xy 179.018113 84.203513)
- (xy 179.022915 84.205193)
+ (xy 176.767532 83.403027)
+ (xy 176.824368 83.445574)
+ (xy 176.849179 83.512094)
+ (xy 176.8495 83.521083)
+ (xy 176.8495 83.825256)
+ (xy 176.849775 83.828184)
+ (xy 176.849775 83.828193)
+ (xy 176.850492 83.835831)
+ (xy 176.852275 83.854849)
+ (xy 176.895884 83.979475)
+ (xy 176.974289 84.085711)
+ (xy 177.00049 84.105048)
+ (xy 177.080525 84.164116)
+ (xy 177.079175 84.165945)
+ (xy 177.120756 84.206411)
+ (xy 177.137 84.268295)
+ (xy 177.137 84.391917)
+ (xy 177.116998 84.460038)
+ (xy 177.100095 84.481012)
+ (xy 176.883512 84.697595)
+ (xy 176.8212 84.731621)
+ (xy 176.794417 84.7345)
+ (xy 176.434744 84.7345)
+ (xy 176.431816 84.734775)
+ (xy 176.431807 84.734775)
+ (xy 176.423072 84.735595)
+ (xy 176.405151 84.737275)
+ (xy 176.280525 84.780884)
+ (xy 176.174289 84.859289)
+ (xy 176.168686 84.866881)
+ (xy 176.110662 84.945502)
+ (xy 176.095884 84.965525)
+ (xy 176.052275 85.090151)
+ (xy 176.0495 85.119744)
+ (xy 176.0495 85.700256)
+ (xy 176.052275 85.729849)
+ (xy 176.095884 85.854475)
+ (xy 176.174289 85.960711)
+ (xy 176.181881 85.966314)
+ (xy 176.280525 86.039116)
+ (xy 176.279175 86.040945)
+ (xy 176.320756 86.081411)
+ (xy 176.337 86.143295)
+ (xy 176.337 86.210477)
+ (xy 176.316998 86.278598)
+ (xy 176.263342 86.325091)
+ (xy 176.252615 86.329406)
+ (xy 176.214438 86.342765)
+ (xy 176.205525 86.345884)
+ (xy 176.099289 86.424289)
+ (xy 176.020884 86.530525)
+ (xy 176.017766 86.539436)
+ (xy 176.017765 86.539438)
+ (xy 175.997591 86.597091)
+ (xy 175.956213 86.654783)
+ (xy 175.890212 86.680945)
+ (xy 175.820545 86.667272)
+ (xy 175.769329 86.618104)
+ (xy 175.760606 86.599509)
+ (xy 175.726463 86.507967)
+ (xy 175.717915 86.492313)
+ (xy 175.644015 86.393594)
+ (xy 175.631406 86.380985)
+ (xy 175.532687 86.307085)
+ (xy 175.517033 86.298537)
+ (xy 175.463544 86.278587)
+ (xy 175.406709 86.23604)
+ (xy 175.381898 86.16952)
+ (xy 175.396989 86.100146)
+ (xy 175.432069 86.059662)
+ (xy 175.506407 86.004014)
+ (xy 175.519015 85.991406)
+ (xy 175.592915 85.892687)
+ (xy 175.601464 85.877031)
+ (xy 175.638783 85.776973)
+ (xy 175.639789 85.762908)
+ (xy 175.634464 85.76)
+ (xy 174.198001 85.76)
+ (xy 174.18447 85.763973)
+ (xy 174.183607 85.769977)
+ (xy 174.223536 85.877031)
+ (xy 174.232085 85.892687)
+ (xy 174.305985 85.991406)
+ (xy 174.318594 86.004015)
+ (xy 174.417313 86.077915)
+ (xy 174.437137 86.08874)
+ (xy 174.487338 86.138943)
+ (xy 174.502428 86.208317)
+ (xy 174.477616 86.274837)
+ (xy 174.448387 86.300162)
+ (xy 174.449527 86.301685)
+ (xy 174.343594 86.380985)
+ (xy 174.330985 86.393594)
+ (xy 174.257085 86.492313)
+ (xy 174.248536 86.507969)
+ (xy 174.211217 86.608027)
+ (xy 174.210211 86.622092)
+ (xy 174.215536 86.625)
+ (xy 175.2115 86.625)
+ (xy 175.279621 86.645002)
+ (xy 175.326114 86.698658)
+ (xy 175.3375 86.751)
+ (xy 175.3375 87.685563)
+ (xy 175.341683 87.699808)
+ (xy 175.354373 87.701864)
+ (xy 175.385214 87.698548)
+ (xy 175.400433 87.694952)
+ (xy 175.517031 87.651464)
+ (xy 175.532687 87.642915)
+ (xy 175.631406 87.569015)
+ (xy 175.644015 87.556406)
+ (xy 175.717915 87.457687)
+ (xy 175.726463 87.442033)
+ (xy 175.760606 87.350491)
+ (xy 175.803153 87.293656)
+ (xy 175.869673 87.268845)
+ (xy 175.939047 87.283936)
+ (xy 175.98925 87.334139)
+ (xy 175.997591 87.352909)
+ (xy 176.017377 87.409452)
+ (xy 176.020884 87.419475)
+ (xy 176.099289 87.525711)
+ (xy 176.106881 87.531314)
+ (xy 176.192969 87.594849)
+ (xy 176.205525 87.604116)
+ (xy 176.214437 87.607234)
+ (xy 176.214438 87.607235)
+ (xy 176.252615 87.620594)
+ (xy 176.310307 87.661972)
+ (xy 176.336469 87.727973)
+ (xy 176.337 87.739523)
+ (xy 176.337 88.400933)
+ (xy 176.340064 88.410364)
+ (xy 176.340065 88.410368)
+ (xy 176.343797 88.421853)
+ (xy 176.348413 88.441078)
+ (xy 176.351854 88.462804)
+ (xy 176.356355 88.471637)
+ (xy 176.356356 88.471641)
+ (xy 176.361841 88.482406)
+ (xy 176.369405 88.500666)
+ (xy 176.376204 88.52159)
+ (xy 176.389139 88.539393)
+ (xy 176.399465 88.556245)
+ (xy 176.40945 88.575842)
+ (xy 176.432013 88.598405)
+ (xy 176.432016 88.598409)
+ (xy 176.437595 88.603988)
+ (xy 176.438852 88.60629)
+ (xy 176.443718 88.6119)
+ (xy 176.443721 88.611904)
+ (xy 176.442442 88.612864)
+ (xy 176.471621 88.6663)
+ (xy 176.4745 88.693083)
+ (xy 176.4745 89.294748)
+ (xy 176.486133 89.353231)
+ (xy 176.489176 89.357785)
+ (xy 176.49625 89.423604)
+ (xy 176.46447 89.48709)
+ (xy 176.403411 89.523316)
+ (xy 176.372253 89.527229)
+ (xy 175.536789 89.527229)
+ (xy 175.468668 89.507227)
+ (xy 175.422175 89.453571)
+ (xy 175.412071 89.383297)
+ (xy 175.414576 89.374168)
+ (xy 175.414263 89.374106)
+ (xy 175.427793 89.306085)
+ (xy 175.429 89.29383)
+ (xy 175.429 89.143115)
+ (xy 175.424525 89.127876)
+ (xy 175.423135 89.126671)
+ (xy 175.415452 89.125)
+ (xy 173.939116 89.125)
+ (xy 173.923877 89.129475)
+ (xy 173.922672 89.130865)
+ (xy 173.921001 89.138548)
+ (xy 173.921001 89.293828)
+ (xy 173.922209 89.306088)
+ (xy 173.935737 89.374106)
+ (xy 173.931968 89.374856)
+ (xy 173.937212 89.423591)
+ (xy 173.905438 89.48708)
+ (xy 173.844382 89.523313)
+ (xy 173.813212 89.527229)
+ (xy 173.042867 89.527229)
+ (xy 172.974746 89.507227)
+ (xy 172.953772 89.490324)
+ (xy 171.870333 88.406885)
+ (xy 173.921 88.406885)
+ (xy 173.925475 88.422124)
+ (xy 173.926865 88.423329)
+ (xy 173.934548 88.425)
+ (xy 174.306885 88.425)
+ (xy 174.322124 88.420525)
+ (xy 174.323329 88.419135)
+ (xy 174.325 88.411452)
+ (xy 174.325 88.406885)
+ (xy 175.025 88.406885)
+ (xy 175.029475 88.422124)
+ (xy 175.030865 88.423329)
+ (xy 175.038548 88.425)
+ (xy 175.410884 88.425)
+ (xy 175.426123 88.420525)
+ (xy 175.427328 88.419135)
+ (xy 175.428999 88.411452)
+ (xy 175.428999 88.256172)
+ (xy 175.427791 88.243912)
+ (xy 175.416685 88.188069)
+ (xy 175.407367 88.165573)
+ (xy 175.365017 88.102192)
+ (xy 175.347808 88.084983)
+ (xy 175.284425 88.042632)
+ (xy 175.261934 88.033316)
+ (xy 175.206085 88.022207)
+ (xy 175.19383 88.021)
+ (xy 175.043115 88.021)
+ (xy 175.027876 88.025475)
+ (xy 175.026671 88.026865)
+ (xy 175.025 88.034548)
+ (xy 175.025 88.406885)
+ (xy 174.325 88.406885)
+ (xy 174.325 88.039116)
+ (xy 174.320525 88.023877)
+ (xy 174.319135 88.022672)
+ (xy 174.311452 88.021001)
+ (xy 174.156172 88.021001)
+ (xy 174.143912 88.022209)
+ (xy 174.088069 88.033315)
+ (xy 174.065573 88.042633)
+ (xy 174.002192 88.084983)
+ (xy 173.984983 88.102192)
+ (xy 173.942632 88.165575)
+ (xy 173.933316 88.188066)
+ (xy 173.922207 88.243915)
+ (xy 173.921 88.25617)
+ (xy 173.921 88.406885)
+ (xy 171.870333 88.406885)
+ (xy 170.798426 87.334977)
+ (xy 174.208607 87.334977)
+ (xy 174.248536 87.442031)
+ (xy 174.257085 87.457687)
+ (xy 174.330985 87.556406)
+ (xy 174.343594 87.569015)
+ (xy 174.442313 87.642915)
+ (xy 174.457969 87.651464)
+ (xy 174.574567 87.694952)
+ (xy 174.589786 87.698548)
+ (xy 174.619487 87.701742)
+ (xy 174.634099 87.699105)
+ (xy 174.6375 87.686707)
+ (xy 174.6375 87.343115)
+ (xy 174.633025 87.327876)
+ (xy 174.631635 87.326671)
+ (xy 174.623952 87.325)
+ (xy 174.223001 87.325)
+ (xy 174.20947 87.328973)
+ (xy 174.208607 87.334977)
+ (xy 170.798426 87.334977)
+ (xy 170.637405 87.173956)
+ (xy 170.603379 87.111644)
+ (xy 170.6005 87.084861)
+ (xy 170.6005 86.867838)
+ (xy 170.600888 86.857952)
+ (xy 170.605061 86.80493)
+ (xy 170.605449 86.8)
+ (xy 170.585683 86.548852)
+ (xy 170.584217 86.542743)
+ (xy 170.53499 86.337698)
+ (xy 170.526873 86.303889)
+ (xy 170.524399 86.297916)
+ (xy 170.432361 86.075715)
+ (xy 170.432359 86.075711)
+ (xy 170.430466 86.071141)
+ (xy 170.298836 85.856341)
+ (xy 170.295624 85.852581)
+ (xy 170.295621 85.852576)
+ (xy 170.138437 85.668538)
+ (xy 170.135224 85.664776)
+ (xy 170.092488 85.628276)
+ (xy 169.947424 85.504379)
+ (xy 169.947419 85.504376)
+ (xy 169.943659 85.501164)
+ (xy 169.728859 85.369534)
+ (xy 169.724289 85.367641)
+ (xy 169.724285 85.367639)
+ (xy 169.500684 85.275021)
+ (xy 169.500682 85.27502)
+ (xy 169.496111 85.273127)
+ (xy 169.411711 85.252865)
+ (xy 169.255961 85.215472)
+ (xy 169.255955 85.215471)
+ (xy 169.251148 85.214317)
+ (xy 169 85.194551)
+ (xy 168.875749 85.20433)
+ (xy 168.80627 85.189734)
+ (xy 168.755711 85.139892)
+ (xy 168.740124 85.070627)
+ (xy 168.764459 85.003931)
+ (xy 168.776769 84.989623)
+ (xy 169.028987 84.737405)
+ (xy 169.091299 84.703379)
+ (xy 169.118082 84.7005)
+ (xy 174.15016 84.7005)
+ (xy 174.218281 84.720502)
+ (xy 174.264774 84.774158)
+ (xy 174.274878 84.844432)
+ (xy 174.251028 84.90201)
+ (xy 174.232083 84.927317)
+ (xy 174.223536 84.942969)
+ (xy 174.186217 85.043027)
+ (xy 174.185211 85.057092)
+ (xy 174.190536 85.06)
+ (xy 175.626999 85.06)
+ (xy 175.64053 85.056027)
+ (xy 175.641393 85.050023)
+ (xy 175.601464 84.942969)
+ (xy 175.592915 84.927313)
+ (xy 175.519015 84.828594)
+ (xy 175.506406 84.815985)
+ (xy 175.476411 84.793531)
+ (xy 175.433864 84.736696)
+ (xy 175.428799 84.66588)
+ (xy 175.462825 84.603568)
+ (xy 176.634405 83.431988)
+ (xy 176.696717 83.397962)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 178.146512 82.006262)
+ (xy 178.165935 82.027424)
+ (xy 178.167448 82.029507)
+ (xy 178.17195 82.038342)
+ (xy 178.194503 82.060895)
+ (xy 178.194516 82.060909)
+ (xy 178.577367 82.443759)
+ (xy 178.879073 82.745465)
+ (xy 178.913098 82.807778)
+ (xy 178.908034 82.878593)
+ (xy 178.864799 82.935941)
+ (xy 178.799289 82.984289)
+ (xy 178.720884 83.090525)
+ (xy 178.677275 83.215151)
+ (xy 178.6745 83.244744)
+ (xy 178.6745 83.825256)
+ (xy 178.674775 83.828184)
+ (xy 178.674775 83.828193)
+ (xy 178.675492 83.835831)
+ (xy 178.677275 83.854849)
+ (xy 178.720884 83.979475)
+ (xy 178.799289 84.085711)
+ (xy 178.905525 84.164116)
(xy 179.030151 84.207725)
(xy 179.048072 84.209405)
(xy 179.056807 84.210225)
@@ -18924,26 +18368,206 @@
(xy 179.694849 84.207725)
(xy 179.819475 84.164116)
(xy 179.925711 84.085711)
- (xy 180.004116 83.979475)
- (xy 180.047725 83.854849)
- (xy 180.049508 83.835831)
- (xy 180.050225 83.828193)
- (xy 180.050225 83.828184)
- (xy 180.0505 83.825256)
- (xy 180.0505 83.244744)
- (xy 180.050225 83.241805)
- (xy 180.04844 83.222781)
- (xy 180.047725 83.215151)
- (xy 180.004116 83.090525)
- (xy 179.925711 82.984289)
- (xy 179.819475 82.905884)
- (xy 179.820825 82.904055)
- (xy 179.779244 82.863589)
- (xy 179.763 82.801705)
- (xy 179.763 81.982605)
- (xy 179.783002 81.914484)
- (xy 179.836658 81.867991)
- (xy 179.906932 81.857887)
+ (xy 179.972121 84.022827)
+ (xy 180.028665 83.979896)
+ (xy 180.099444 83.974349)
+ (xy 180.161987 84.007949)
+ (xy 180.196435 84.070028)
+ (xy 180.1995 84.097649)
+ (xy 180.1995 84.281917)
+ (xy 180.179498 84.350038)
+ (xy 180.162595 84.371013)
+ (xy 179.521011 85.012596)
+ (xy 179.458699 85.046621)
+ (xy 179.431916 85.0495)
+ (xy 178.330252 85.0495)
+ (xy 178.324184 85.050707)
+ (xy 178.283939 85.058712)
+ (xy 178.283938 85.058712)
+ (xy 178.271769 85.061133)
+ (xy 178.205448 85.105448)
+ (xy 178.161133 85.171769)
+ (xy 178.158712 85.183938)
+ (xy 178.158712 85.183939)
+ (xy 178.154238 85.206432)
+ (xy 178.1495 85.230252)
+ (xy 178.1495 86.769748)
+ (xy 178.150707 86.775816)
+ (xy 178.155518 86.8)
+ (xy 178.161133 86.828231)
+ (xy 178.168026 86.838547)
+ (xy 178.180992 86.857952)
+ (xy 178.205448 86.894552)
+ (xy 178.215761 86.901443)
+ (xy 178.257754 86.929502)
+ (xy 178.271769 86.938867)
+ (xy 178.283938 86.941288)
+ (xy 178.283939 86.941288)
+ (xy 178.304406 86.945359)
+ (xy 178.330252 86.9505)
+ (xy 179.869748 86.9505)
+ (xy 179.895594 86.945359)
+ (xy 179.916061 86.941288)
+ (xy 179.916062 86.941288)
+ (xy 179.928231 86.938867)
+ (xy 179.942247 86.929502)
+ (xy 179.984239 86.901443)
+ (xy 179.994552 86.894552)
+ (xy 180.019008 86.857952)
+ (xy 180.031974 86.838547)
+ (xy 180.038867 86.828231)
+ (xy 180.044483 86.8)
+ (xy 180.049293 86.775816)
+ (xy 180.0505 86.769748)
+ (xy 180.0505 85.668084)
+ (xy 180.070502 85.599963)
+ (xy 180.087404 85.578989)
+ (xy 180.884404 84.781988)
+ (xy 180.946717 84.747963)
+ (xy 181.017532 84.753027)
+ (xy 181.074368 84.795574)
+ (xy 181.099179 84.862094)
+ (xy 181.0995 84.871083)
+ (xy 181.0995 86.763433)
+ (xy 181.102564 86.772864)
+ (xy 181.102565 86.772868)
+ (xy 181.106297 86.784353)
+ (xy 181.110913 86.803578)
+ (xy 181.114354 86.825304)
+ (xy 181.118855 86.834137)
+ (xy 181.118856 86.834141)
+ (xy 181.124341 86.844906)
+ (xy 181.131905 86.863166)
+ (xy 181.138704 86.88409)
+ (xy 181.151639 86.901893)
+ (xy 181.161965 86.918745)
+ (xy 181.17195 86.938342)
+ (xy 181.194513 86.960905)
+ (xy 181.194516 86.960909)
+ (xy 181.493012 87.259405)
+ (xy 181.527038 87.321717)
+ (xy 181.521973 87.392532)
+ (xy 181.479426 87.449368)
+ (xy 181.412906 87.474179)
+ (xy 181.403917 87.4745)
+ (xy 180.868433 87.474501)
+ (xy 180.68964 87.474501)
+ (xy 180.687534 87.474644)
+ (xy 180.687527 87.474644)
+ (xy 180.654228 87.476901)
+ (xy 180.654223 87.476902)
+ (xy 180.648703 87.477276)
+ (xy 180.471815 87.521266)
+ (xy 180.308519 87.602253)
+ (xy 180.166451 87.716451)
+ (xy 180.052253 87.858519)
+ (xy 180.04922 87.864634)
+ (xy 180.049219 87.864636)
+ (xy 180.027315 87.908802)
+ (xy 179.979128 87.960942)
+ (xy 179.910402 87.978753)
+ (xy 179.839614 87.954197)
+ (xy 179.802075 87.926492)
+ (xy 179.80207 87.926489)
+ (xy 179.794475 87.920884)
+ (xy 179.669849 87.877275)
+ (xy 179.651928 87.875595)
+ (xy 179.643193 87.874775)
+ (xy 179.643184 87.874775)
+ (xy 179.640256 87.8745)
+ (xy 178.959744 87.8745)
+ (xy 178.956816 87.874775)
+ (xy 178.956807 87.874775)
+ (xy 178.948072 87.875595)
+ (xy 178.930151 87.877275)
+ (xy 178.869466 87.89851)
+ (xy 178.814435 87.917766)
+ (xy 178.814434 87.917767)
+ (xy 178.805525 87.920884)
+ (xy 178.79793 87.92649)
+ (xy 178.789581 87.930902)
+ (xy 178.788617 87.929078)
+ (xy 178.733429 87.949237)
+ (xy 178.725291 87.9495)
+ (xy 177.264 87.9495)
+ (xy 177.195879 87.929498)
+ (xy 177.149386 87.875842)
+ (xy 177.138 87.8235)
+ (xy 177.138 87.721508)
+ (xy 177.158002 87.653387)
+ (xy 177.205124 87.61011)
+ (xy 177.210568 87.607233)
+ (xy 177.219475 87.604116)
+ (xy 177.325711 87.525711)
+ (xy 177.404116 87.419475)
+ (xy 177.447725 87.294849)
+ (xy 177.449543 87.275464)
+ (xy 177.450225 87.268193)
+ (xy 177.450225 87.268184)
+ (xy 177.4505 87.265256)
+ (xy 177.4505 86.684744)
+ (xy 177.447725 86.655151)
+ (xy 177.404116 86.530525)
+ (xy 177.325711 86.424289)
+ (xy 177.219475 86.345884)
+ (xy 177.210568 86.342767)
+ (xy 177.205124 86.33989)
+ (xy 177.154244 86.290375)
+ (xy 177.138 86.228492)
+ (xy 177.138 86.143295)
+ (xy 177.158002 86.075174)
+ (xy 177.195601 86.040642)
+ (xy 177.194475 86.039116)
+ (xy 177.293119 85.966314)
+ (xy 177.300711 85.960711)
+ (xy 177.379116 85.854475)
+ (xy 177.422725 85.729849)
+ (xy 177.4255 85.700256)
+ (xy 177.4255 85.340583)
+ (xy 177.445502 85.272462)
+ (xy 177.462405 85.251488)
+ (xy 177.842984 84.870909)
+ (xy 177.842987 84.870905)
+ (xy 177.86555 84.848342)
+ (xy 177.870055 84.839501)
+ (xy 177.875537 84.828742)
+ (xy 177.885865 84.811887)
+ (xy 177.892967 84.802112)
+ (xy 177.898796 84.794089)
+ (xy 177.905594 84.773168)
+ (xy 177.913158 84.754908)
+ (xy 177.918644 84.744141)
+ (xy 177.918645 84.744137)
+ (xy 177.923146 84.735304)
+ (xy 177.926587 84.713578)
+ (xy 177.931203 84.694353)
+ (xy 177.934935 84.682868)
+ (xy 177.934936 84.682864)
+ (xy 177.938 84.673433)
+ (xy 177.938 84.268295)
+ (xy 177.958002 84.200174)
+ (xy 177.995601 84.165642)
+ (xy 177.994475 84.164116)
+ (xy 178.07451 84.105048)
+ (xy 178.100711 84.085711)
+ (xy 178.179116 83.979475)
+ (xy 178.222725 83.854849)
+ (xy 178.224508 83.835831)
+ (xy 178.225225 83.828193)
+ (xy 178.225225 83.828184)
+ (xy 178.2255 83.825256)
+ (xy 178.2255 83.244744)
+ (xy 178.222725 83.215151)
+ (xy 178.179116 83.090525)
+ (xy 178.100711 82.984289)
+ (xy 177.994475 82.905884)
+ (xy 177.995825 82.904055)
+ (xy 177.954244 82.863589)
+ (xy 177.938 82.801705)
+ (xy 177.938 82.101486)
+ (xy 177.958002 82.033365)
+ (xy 178.011658 81.986872)
+ (xy 178.081932 81.976768)
)
)
(filled_polygon
@@ -19025,9 +18649,8 @@
(xy 200.2295 86.261722)
(xy 200.209498 86.329843)
(xy 200.178321 86.363102)
- (xy 200.16115 86.375775)
(xy 200.129289 86.399289)
- (xy 200.103258 86.434561)
+ (xy 200.114974 86.418686)
(xy 200.083877 86.460821)
(xy 200.027332 86.503753)
(xy 199.982497 86.512)
@@ -19101,58 +18724,43 @@
(filled_polygon
(layer "F.Cu")
(pts
- (xy 173.539499 79.918869)
- (xy 173.540608 79.919509)
- (xy 173.547159 79.924536)
- (xy 173.693238 79.985044)
- (xy 173.85 80.005682)
- (xy 173.858188 80.004604)
- (xy 173.998574 79.986122)
- (xy 174.006762 79.985044)
- (xy 174.01439 79.981884)
- (xy 174.014395 79.981883)
- (xy 174.050281 79.967018)
- (xy 174.120871 79.959428)
- (xy 174.184358 79.991207)
- (xy 174.220586 80.052264)
- (xy 174.2245 80.083426)
- (xy 174.2245 80.244748)
- (xy 174.235574 80.300421)
- (xy 174.235574 80.349579)
- (xy 174.2245 80.405252)
- (xy 174.2245 80.894748)
- (xy 174.225707 80.900816)
- (xy 174.233374 80.939359)
- (xy 174.236133 80.953231)
- (xy 174.280448 81.019552)
- (xy 174.346769 81.063867)
- (xy 174.358938 81.066288)
- (xy 174.358939 81.066288)
- (xy 174.389798 81.072426)
- (xy 174.405252 81.0755)
- (xy 175.894748 81.0755)
- (xy 175.899922 81.074471)
- (xy 175.969365 81.087641)
- (xy 176.020892 81.136482)
- (xy 176.03796 81.199805)
- (xy 176.03796 81.799138)
- (xy 176.017958 81.867259)
- (xy 176.001055 81.888233)
- (xy 174.676693 83.212595)
- (xy 174.614381 83.246621)
- (xy 174.587598 83.2495)
- (xy 172.409337 83.2495)
- (xy 172.341216 83.229498)
- (xy 172.294723 83.175842)
- (xy 172.284619 83.105568)
- (xy 172.314113 83.040988)
- (xy 172.348188 83.013333)
- (xy 172.413547 82.977056)
- (xy 172.44021 82.962257)
- (xy 172.440212 82.962255)
+ (xy 174.246097 80.735012)
+ (xy 174.29025 80.790609)
+ (xy 174.299501 80.837996)
+ (xy 174.299501 80.869864)
+ (xy 174.302415 80.894991)
+ (xy 174.306242 80.903658)
+ (xy 174.306243 80.903662)
+ (xy 174.337597 80.974671)
+ (xy 174.347794 80.997765)
+ (xy 174.427235 81.077206)
+ (xy 174.530009 81.122585)
+ (xy 174.544467 81.124262)
+ (xy 174.55153 81.125082)
+ (xy 174.551534 81.125082)
+ (xy 174.555135 81.1255)
+ (xy 175.4735 81.1255)
+ (xy 175.541621 81.145502)
+ (xy 175.588114 81.199158)
+ (xy 175.5995 81.2515)
+ (xy 175.5995 82.281917)
+ (xy 175.579498 82.350038)
+ (xy 175.562595 82.371013)
+ (xy 174.671011 83.262596)
+ (xy 174.608699 83.296621)
+ (xy 174.581916 83.2995)
+ (xy 172.313516 83.2995)
+ (xy 172.245395 83.279498)
+ (xy 172.198902 83.225842)
+ (xy 172.188798 83.155568)
+ (xy 172.218292 83.090988)
+ (xy 172.265834 83.059811)
+ (xy 172.264802 83.057405)
+ (xy 172.270668 83.054888)
+ (xy 172.276768 83.052974)
(xy 172.445791 82.959159)
(xy 172.592468 82.83324)
- (xy 172.596381 82.828185)
+ (xy 172.599478 82.824184)
(xy 172.706882 82.68543)
(xy 172.706884 82.685427)
(xy 172.710796 82.680373)
@@ -19223,191 +18831,687 @@
(xy 174.15 81.806885)
(xy 173.45 81.806885)
(xy 173.45 81.564116)
- (xy 173.445525 81.548877)
- (xy 173.444135 81.547672)
- (xy 173.436452 81.546001)
- (xy 173.181172 81.546001)
- (xy 173.168912 81.547209)
- (xy 173.113069 81.558315)
- (xy 173.090573 81.567633)
- (xy 173.027192 81.609983)
- (xy 173.009983 81.627192)
- (xy 172.967632 81.690574)
- (xy 172.961752 81.704771)
- (xy 172.917204 81.760052)
- (xy 172.849841 81.782473)
- (xy 172.781049 81.764915)
- (xy 172.738414 81.723202)
- (xy 172.688495 81.643115)
- (xy 172.655509 81.590193)
- (xy 172.651103 81.585558)
- (xy 172.6511 81.585554)
- (xy 172.585176 81.516201)
- (xy 172.55274 81.453047)
- (xy 172.5505 81.429392)
- (xy 172.5505 81.1015)
- (xy 172.570502 81.033379)
- (xy 172.624158 80.986886)
- (xy 172.6765 80.9755)
- (xy 172.863433 80.9755)
- (xy 172.872864 80.972436)
- (xy 172.872868 80.972435)
- (xy 172.884353 80.968703)
- (xy 172.903578 80.964087)
- (xy 172.915512 80.962197)
- (xy 172.915513 80.962197)
- (xy 172.925304 80.960646)
- (xy 172.934137 80.956145)
- (xy 172.934141 80.956144)
- (xy 172.944906 80.950659)
- (xy 172.963166 80.943095)
- (xy 172.98409 80.936296)
- (xy 173.001893 80.923361)
- (xy 173.018745 80.913035)
- (xy 173.029502 80.907554)
- (xy 173.038342 80.90305)
- (xy 173.060905 80.880487)
- (xy 173.060909 80.880484)
- (xy 173.255484 80.685909)
- (xy 173.255487 80.685905)
- (xy 173.27805 80.663342)
- (xy 173.288035 80.643745)
- (xy 173.298361 80.626893)
- (xy 173.311296 80.60909)
- (xy 173.318095 80.588166)
- (xy 173.325659 80.569906)
- (xy 173.331144 80.559141)
- (xy 173.331145 80.559137)
- (xy 173.335646 80.550304)
- (xy 173.338142 80.534548)
- (xy 173.339087 80.528578)
- (xy 173.343703 80.509353)
- (xy 173.347435 80.497868)
- (xy 173.347436 80.497864)
- (xy 173.3505 80.488433)
- (xy 173.3505 80.027989)
- (xy 173.370502 79.959868)
- (xy 173.424158 79.913375)
- (xy 173.494432 79.903271)
+ (xy 173.436434 81.517916)
+ (xy 173.431529 81.510283)
+ (xy 173.431529 81.439286)
+ (xy 173.469912 81.37956)
+ (xy 173.495223 81.362517)
+ (xy 173.512862 81.35353)
+ (xy 173.535425 81.330967)
+ (xy 173.535429 81.330964)
+ (xy 174.005484 80.860909)
+ (xy 174.005487 80.860905)
+ (xy 174.02805 80.838342)
+ (xy 174.032552 80.829507)
+ (xy 174.038037 80.818743)
+ (xy 174.048366 80.801887)
+ (xy 174.055467 80.792114)
+ (xy 174.055468 80.792112)
+ (xy 174.061297 80.784089)
+ (xy 174.062962 80.778966)
+ (xy 174.10998 80.72918)
+ (xy 174.178895 80.712113)
)
)
(filled_polygon
(layer "F.Cu")
(pts
- (xy 174.541105 71.502497)
- (xy 175.416658 72.37805)
- (xy 175.425492 72.382551)
- (xy 175.425493 72.382552)
- (xy 175.436259 72.388038)
- (xy 175.453116 72.398368)
- (xy 175.454333 72.399252)
- (xy 175.47091 72.411296)
- (xy 175.491832 72.418094)
- (xy 175.510093 72.425658)
- (xy 175.520861 72.431145)
- (xy 175.520865 72.431146)
- (xy 175.529696 72.435646)
- (xy 175.539485 72.437197)
- (xy 175.539493 72.437199)
- (xy 175.551427 72.439089)
- (xy 175.570647 72.443703)
- (xy 175.582133 72.447435)
- (xy 175.58214 72.447436)
- (xy 175.591567 72.450499)
- (xy 175.623477 72.450499)
- (xy 175.623481 72.4505)
- (xy 178.181917 72.4505)
- (xy 178.250038 72.470502)
- (xy 178.271012 72.487405)
- (xy 179.362595 73.578988)
- (xy 179.396621 73.6413)
- (xy 179.3995 73.668083)
- (xy 179.3995 75.362455)
- (xy 179.402564 75.371886)
- (xy 179.402565 75.37189)
- (xy 179.406297 75.383375)
- (xy 179.410913 75.4026)
- (xy 179.414354 75.424326)
- (xy 179.418855 75.433159)
- (xy 179.418856 75.433163)
- (xy 179.424341 75.443928)
- (xy 179.431905 75.462188)
- (xy 179.438704 75.483112)
- (xy 179.451639 75.500915)
- (xy 179.461965 75.517767)
- (xy 179.47195 75.537364)
- (xy 179.494503 75.559917)
- (xy 179.494516 75.559931)
- (xy 179.622928 75.688342)
- (xy 179.670001 75.735415)
- (xy 179.704026 75.797727)
- (xy 179.698962 75.868543)
- (xy 179.670001 75.913606)
- (xy 179.420512 76.163095)
- (xy 179.3582 76.197121)
- (xy 179.331417 76.2)
- (xy 177.779081 76.2)
- (xy 177.71096 76.179998)
- (xy 177.70089 76.171882)
- (xy 177.700632 76.172218)
- (xy 177.581742 76.080991)
- (xy 177.575191 76.075964)
- (xy 177.429112 76.015456)
- (xy 177.27235 75.994818)
- (xy 177.115588 76.015456)
- (xy 177.107959 76.018616)
- (xy 177.047568 76.043631)
- (xy 176.976979 76.05122)
- (xy 176.913492 76.019441)
- (xy 176.877264 75.958383)
- (xy 176.87335 75.927222)
- (xy 176.87335 74.310395)
- (xy 176.870286 74.300964)
- (xy 176.870285 74.30096)
- (xy 176.866553 74.289475)
- (xy 176.861937 74.27025)
- (xy 176.860047 74.258316)
- (xy 176.860047 74.258315)
- (xy 176.858496 74.248524)
- (xy 176.853995 74.239691)
- (xy 176.853994 74.239687)
- (xy 176.848508 74.22892)
- (xy 176.840942 74.210656)
- (xy 176.837356 74.199617)
- (xy 176.834146 74.189739)
- (xy 176.821215 74.171941)
- (xy 176.810887 74.155086)
- (xy 176.805405 74.144327)
- (xy 176.805404 74.144325)
- (xy 176.8009 74.135486)
- (xy 176.778337 74.112923)
- (xy 176.778334 74.112919)
- (xy 174.509931 71.844516)
- (xy 174.509927 71.844513)
- (xy 174.487364 71.82195)
- (xy 174.467768 71.811965)
- (xy 174.450915 71.801639)
- (xy 174.433112 71.788704)
- (xy 174.412188 71.781905)
- (xy 174.393928 71.774341)
- (xy 174.383163 71.768856)
- (xy 174.383159 71.768855)
- (xy 174.374326 71.764354)
- (xy 174.364535 71.762803)
- (xy 174.364534 71.762803)
- (xy 174.3526 71.760913)
- (xy 174.333375 71.756297)
- (xy 174.32189 71.752565)
- (xy 174.321886 71.752564)
- (xy 174.312455 71.7495)
- (xy 174.31105 71.7495)
- (xy 174.251169 71.721113)
- (xy 174.213642 71.660844)
- (xy 174.214656 71.589855)
- (xy 174.253889 71.530683)
- (xy 174.298458 71.506501)
- (xy 174.415435 71.471017)
- (xy 174.486428 71.470384)
+ (xy 177.009012 74.675859)
+ (xy 177.015595 74.681988)
+ (xy 177.462595 75.128988)
+ (xy 177.496621 75.1913)
+ (xy 177.4995 75.218083)
+ (xy 177.4995 75.563433)
+ (xy 177.502564 75.572864)
+ (xy 177.502565 75.572868)
+ (xy 177.506297 75.584353)
+ (xy 177.510913 75.603578)
+ (xy 177.514354 75.625304)
+ (xy 177.518855 75.634137)
+ (xy 177.518856 75.634141)
+ (xy 177.524341 75.644906)
+ (xy 177.531905 75.663166)
+ (xy 177.538704 75.68409)
+ (xy 177.551639 75.701893)
+ (xy 177.561965 75.718745)
+ (xy 177.57195 75.738342)
+ (xy 177.594513 75.760905)
+ (xy 177.594516 75.760909)
+ (xy 178.964091 77.130484)
+ (xy 178.964095 77.130487)
+ (xy 178.986658 77.15305)
+ (xy 178.995498 77.157554)
+ (xy 179.006255 77.163035)
+ (xy 179.023107 77.173361)
+ (xy 179.04091 77.186296)
+ (xy 179.061834 77.193095)
+ (xy 179.080094 77.200659)
+ (xy 179.090859 77.206144)
+ (xy 179.090863 77.206145)
+ (xy 179.099696 77.210646)
+ (xy 179.109487 77.212197)
+ (xy 179.109488 77.212197)
+ (xy 179.121422 77.214087)
+ (xy 179.140647 77.218703)
+ (xy 179.152132 77.222435)
+ (xy 179.152136 77.222436)
+ (xy 179.161567 77.2255)
+ (xy 179.8985 77.2255)
+ (xy 179.966621 77.245502)
+ (xy 180.013114 77.299158)
+ (xy 180.0245 77.3515)
+ (xy 180.024501 77.619864)
+ (xy 180.02492 77.623475)
+ (xy 180.02492 77.623479)
+ (xy 180.026256 77.635001)
+ (xy 180.027415 77.644991)
+ (xy 180.031242 77.653658)
+ (xy 180.031243 77.653662)
+ (xy 180.072794 77.747765)
+ (xy 180.069108 77.749393)
+ (xy 180.084394 77.796271)
+ (xy 180.070062 77.851029)
+ (xy 180.072794 77.852235)
+ (xy 180.043005 77.919702)
+ (xy 180.027415 77.955009)
+ (xy 180.0245 77.980135)
+ (xy 180.024501 78.269864)
+ (xy 180.027415 78.294991)
+ (xy 180.031242 78.303658)
+ (xy 180.031243 78.303662)
+ (xy 180.072794 78.397765)
+ (xy 180.069108 78.399393)
+ (xy 180.084394 78.446271)
+ (xy 180.070062 78.501029)
+ (xy 180.072794 78.502235)
+ (xy 180.027415 78.605009)
+ (xy 180.0245 78.630135)
+ (xy 180.024501 78.774696)
+ (xy 180.024501 78.8985)
+ (xy 180.004499 78.96662)
+ (xy 179.950844 79.013113)
+ (xy 179.898501 79.0245)
+ (xy 179.811567 79.0245)
+ (xy 179.802136 79.027564)
+ (xy 179.802132 79.027565)
+ (xy 179.790647 79.031297)
+ (xy 179.771422 79.035913)
+ (xy 179.759488 79.037803)
+ (xy 179.759487 79.037803)
+ (xy 179.749696 79.039354)
+ (xy 179.740863 79.043855)
+ (xy 179.740859 79.043856)
+ (xy 179.730092 79.049342)
+ (xy 179.711832 79.056906)
+ (xy 179.690911 79.063704)
+ (xy 179.682888 79.069533)
+ (xy 179.673113 79.076635)
+ (xy 179.656258 79.086963)
+ (xy 179.645499 79.092445)
+ (xy 179.645497 79.092446)
+ (xy 179.636658 79.09695)
+ (xy 179.614095 79.119513)
+ (xy 179.614091 79.119516)
+ (xy 179.294516 79.439091)
+ (xy 179.294513 79.439095)
+ (xy 179.27195 79.461658)
+ (xy 179.267446 79.470498)
+ (xy 179.261965 79.481255)
+ (xy 179.251639 79.498107)
+ (xy 179.238704 79.51591)
+ (xy 179.231907 79.53683)
+ (xy 179.224341 79.555094)
+ (xy 179.218856 79.565859)
+ (xy 179.218855 79.565863)
+ (xy 179.214354 79.574696)
+ (xy 179.212803 79.584487)
+ (xy 179.212803 79.584488)
+ (xy 179.210913 79.596422)
+ (xy 179.206297 79.615647)
+ (xy 179.202565 79.627132)
+ (xy 179.202564 79.627136)
+ (xy 179.1995 79.636567)
+ (xy 179.1995 81.163433)
+ (xy 179.202564 81.172864)
+ (xy 179.202565 81.172868)
+ (xy 179.206297 81.184353)
+ (xy 179.210913 81.203578)
+ (xy 179.214354 81.225304)
+ (xy 179.218855 81.234137)
+ (xy 179.218856 81.234141)
+ (xy 179.224341 81.244906)
+ (xy 179.231905 81.263166)
+ (xy 179.238704 81.28409)
+ (xy 179.251639 81.301893)
+ (xy 179.261965 81.318745)
+ (xy 179.27195 81.338342)
+ (xy 179.294513 81.360905)
+ (xy 179.294516 81.360909)
+ (xy 180.162595 82.228988)
+ (xy 180.196621 82.2913)
+ (xy 180.1995 82.318083)
+ (xy 180.1995 82.972351)
+ (xy 180.179498 83.040472)
+ (xy 180.125842 83.086965)
+ (xy 180.055568 83.097069)
+ (xy 179.990988 83.067575)
+ (xy 179.97212 83.047172)
+ (xy 179.967176 83.040472)
+ (xy 179.925711 82.984289)
+ (xy 179.819475 82.905884)
+ (xy 179.820825 82.904055)
+ (xy 179.779244 82.863589)
+ (xy 179.763 82.801705)
+ (xy 179.763 82.630981)
+ (xy 179.762999 82.630975)
+ (xy 179.762999 82.599067)
+ (xy 179.759936 82.58964)
+ (xy 179.759935 82.589633)
+ (xy 179.756203 82.578147)
+ (xy 179.751589 82.558927)
+ (xy 179.749699 82.546993)
+ (xy 179.749697 82.546985)
+ (xy 179.748146 82.537196)
+ (xy 179.743646 82.528365)
+ (xy 179.743645 82.528361)
+ (xy 179.738158 82.517593)
+ (xy 179.730594 82.499332)
+ (xy 179.723796 82.47841)
+ (xy 179.710868 82.460616)
+ (xy 179.700538 82.443759)
+ (xy 179.695052 82.432993)
+ (xy 179.695051 82.432992)
+ (xy 179.69055 82.424158)
+ (xy 179.272607 82.006215)
+ (xy 178.937405 81.671012)
+ (xy 178.903379 81.6087)
+ (xy 178.9005 81.581917)
+ (xy 178.9005 78.068481)
+ (xy 178.900499 78.068475)
+ (xy 178.900499 78.036567)
+ (xy 178.897436 78.02714)
+ (xy 178.897435 78.027133)
+ (xy 178.893703 78.015647)
+ (xy 178.889089 77.996427)
+ (xy 178.887199 77.984493)
+ (xy 178.887197 77.984485)
+ (xy 178.885646 77.974696)
+ (xy 178.881146 77.965865)
+ (xy 178.881145 77.965861)
+ (xy 178.875658 77.955093)
+ (xy 178.868094 77.936832)
+ (xy 178.861296 77.91591)
+ (xy 178.848368 77.898116)
+ (xy 178.838038 77.881259)
+ (xy 178.832552 77.870493)
+ (xy 178.832551 77.870492)
+ (xy 178.82805 77.861658)
+ (xy 178.738342 77.77195)
+ (xy 177.485909 76.519516)
+ (xy 177.485905 76.519513)
+ (xy 177.463342 76.49695)
+ (xy 177.443746 76.486965)
+ (xy 177.426893 76.476639)
+ (xy 177.40909 76.463704)
+ (xy 177.388166 76.456905)
+ (xy 177.369906 76.449341)
+ (xy 177.359141 76.443856)
+ (xy 177.359137 76.443855)
+ (xy 177.350304 76.439354)
+ (xy 177.340513 76.437803)
+ (xy 177.340512 76.437803)
+ (xy 177.328578 76.435913)
+ (xy 177.309353 76.431297)
+ (xy 177.297868 76.427565)
+ (xy 177.297864 76.427564)
+ (xy 177.288433 76.4245)
+ (xy 176.3015 76.4245)
+ (xy 176.233379 76.404498)
+ (xy 176.186886 76.350842)
+ (xy 176.1755 76.2985)
+ (xy 176.175499 76.033774)
+ (xy 176.175499 76.030136)
+ (xy 176.174312 76.019901)
+ (xy 176.186336 75.94993)
+ (xy 176.234281 75.897568)
+ (xy 176.242271 75.893124)
+ (xy 176.249655 75.889362)
+ (xy 176.25909 75.886296)
+ (xy 176.276893 75.873361)
+ (xy 176.293745 75.863035)
+ (xy 176.304502 75.857554)
+ (xy 176.313342 75.85305)
+ (xy 176.335895 75.830497)
+ (xy 176.33591 75.830483)
+ (xy 176.705483 75.460909)
+ (xy 176.72805 75.438342)
+ (xy 176.73409 75.426488)
+ (xy 176.738038 75.418741)
+ (xy 176.748368 75.401884)
+ (xy 176.755465 75.392115)
+ (xy 176.761296 75.38409)
+ (xy 176.768094 75.363168)
+ (xy 176.775658 75.344907)
+ (xy 176.781145 75.334139)
+ (xy 176.781146 75.334135)
+ (xy 176.785646 75.325304)
+ (xy 176.787197 75.315515)
+ (xy 176.787199 75.315507)
+ (xy 176.789089 75.303573)
+ (xy 176.793703 75.284353)
+ (xy 176.797435 75.272867)
+ (xy 176.797436 75.27286)
+ (xy 176.800499 75.263433)
+ (xy 176.800499 75.231525)
+ (xy 176.8005 75.231519)
+ (xy 176.8005 74.771083)
+ (xy 176.820502 74.702962)
+ (xy 176.874158 74.656469)
+ (xy 176.944432 74.646365)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 169.592532 74.878027)
+ (xy 169.649368 74.920574)
+ (xy 169.674179 74.987094)
+ (xy 169.6745 74.996083)
+ (xy 169.6745 75.490256)
+ (xy 169.677275 75.519849)
+ (xy 169.720884 75.644475)
+ (xy 169.799289 75.750711)
+ (xy 169.905525 75.829116)
+ (xy 170.030151 75.872725)
+ (xy 170.048072 75.874405)
+ (xy 170.056807 75.875225)
+ (xy 170.056816 75.875225)
+ (xy 170.059744 75.8755)
+ (xy 170.640256 75.8755)
+ (xy 170.643184 75.875225)
+ (xy 170.643193 75.875225)
+ (xy 170.651928 75.874405)
+ (xy 170.669849 75.872725)
+ (xy 170.794475 75.829116)
+ (xy 170.900711 75.750711)
+ (xy 170.979116 75.644475)
+ (xy 170.983514 75.631907)
+ (xy 170.986846 75.622385)
+ (xy 171.028224 75.564693)
+ (xy 171.094225 75.538531)
+ (xy 171.105775 75.538)
+ (xy 171.244225 75.538)
+ (xy 171.312346 75.558002)
+ (xy 171.358839 75.611658)
+ (xy 171.363154 75.622385)
+ (xy 171.366486 75.631907)
+ (xy 171.370884 75.644475)
+ (xy 171.449289 75.750711)
+ (xy 171.555525 75.829116)
+ (xy 171.564437 75.832234)
+ (xy 171.564438 75.832235)
+ (xy 171.622091 75.852409)
+ (xy 171.679783 75.893787)
+ (xy 171.705945 75.959788)
+ (xy 171.692272 76.029455)
+ (xy 171.643104 76.080671)
+ (xy 171.624509 76.089394)
+ (xy 171.532967 76.123537)
+ (xy 171.517313 76.132085)
+ (xy 171.418594 76.205985)
+ (xy 171.405985 76.218594)
+ (xy 171.332085 76.317313)
+ (xy 171.323536 76.332969)
+ (xy 171.280048 76.449566)
+ (xy 171.276451 76.464787)
+ (xy 171.27136 76.512143)
+ (xy 171.27132 76.51289)
+ (xy 171.271262 76.513054)
+ (xy 171.271 76.515492)
+ (xy 171.270424 76.51543)
+ (xy 171.247701 76.579843)
+ (xy 171.191634 76.623397)
+ (xy 171.12092 76.629725)
+ (xy 171.05801 76.596817)
+ (xy 171.023115 76.53431)
+ (xy 171.022725 76.530151)
+ (xy 170.979116 76.405525)
+ (xy 170.970596 76.39398)
+ (xy 170.929309 76.338038)
+ (xy 170.900711 76.299289)
+ (xy 170.826628 76.244614)
+ (xy 170.802071 76.22649)
+ (xy 170.794475 76.220884)
+ (xy 170.669849 76.177275)
+ (xy 170.651928 76.175595)
+ (xy 170.643193 76.174775)
+ (xy 170.643184 76.174775)
+ (xy 170.640256 76.1745)
+ (xy 170.059744 76.1745)
+ (xy 170.056816 76.174775)
+ (xy 170.056807 76.174775)
+ (xy 170.048072 76.175595)
+ (xy 170.030151 76.177275)
+ (xy 169.905525 76.220884)
+ (xy 169.897929 76.22649)
+ (xy 169.873372 76.244614)
+ (xy 169.799289 76.299289)
+ (xy 169.770691 76.338038)
+ (xy 169.729405 76.39398)
+ (xy 169.720884 76.405525)
+ (xy 169.677275 76.530151)
+ (xy 169.6745 76.559744)
+ (xy 169.6745 77.240256)
+ (xy 169.677275 77.269849)
+ (xy 169.720884 77.394475)
+ (xy 169.799289 77.500711)
+ (xy 169.883929 77.563177)
+ (xy 169.900428 77.575354)
+ (xy 169.94336 77.631899)
+ (xy 169.9495 77.654939)
+ (xy 169.9495 77.663433)
+ (xy 169.952565 77.672865)
+ (xy 169.952565 77.672867)
+ (xy 169.956297 77.684353)
+ (xy 169.960913 77.703578)
+ (xy 169.962374 77.7128)
+ (xy 169.964354 77.725304)
+ (xy 169.968855 77.734137)
+ (xy 169.968856 77.734141)
+ (xy 169.974341 77.744906)
+ (xy 169.981905 77.763166)
+ (xy 169.988704 77.78409)
+ (xy 170.001639 77.801893)
+ (xy 170.011965 77.818745)
+ (xy 170.02195 77.838342)
+ (xy 170.044503 77.860895)
+ (xy 170.044516 77.860909)
+ (xy 170.54695 78.363342)
+ (xy 170.636658 78.45305)
+ (xy 170.645494 78.457552)
+ (xy 170.645495 78.457553)
+ (xy 170.656259 78.463038)
+ (xy 170.673116 78.473368)
+ (xy 170.682885 78.480466)
+ (xy 170.682888 78.480467)
+ (xy 170.69091 78.486296)
+ (xy 170.711834 78.493095)
+ (xy 170.730094 78.500659)
+ (xy 170.740859 78.506144)
+ (xy 170.740863 78.506145)
+ (xy 170.749696 78.510646)
+ (xy 170.759487 78.512197)
+ (xy 170.759488 78.512197)
+ (xy 170.771422 78.514087)
+ (xy 170.790647 78.518703)
+ (xy 170.802132 78.522435)
+ (xy 170.802136 78.522436)
+ (xy 170.811567 78.5255)
+ (xy 173.503917 78.5255)
+ (xy 173.572038 78.545502)
+ (xy 173.618531 78.599158)
+ (xy 173.628635 78.669432)
+ (xy 173.599141 78.734012)
+ (xy 173.593012 78.740595)
+ (xy 173.394516 78.939091)
+ (xy 173.394513 78.939095)
+ (xy 173.37195 78.961658)
+ (xy 173.367446 78.970498)
+ (xy 173.361965 78.981255)
+ (xy 173.351639 78.998107)
+ (xy 173.338704 79.01591)
+ (xy 173.332709 79.034362)
+ (xy 173.331907 79.03683)
+ (xy 173.324341 79.055094)
+ (xy 173.318856 79.065859)
+ (xy 173.318855 79.065863)
+ (xy 173.314354 79.074696)
+ (xy 173.312803 79.084487)
+ (xy 173.312803 79.084488)
+ (xy 173.310913 79.096422)
+ (xy 173.306297 79.115647)
+ (xy 173.302565 79.127132)
+ (xy 173.302564 79.127136)
+ (xy 173.2995 79.136567)
+ (xy 173.2995 80.381917)
+ (xy 173.279498 80.450038)
+ (xy 173.262595 80.471012)
+ (xy 173.145532 80.588075)
+ (xy 173.08322 80.622101)
+ (xy 173.056437 80.62498)
+ (xy 167.503327 80.62498)
+ (xy 167.493895 80.628045)
+ (xy 167.493893 80.628045)
+ (xy 167.482408 80.631777)
+ (xy 167.463182 80.636393)
+ (xy 167.441456 80.639834)
+ (xy 167.432621 80.644336)
+ (xy 167.43262 80.644336)
+ (xy 167.421857 80.64982)
+ (xy 167.403596 80.657384)
+ (xy 167.382671 80.664183)
+ (xy 167.364873 80.677114)
+ (xy 167.348017 80.687443)
+ (xy 167.337253 80.692928)
+ (xy 167.337252 80.692929)
+ (xy 167.328418 80.69743)
+ (xy 167.305855 80.719993)
+ (xy 167.305851 80.719996)
+ (xy 165.619329 82.406518)
+ (xy 165.557017 82.440544)
+ (xy 165.486202 82.435479)
+ (xy 165.429366 82.392932)
+ (xy 165.404555 82.326412)
+ (xy 165.404744 82.31235)
+ (xy 165.404734 82.31235)
+ (xy 165.404734 82.306163)
+ (xy 165.405341 82.3)
+ (xy 165.386024 82.103868)
+ (xy 165.328814 81.915273)
+ (xy 165.323832 81.905951)
+ (xy 165.238828 81.746921)
+ (xy 165.238827 81.74692)
+ (xy 165.23591 81.741462)
+ (xy 165.110883 81.589117)
+ (xy 164.958538 81.46409)
+ (xy 164.925757 81.446568)
+ (xy 164.790185 81.374103)
+ (xy 164.790182 81.374102)
+ (xy 164.784727 81.371186)
+ (xy 164.596132 81.313976)
+ (xy 164.4 81.294659)
+ (xy 164.393837 81.295266)
+ (xy 164.275395 81.306931)
+ (xy 164.205641 81.293702)
+ (xy 164.154113 81.244862)
+ (xy 164.13717 81.175917)
+ (xy 164.160192 81.108756)
+ (xy 164.17395 81.092443)
+ (xy 165.428988 79.837405)
+ (xy 165.4913 79.803379)
+ (xy 165.518083 79.8005)
+ (xy 167.663433 79.8005)
+ (xy 167.672864 79.797436)
+ (xy 167.672868 79.797435)
+ (xy 167.684353 79.793703)
+ (xy 167.703578 79.789087)
+ (xy 167.715512 79.787197)
+ (xy 167.715513 79.787197)
+ (xy 167.725304 79.785646)
+ (xy 167.734137 79.781145)
+ (xy 167.734141 79.781144)
+ (xy 167.744906 79.775659)
+ (xy 167.763166 79.768095)
+ (xy 167.78409 79.761296)
+ (xy 167.801893 79.748361)
+ (xy 167.818745 79.738035)
+ (xy 167.829502 79.732554)
+ (xy 167.838342 79.72805)
+ (xy 167.860905 79.705487)
+ (xy 167.860909 79.705484)
+ (xy 167.978988 79.587405)
+ (xy 168.0413 79.553379)
+ (xy 168.068083 79.5505)
+ (xy 169.069748 79.5505)
+ (xy 169.091054 79.546262)
+ (xy 169.116061 79.541288)
+ (xy 169.116062 79.541288)
+ (xy 169.128231 79.538867)
+ (xy 169.194552 79.494552)
+ (xy 169.231608 79.439095)
+ (xy 169.231974 79.438547)
+ (xy 169.238867 79.428231)
+ (xy 169.2505 79.369748)
+ (xy 169.2505 77.830252)
+ (xy 169.24531 77.804158)
+ (xy 169.241288 77.783939)
+ (xy 169.241288 77.783938)
+ (xy 169.238867 77.771769)
+ (xy 169.194552 77.705448)
+ (xy 169.128231 77.661133)
+ (xy 169.116062 77.658712)
+ (xy 169.116061 77.658712)
+ (xy 169.101918 77.655899)
+ (xy 169.039008 77.622991)
+ (xy 169.003877 77.561296)
+ (xy 169.0005 77.53232)
+ (xy 169.0005 75.418083)
+ (xy 169.020502 75.349962)
+ (xy 169.037405 75.328988)
+ (xy 169.459405 74.906988)
+ (xy 169.521717 74.872962)
+ )
+ )
+ (filled_polygon
+ (layer "F.Cu")
+ (pts
+ (xy 172.868204 76.534597)
+ (xy 173.479644 77.146037)
+ (xy 173.479648 77.14604)
+ (xy 173.486658 77.15305)
+ (xy 173.495494 77.157552)
+ (xy 173.495495 77.157553)
+ (xy 173.506259 77.163038)
+ (xy 173.523116 77.173368)
+ (xy 173.54091 77.186296)
+ (xy 173.56183 77.193093)
+ (xy 173.580093 77.200658)
+ (xy 173.590861 77.206145)
+ (xy 173.590865 77.206146)
+ (xy 173.599696 77.210646)
+ (xy 173.609485 77.212197)
+ (xy 173.609493 77.212199)
+ (xy 173.621427 77.214089)
+ (xy 173.640647 77.218703)
+ (xy 173.652133 77.222435)
+ (xy 173.65214 77.222436)
+ (xy 173.661567 77.225499)
+ (xy 173.693477 77.225499)
+ (xy 173.693481 77.2255)
+ (xy 174.162003 77.2255)
+ (xy 174.230124 77.245502)
+ (xy 174.257398 77.269184)
+ (xy 174.258515 77.270478)
+ (xy 174.273855 77.275)
+ (xy 176.201211 77.275)
+ (xy 176.21645 77.270525)
+ (xy 176.217782 77.268988)
+ (xy 176.277508 77.230604)
+ (xy 176.313007 77.2255)
+ (xy 177.006917 77.2255)
+ (xy 177.075038 77.245502)
+ (xy 177.096007 77.2624)
+ (xy 178.062596 78.228988)
+ (xy 178.09662 78.291299)
+ (xy 178.0995 78.318082)
+ (xy 178.0995 79.936013)
+ (xy 178.079498 80.004134)
+ (xy 178.025842 80.050627)
+ (xy 177.955568 80.060731)
+ (xy 177.890988 80.031237)
+ (xy 177.871566 80.010077)
+ (xy 177.870052 80.007993)
+ (xy 177.86555 79.999158)
+ (xy 177.842987 79.976595)
+ (xy 177.842984 79.976591)
+ (xy 176.985909 79.119516)
+ (xy 176.985905 79.119513)
+ (xy 176.963342 79.09695)
+ (xy 176.943746 79.086965)
+ (xy 176.926893 79.076639)
+ (xy 176.90909 79.063704)
+ (xy 176.888166 79.056905)
+ (xy 176.869906 79.049341)
+ (xy 176.859141 79.043856)
+ (xy 176.859137 79.043855)
+ (xy 176.850304 79.039354)
+ (xy 176.840513 79.037803)
+ (xy 176.840512 79.037803)
+ (xy 176.828578 79.035913)
+ (xy 176.809353 79.031297)
+ (xy 176.797868 79.027565)
+ (xy 176.797864 79.027564)
+ (xy 176.788433 79.0245)
+ (xy 176.3015 79.0245)
+ (xy 176.233379 79.004498)
+ (xy 176.186886 78.950842)
+ (xy 176.1755 78.8985)
+ (xy 176.175499 78.633774)
+ (xy 176.175499 78.630136)
+ (xy 176.172585 78.605009)
+ (xy 176.168758 78.596342)
+ (xy 176.168757 78.596338)
+ (xy 176.127206 78.502235)
+ (xy 176.130892 78.500607)
+ (xy 176.115606 78.453729)
+ (xy 176.129938 78.398971)
+ (xy 176.127206 78.397765)
+ (xy 176.168756 78.303663)
+ (xy 176.168756 78.303662)
+ (xy 176.172585 78.294991)
+ (xy 176.1755 78.269865)
+ (xy 176.175499 77.980136)
+ (xy 176.172585 77.955009)
+ (xy 176.168758 77.946342)
+ (xy 176.168757 77.946338)
+ (xy 176.151898 77.908157)
+ (xy 176.14268 77.837761)
+ (xy 176.164615 77.78405)
+ (xy 176.171671 77.774166)
+ (xy 176.214681 77.686189)
+ (xy 176.215418 77.683804)
+ (xy 176.214739 77.679007)
+ (xy 176.201145 77.675)
+ (xy 174.273789 77.675)
+ (xy 174.25855 77.679475)
+ (xy 174.257218 77.681012)
+ (xy 174.197492 77.719396)
+ (xy 174.161993 77.7245)
+ (xy 172.680111 77.7245)
+ (xy 172.61199 77.704498)
+ (xy 172.565497 77.650842)
+ (xy 172.555393 77.580568)
+ (xy 172.584887 77.515988)
+ (xy 172.591016 77.509405)
+ (xy 172.594015 77.506406)
+ (xy 172.667915 77.407687)
+ (xy 172.676464 77.392031)
+ (xy 172.719952 77.275433)
+ (xy 172.723548 77.260214)
+ (xy 172.726742 77.230513)
+ (xy 172.724105 77.215901)
+ (xy 172.711707 77.2125)
+ (xy 171.776 77.2125)
+ (xy 171.707879 77.192498)
+ (xy 171.661386 77.138842)
+ (xy 171.65 77.0865)
+ (xy 171.65 76.6385)
+ (xy 171.670002 76.570379)
+ (xy 171.723658 76.523886)
+ (xy 171.776 76.5125)
+ (xy 172.710561 76.5125)
+ (xy 172.74361 76.502796)
+ (xy 172.814607 76.502796)
)
)
)
@@ -19739,7 +19843,7 @@
(xy 190.325611 71.641179)
(xy 190.303336 71.599034)
(xy 190.301212 71.594828)
- (xy 190.28052 71.551862)
+ (xy 190.294417 71.580719)
(xy 190.276425 71.543358)
(xy 190.27058 71.537058)
(xy 190.266565 71.529462)
@@ -19813,18 +19917,304 @@
(xy 180.658712 69.558939)
(xy 180.65171 69.594141)
(xy 180.6495 69.605252)
- (xy 180.6495 71.344748)
- (xy 180.650707 71.350816)
- (xy 180.653578 71.365247)
- (xy 180.661133 71.403231)
- (xy 180.668026 71.413547)
- (xy 180.683872 71.437262)
- (xy 180.705448 71.469552)
- (xy 180.771769 71.513867)
- (xy 180.783938 71.516288)
- (xy 180.783939 71.516288)
- (xy 180.824184 71.524293)
- (xy 180.830252 71.5255)
+ (xy 180.6495 70.866232)
+ (xy 180.629498 70.934353)
+ (xy 180.612595 70.955327)
+ (xy 176.605327 74.962595)
+ (xy 176.543015 74.996621)
+ (xy 176.516232 74.9995)
+ (xy 173.526069 74.9995)
+ (xy 173.461904 74.981014)
+ (xy 173.461889 74.981046)
+ (xy 173.461703 74.980957)
+ (xy 173.459032 74.980187)
+ (xy 173.455515 74.977977)
+ (xy 173.449522 74.974211)
+ (xy 173.413498 74.961606)
+ (xy 173.400441 74.956197)
+ (xy 173.389957 74.951148)
+ (xy 173.366061 74.93964)
+ (xy 173.328849 74.931147)
+ (xy 173.315281 74.927238)
+ (xy 173.279255 74.914632)
+ (xy 173.27223 74.913841)
+ (xy 173.272228 74.91384)
+ (xy 173.241331 74.910359)
+ (xy 173.227402 74.907992)
+ (xy 173.197096 74.901075)
+ (xy 173.197093 74.901075)
+ (xy 173.190194 74.8995)
+ (xy 173.152032 74.8995)
+ (xy 173.137925 74.898708)
+ (xy 173.107029 74.895227)
+ (xy 173.1 74.894435)
+ (xy 173.092971 74.895227)
+ (xy 173.062075 74.898708)
+ (xy 173.047968 74.8995)
+ (xy 172.509805 74.8995)
+ (xy 172.502904 74.901075)
+ (xy 172.502905 74.901075)
+ (xy 172.472599 74.907992)
+ (xy 172.458669 74.910359)
+ (xy 172.449374 74.911406)
+ (xy 172.420745 74.914632)
+ (xy 172.414062 74.916971)
+ (xy 172.41406 74.916971)
+ (xy 172.384721 74.927237)
+ (xy 172.371144 74.931149)
+ (xy 172.340833 74.938067)
+ (xy 172.340829 74.938068)
+ (xy 172.333939 74.939641)
+ (xy 172.327565 74.942711)
+ (xy 172.327564 74.942711)
+ (xy 172.299559 74.956197)
+ (xy 172.286505 74.961604)
+ (xy 172.257159 74.971873)
+ (xy 172.257157 74.971874)
+ (xy 172.250478 74.974211)
+ (xy 172.24449 74.977974)
+ (xy 172.244483 74.977977)
+ (xy 172.218162 74.994516)
+ (xy 172.205793 75.001353)
+ (xy 172.177787 75.014839)
+ (xy 172.177783 75.014841)
+ (xy 172.171413 75.017909)
+ (xy 172.165884 75.022318)
+ (xy 172.165878 75.022322)
+ (xy 172.141571 75.041706)
+ (xy 172.130051 75.04988)
+ (xy 172.103733 75.066417)
+ (xy 172.097738 75.070184)
+ (xy 169.870184 77.297738)
+ (xy 169.866417 77.303733)
+ (xy 169.84988 77.330051)
+ (xy 169.841706 77.341571)
+ (xy 169.822322 77.365878)
+ (xy 169.822318 77.365884)
+ (xy 169.817909 77.371413)
+ (xy 169.814841 77.377783)
+ (xy 169.814839 77.377787)
+ (xy 169.801351 77.405797)
+ (xy 169.794514 77.418167)
+ (xy 169.774211 77.450478)
+ (xy 169.771875 77.457154)
+ (xy 169.761606 77.486502)
+ (xy 169.756197 77.499559)
+ (xy 169.73964 77.533939)
+ (xy 169.738065 77.540841)
+ (xy 169.731147 77.57115)
+ (xy 169.727238 77.584719)
+ (xy 169.714632 77.620745)
+ (xy 169.713841 77.62777)
+ (xy 169.71384 77.627772)
+ (xy 169.710359 77.658669)
+ (xy 169.707992 77.672598)
+ (xy 169.701075 77.702904)
+ (xy 169.6995 77.709806)
+ (xy 169.6995 82.190194)
+ (xy 169.701075 82.197093)
+ (xy 169.701075 82.197096)
+ (xy 169.707992 82.227402)
+ (xy 169.710359 82.241331)
+ (xy 169.714632 82.279255)
+ (xy 169.726827 82.314104)
+ (xy 169.727236 82.315274)
+ (xy 169.731147 82.328849)
+ (xy 169.73964 82.366061)
+ (xy 169.749212 82.385936)
+ (xy 169.756197 82.400441)
+ (xy 169.761606 82.413498)
+ (xy 169.774211 82.449522)
+ (xy 169.788612 82.47244)
+ (xy 169.794514 82.481833)
+ (xy 169.801351 82.494203)
+ (xy 169.814839 82.522213)
+ (xy 169.814841 82.522217)
+ (xy 169.817909 82.528587)
+ (xy 169.822318 82.534116)
+ (xy 169.822322 82.534122)
+ (xy 169.841706 82.558429)
+ (xy 169.84988 82.569949)
+ (xy 169.865121 82.594205)
+ (xy 169.870184 82.602262)
+ (xy 170.097738 82.829816)
+ (xy 170.103733 82.833583)
+ (xy 170.130051 82.85012)
+ (xy 170.141571 82.858294)
+ (xy 170.165878 82.877678)
+ (xy 170.165884 82.877682)
+ (xy 170.171413 82.882091)
+ (xy 170.177783 82.885159)
+ (xy 170.177787 82.885161)
+ (xy 170.205793 82.898647)
+ (xy 170.218162 82.905484)
+ (xy 170.244483 82.922023)
+ (xy 170.24449 82.922026)
+ (xy 170.250478 82.925789)
+ (xy 170.257157 82.928126)
+ (xy 170.257159 82.928127)
+ (xy 170.286505 82.938396)
+ (xy 170.299559 82.943803)
+ (xy 170.32756 82.957287)
+ (xy 170.333939 82.960359)
+ (xy 170.340829 82.961932)
+ (xy 170.340833 82.961933)
+ (xy 170.371144 82.968851)
+ (xy 170.384721 82.972763)
+ (xy 170.41406 82.983029)
+ (xy 170.414062 82.983029)
+ (xy 170.420745 82.985368)
+ (xy 170.449374 82.988594)
+ (xy 170.458669 82.989641)
+ (xy 170.472599 82.992008)
+ (xy 170.497159 82.997613)
+ (xy 170.509805 83.0005)
+ (xy 170.547968 83.0005)
+ (xy 170.562076 83.001292)
+ (xy 170.6 83.005565)
+ (xy 170.607029 83.004773)
+ (xy 170.637925 83.001292)
+ (xy 170.652032 83.0005)
+ (xy 170.690194 83.0005)
+ (xy 170.697091 82.998926)
+ (xy 170.697097 82.998925)
+ (xy 170.7274 82.992008)
+ (xy 170.741332 82.989641)
+ (xy 170.753231 82.9883)
+ (xy 170.772219 82.986161)
+ (xy 170.772222 82.98616)
+ (xy 170.779255 82.985368)
+ (xy 170.815289 82.972759)
+ (xy 170.828851 82.968852)
+ (xy 170.836848 82.967027)
+ (xy 170.859159 82.961935)
+ (xy 170.859164 82.961933)
+ (xy 170.866061 82.960359)
+ (xy 170.90044 82.943803)
+ (xy 170.913491 82.938397)
+ (xy 170.942846 82.928125)
+ (xy 170.949522 82.925789)
+ (xy 170.981843 82.905481)
+ (xy 170.994205 82.898649)
+ (xy 171.022214 82.88516)
+ (xy 171.022216 82.885159)
+ (xy 171.028586 82.882091)
+ (xy 171.034116 82.877681)
+ (xy 171.034119 82.877679)
+ (xy 171.058423 82.858298)
+ (xy 171.069945 82.850123)
+ (xy 171.096264 82.833585)
+ (xy 171.096267 82.833583)
+ (xy 171.102262 82.829816)
+ (xy 171.129247 82.802831)
+ (xy 171.139782 82.793415)
+ (xy 171.164095 82.774026)
+ (xy 171.16962 82.76962)
+ (xy 171.193415 82.739782)
+ (xy 171.202831 82.729247)
+ (xy 171.229816 82.702262)
+ (xy 171.233585 82.696264)
+ (xy 171.250123 82.669945)
+ (xy 171.258298 82.658423)
+ (xy 171.277679 82.634119)
+ (xy 171.277681 82.634116)
+ (xy 171.282091 82.628586)
+ (xy 171.28516 82.622214)
+ (xy 171.298649 82.594205)
+ (xy 171.305481 82.581843)
+ (xy 171.322027 82.555509)
+ (xy 171.325789 82.549522)
+ (xy 171.338397 82.513491)
+ (xy 171.343804 82.500438)
+ (xy 171.357287 82.47244)
+ (xy 171.360359 82.466061)
+ (xy 171.361933 82.459164)
+ (xy 171.361935 82.459159)
+ (xy 171.368851 82.428855)
+ (xy 171.372759 82.415289)
+ (xy 171.385368 82.379255)
+ (xy 171.386403 82.370074)
+ (xy 171.38964 82.341335)
+ (xy 171.392008 82.3274)
+ (xy 171.398925 82.297097)
+ (xy 171.398926 82.297091)
+ (xy 171.4005 82.290194)
+ (xy 171.4005 82.252032)
+ (xy 171.401292 82.237925)
+ (xy 171.404773 82.207029)
+ (xy 171.405565 82.2)
+ (xy 171.401292 82.162075)
+ (xy 171.4005 82.147968)
+ (xy 171.4005 82.109805)
+ (xy 171.397613 82.097159)
+ (xy 171.392008 82.072599)
+ (xy 171.389641 82.058669)
+ (xy 171.38616 82.027778)
+ (xy 171.385368 82.020745)
+ (xy 171.375455 81.992415)
+ (xy 171.372763 81.984721)
+ (xy 171.368851 81.971144)
+ (xy 171.361933 81.940833)
+ (xy 171.361932 81.940829)
+ (xy 171.360359 81.933939)
+ (xy 171.357289 81.927564)
+ (xy 171.343803 81.899559)
+ (xy 171.338396 81.886505)
+ (xy 171.328127 81.857159)
+ (xy 171.328126 81.857157)
+ (xy 171.325789 81.850478)
+ (xy 171.320335 81.841798)
+ (xy 171.319812 81.840965)
+ (xy 171.319042 81.838294)
+ (xy 171.318954 81.83811)
+ (xy 171.318985 81.838095)
+ (xy 171.3005 81.77393)
+ (xy 171.3005 78.183768)
+ (xy 171.320502 78.115647)
+ (xy 171.337405 78.094673)
+ (xy 172.83404 76.598038)
+ (xy 172.896352 76.564012)
+ (xy 172.951175 76.564293)
+ (xy 172.963572 76.567123)
+ (xy 172.971148 76.568852)
+ (xy 172.984721 76.572763)
+ (xy 173.01406 76.583029)
+ (xy 173.014062 76.583029)
+ (xy 173.020745 76.585368)
+ (xy 173.045961 76.588209)
+ (xy 173.058669 76.589641)
+ (xy 173.072599 76.592008)
+ (xy 173.097159 76.597613)
+ (xy 173.109805 76.6005)
+ (xy 176.990194 76.6005)
+ (xy 176.997093 76.598925)
+ (xy 176.997096 76.598925)
+ (xy 177.027402 76.592008)
+ (xy 177.041331 76.589641)
+ (xy 177.072228 76.58616)
+ (xy 177.07223 76.586159)
+ (xy 177.079255 76.585368)
+ (xy 177.115281 76.572762)
+ (xy 177.12885 76.568853)
+ (xy 177.166061 76.56036)
+ (xy 177.200441 76.543803)
+ (xy 177.213498 76.538394)
+ (xy 177.242846 76.528125)
+ (xy 177.249522 76.525789)
+ (xy 177.281833 76.505486)
+ (xy 177.294203 76.498649)
+ (xy 177.322213 76.485161)
+ (xy 177.322217 76.485159)
+ (xy 177.328587 76.482091)
+ (xy 177.334116 76.477682)
+ (xy 177.334122 76.477678)
+ (xy 177.358429 76.458294)
+ (xy 177.369949 76.45012)
+ (xy 177.396267 76.433583)
+ (xy 177.402262 76.429816)
+ (xy 182.269673 71.562405)
+ (xy 182.331985 71.528379)
+ (xy 182.358768 71.5255)
(xy 182.569748 71.5255)
(xy 182.575816 71.524293)
(xy 182.616061 71.516288)
@@ -19925,16 +20315,16 @@
(xy 192.56199 82.438305)
(xy 192.565864 82.436448)
(xy 192.565867 82.436447)
- (xy 192.700266 82.372029)
+ (xy 192.68519 82.379255)
(xy 192.73688 82.35448)
(xy 192.806954 82.343074)
(xy 192.87207 82.371364)
(xy 192.911556 82.430368)
(xy 192.915571 82.489134)
- (xy 192.88392 82.676099)
+ (xy 192.885977 82.66395)
(xy 192.8832 82.680353)
(xy 192.87426 82.967571)
- (xy 192.874713 82.971849)
+ (xy 192.876597 82.989641)
(xy 192.903182 83.240745)
(xy 192.904514 83.25333)
(xy 192.917206 83.304734)
@@ -19981,6 +20371,8 @@
(xy 193.471718 89.771718)
(xy 193.375464 89.897159)
(xy 193.314956 90.043238)
+ (xy 193.313878 90.051426)
+ (xy 193.310881 90.074191)
(xy 193.294318 90.2)
(xy 193.314956 90.356762)
(xy 193.375464 90.502841)
@@ -20287,7 +20679,7 @@
(xy 197.4505 83.140901)
(xy 197.470502 83.07278)
(xy 197.475872 83.065072)
- (xy 197.56496 82.946849)
+ (xy 197.524531 83.0005)
(xy 198.144605 82.177633)
(xy 198.201575 82.135267)
(xy 198.272407 82.130427)
@@ -20312,7 +20704,7 @@
(xy 199.758538 82.48591)
(xy 199.910883 82.360883)
(xy 200.03591 82.208538)
- (xy 200.106914 82.075699)
+ (xy 200.064502 82.155046)
(xy 200.125897 82.040185)
(xy 200.125898 82.040182)
(xy 200.128814 82.034727)
@@ -20382,17 +20774,20 @@
(xy 205.485115 83.021536)
(xy 205.505643 82.8)
(xy 205.485115 82.578464)
- (xy 205.478982 82.556906)
+ (xy 205.482693 82.569949)
(xy 205.425823 82.370074)
(xy 205.425823 82.370073)
(xy 205.424229 82.364472)
+ (xy 205.338831 82.192971)
+ (xy 205.327656 82.170528)
+ (xy 205.327655 82.170526)
(xy 205.325058 82.165311)
(xy 205.190981 81.987764)
- (xy 205.126654 81.929122)
+ (xy 205.094225 81.899559)
(xy 205.030865 81.841798)
(xy 205.03086 81.841795)
(xy 205.026562 81.837876)
- (xy 204.982121 81.810359)
+ (xy 204.985046 81.81217)
(xy 204.842361 81.723824)
(xy 204.84236 81.723824)
(xy 204.837401 81.720753)
@@ -20409,17 +20804,20 @@
(xy 203.762599 81.720753)
(xy 203.75764 81.723824)
(xy 203.757639 81.723824)
- (xy 203.61788 81.810359)
+ (xy 203.614955 81.81217)
(xy 203.573438 81.837876)
(xy 203.56914 81.841795)
(xy 203.569135 81.841798)
- (xy 203.473346 81.929122)
+ (xy 203.505775 81.899559)
(xy 203.409019 81.987764)
(xy 203.274942 82.165311)
+ (xy 203.272345 82.170526)
+ (xy 203.272344 82.170528)
+ (xy 203.261169 82.192971)
(xy 203.175771 82.364472)
(xy 203.174177 82.370073)
(xy 203.174177 82.370074)
- (xy 203.121019 82.556906)
+ (xy 203.117308 82.569949)
(xy 203.114885 82.578464)
(xy 203.094357 82.8)
(xy 203.114885 83.021536)
@@ -20499,7 +20897,7 @@
(xy 202.725823 80.270074)
(xy 202.725823 80.270073)
(xy 202.724229 80.264472)
- (xy 202.64529 80.105942)
+ (xy 202.642331 80.1)
(xy 202.627656 80.070528)
(xy 202.627655 80.070526)
(xy 202.625058 80.065311)
@@ -20508,9 +20906,6 @@
(xy 202.330865 79.741798)
(xy 202.33086 79.741795)
(xy 202.326562 79.737876)
- (xy 202.269979 79.702841)
- (xy 202.142361 79.623824)
- (xy 202.14236 79.623824)
(xy 202.137401 79.620753)
(xy 201.92994 79.540382)
(xy 201.810829 79.518116)
@@ -20523,9 +20918,6 @@
(xy 201.389171 79.518116)
(xy 201.27006 79.540382)
(xy 201.062599 79.620753)
- (xy 201.05764 79.623824)
- (xy 201.057639 79.623824)
- (xy 200.930022 79.702841)
(xy 200.873438 79.737876)
(xy 200.86914 79.741795)
(xy 200.869135 79.741798)
@@ -20534,7 +20926,7 @@
(xy 200.574942 80.065311)
(xy 200.572345 80.070526)
(xy 200.572344 80.070528)
- (xy 200.55471 80.105942)
+ (xy 200.557669 80.1)
(xy 200.475771 80.264472)
(xy 200.474177 80.270073)
(xy 200.474177 80.270074)
@@ -22097,7 +22489,7 @@
(xy 153.525225 79.141619)
(xy 153.526021 79.140954)
(xy 153.526516 79.140007)
- (xy 153.606133 79.040641)
+ (xy 153.571961 79.08329)
(xy 153.645533 78.991468)
(xy 153.655373 78.979187)
(xy 153.656472 78.97806)
@@ -22106,7 +22498,7 @@
(xy 153.657437 78.975646)
(xy 153.724199 78.808609)
(xy 153.765434 78.754697)
- (xy 154.127895 78.481917)
+ (xy 154.524065 78.183768)
(xy 154.576968 78.143955)
(xy 154.643424 78.118974)
(xy 154.712836 78.133889)
@@ -22116,59 +22508,70 @@
(xy 159.174128 84.035355)
(xy 159.19915 84.101796)
(xy 159.1995 84.111184)
- (xy 159.1995 88.278411)
- (xy 159.179498 88.346532)
- (xy 159.164837 88.364724)
- (xy 159.164776 88.364776)
- (xy 159.161563 88.368538)
- (xy 159.004379 88.552576)
- (xy 159.004376 88.552581)
- (xy 159.001164 88.556341)
- (xy 158.869534 88.771141)
- (xy 158.773127 89.003889)
- (xy 158.714317 89.248852)
- (xy 158.694551 89.5)
- (xy 158.714317 89.751148)
- (xy 158.773127 89.996111)
- (xy 158.77502 90.000682)
- (xy 158.775021 90.000684)
- (xy 158.860972 90.208188)
- (xy 158.869534 90.228859)
- (xy 159.001164 90.443659)
- (xy 159.004376 90.447419)
- (xy 159.004379 90.447424)
- (xy 159.05171 90.502841)
- (xy 159.164776 90.635224)
- (xy 159.168538 90.638437)
- (xy 159.352576 90.795621)
- (xy 159.352581 90.795624)
- (xy 159.356341 90.798836)
- (xy 159.571141 90.930466)
- (xy 159.575711 90.932359)
- (xy 159.575715 90.932361)
- (xy 159.799316 91.024979)
- (xy 159.803889 91.026873)
- (xy 159.888289 91.047135)
- (xy 160.044039 91.084528)
- (xy 160.044045 91.084529)
- (xy 160.048852 91.085683)
- (xy 160.3 91.105449)
- (xy 160.551148 91.085683)
- (xy 160.555955 91.084529)
- (xy 160.555961 91.084528)
- (xy 160.711711 91.047135)
- (xy 160.796111 91.026873)
- (xy 160.800684 91.024979)
- (xy 161.024285 90.932361)
- (xy 161.024289 90.932359)
- (xy 161.028859 90.930466)
- (xy 161.243659 90.798836)
- (xy 161.247419 90.795624)
- (xy 161.247424 90.795621)
- (xy 161.431462 90.638437)
- (xy 161.435224 90.635224)
- (xy 161.54829 90.502841)
- (xy 161.550716 90.5)
+ (xy 159.1995 89.568153)
+ (xy 159.200456 89.573573)
+ (xy 159.200456 89.573577)
+ (xy 159.208824 89.621036)
+ (xy 159.210258 89.631931)
+ (xy 159.214937 89.685408)
+ (xy 159.227944 89.733947)
+ (xy 159.228831 89.737259)
+ (xy 159.231211 89.747993)
+ (xy 159.239578 89.795452)
+ (xy 159.23958 89.795458)
+ (xy 159.240534 89.800871)
+ (xy 159.242415 89.806039)
+ (xy 159.242416 89.806043)
+ (xy 159.258897 89.851326)
+ (xy 159.262201 89.861807)
+ (xy 159.274671 89.908345)
+ (xy 159.274675 89.908356)
+ (xy 159.276097 89.913663)
+ (xy 159.27842 89.918644)
+ (xy 159.27842 89.918645)
+ (xy 159.298787 89.962322)
+ (xy 159.302992 89.972474)
+ (xy 159.321355 90.022926)
+ (xy 159.324105 90.027689)
+ (xy 159.3482 90.069423)
+ (xy 159.353275 90.079172)
+ (xy 159.373636 90.122837)
+ (xy 159.373642 90.122848)
+ (xy 159.375965 90.127829)
+ (xy 159.379121 90.132336)
+ (xy 159.379122 90.132338)
+ (xy 159.406758 90.171806)
+ (xy 159.412664 90.181077)
+ (xy 159.439509 90.227574)
+ (xy 159.443044 90.231787)
+ (xy 159.443047 90.231791)
+ (xy 159.474023 90.268706)
+ (xy 159.48071 90.27742)
+ (xy 159.511505 90.321401)
+ (xy 159.678599 90.488495)
+ (xy 159.678602 90.488497)
+ (xy 159.686748 90.496643)
+ (xy 159.688853 90.498409)
+ (xy 159.688857 90.498413)
+ (xy 159.738031 90.539675)
+ (xy 159.822427 90.610491)
+ (xy 160.027074 90.728645)
+ (xy 160.032237 90.730524)
+ (xy 160.032241 90.730526)
+ (xy 160.197424 90.790647)
+ (xy 160.24913 90.809466)
+ (xy 160.254547 90.810421)
+ (xy 160.25455 90.810422)
+ (xy 160.339702 90.825437)
+ (xy 160.481847 90.850501)
+ (xy 160.718154 90.850501)
+ (xy 160.95087 90.809467)
+ (xy 161.172926 90.728645)
+ (xy 161.297431 90.656762)
+ (xy 161.372811 90.613241)
+ (xy 161.372812 90.613241)
+ (xy 161.377574 90.610491)
+ (xy 161.509252 90.5)
(xy 183.694318 90.5)
(xy 183.714956 90.656762)
(xy 183.775464 90.802841)
@@ -22261,25 +22664,20 @@
(xy 183.775464 90.197159)
(xy 183.714956 90.343238)
(xy 183.694318 90.5)
- (xy 161.550716 90.5)
- (xy 161.595621 90.447424)
- (xy 161.595624 90.447419)
- (xy 161.598836 90.443659)
- (xy 161.730466 90.228859)
- (xy 161.739029 90.208188)
- (xy 161.824979 90.000684)
- (xy 161.82498 90.000682)
- (xy 161.826873 89.996111)
- (xy 161.885683 89.751148)
- (xy 161.905449 89.5)
- (xy 161.898005 89.405414)
- (xy 161.89927 89.379069)
- (xy 161.899065 89.379051)
- (xy 161.899521 89.373836)
- (xy 161.89953 89.373657)
- (xy 161.899544 89.373577)
- (xy 161.899544 89.373573)
- (xy 161.9005 89.368154)
+ (xy 161.509252 90.5)
+ (xy 161.558596 90.458596)
+ (xy 161.710491 90.277574)
+ (xy 161.828645 90.072926)
+ (xy 161.836471 90.051426)
+ (xy 161.907582 89.856047)
+ (xy 161.909466 89.850871)
+ (xy 161.950501 89.618154)
+ (xy 161.950501 89.381847)
+ (xy 161.909466 89.14913)
+ (xy 161.907583 89.143955)
+ (xy 161.906161 89.138651)
+ (xy 161.906879 89.138459)
+ (xy 161.9005 89.10228)
(xy 161.9005 84.797539)
(xy 161.920502 84.729418)
(xy 161.965076 84.687525)
@@ -22300,7 +22698,7 @@
(xy 162.990371 83.249046)
(xy 162.995486 83.22833)
(xy 163.004743 83.140901)
- (xy 163.025287 82.946849)
+ (xy 163.021209 82.985368)
(xy 163.02574 82.942571)
(xy 163.0168 82.655354)
(xy 162.984428 82.464133)
@@ -22316,6 +22714,9 @@
(xy 163.412461 82.496591)
(xy 163.413976 82.496132)
(xy 163.471186 82.684727)
+ (xy 163.474102 82.690182)
+ (xy 163.474103 82.690185)
+ (xy 163.513609 82.764095)
(xy 163.56409 82.858538)
(xy 163.689117 83.010883)
(xy 163.841462 83.13591)
@@ -22364,7 +22765,7 @@
(xy 168.275715 88.232361)
(xy 168.499316 88.324979)
(xy 168.503889 88.326873)
- (xy 168.585775 88.346532)
+ (xy 168.588289 88.347135)
(xy 168.744039 88.384528)
(xy 168.744045 88.384529)
(xy 168.748852 88.385683)
@@ -22372,7 +22773,7 @@
(xy 169.251148 88.385683)
(xy 169.255955 88.384529)
(xy 169.255961 88.384528)
- (xy 169.414225 88.346532)
+ (xy 169.411711 88.347135)
(xy 169.496111 88.326873)
(xy 169.500684 88.324979)
(xy 169.724285 88.232361)
@@ -22412,212 +22813,9 @@
(xy 170.135224 83.319798)
(xy 170.091009 83.282034)
(xy 170.083756 83.275329)
- (xy 166.908427 80.1)
- (xy 181.944318 80.1)
- (xy 181.964956 80.256762)
- (xy 182.025464 80.402841)
- (xy 182.121718 80.528282)
- (xy 182.247159 80.624536)
- (xy 182.393238 80.685044)
- (xy 182.55 80.705682)
- (xy 182.558188 80.704604)
- (xy 182.698574 80.686122)
- (xy 182.706762 80.685044)
- (xy 182.852841 80.624536)
- (xy 182.978282 80.528282)
- (xy 183.074536 80.402841)
- (xy 183.135044 80.256762)
- (xy 183.155682 80.1)
- (xy 183.158596 80.100384)
- (xy 183.174606 80.045858)
- (xy 183.191509 80.024884)
- (xy 184.255484 78.960909)
- (xy 184.255487 78.960905)
- (xy 184.27805 78.938342)
- (xy 184.288035 78.918745)
- (xy 184.298361 78.901893)
- (xy 184.311296 78.88409)
- (xy 184.318095 78.863166)
- (xy 184.325659 78.844906)
- (xy 184.331144 78.834141)
- (xy 184.331145 78.834137)
- (xy 184.335646 78.825304)
- (xy 184.339087 78.803578)
- (xy 184.343703 78.784353)
- (xy 184.347435 78.772868)
- (xy 184.347436 78.772864)
- (xy 184.3505 78.763433)
- (xy 184.3505 77.056731)
- (xy 184.370502 76.98861)
- (xy 184.378618 76.97854)
- (xy 184.378282 76.978282)
- (xy 184.469509 76.859392)
- (xy 184.474536 76.852841)
- (xy 184.535044 76.706762)
- (xy 184.555682 76.55)
- (xy 184.535044 76.393238)
- (xy 184.474536 76.247159)
- (xy 184.378282 76.121718)
- (xy 184.252841 76.025464)
- (xy 184.106762 75.964956)
- (xy 183.95 75.944318)
- (xy 183.793238 75.964956)
- (xy 183.647159 76.025464)
- (xy 183.521718 76.121718)
- (xy 183.425464 76.247159)
- (xy 183.364956 76.393238)
- (xy 183.344318 76.55)
- (xy 183.364956 76.706762)
- (xy 183.425464 76.852841)
- (xy 183.430491 76.859392)
- (xy 183.521718 76.978282)
- (xy 183.519386 76.980072)
- (xy 183.546621 77.029948)
- (xy 183.5495 77.056731)
- (xy 183.5495 78.481917)
- (xy 183.529498 78.550038)
- (xy 183.512595 78.571012)
- (xy 182.625116 79.458491)
- (xy 182.562804 79.492517)
- (xy 182.549945 79.493899)
- (xy 182.55 79.494318)
- (xy 182.393238 79.514956)
- (xy 182.247159 79.575464)
- (xy 182.121718 79.671718)
- (xy 182.025464 79.797159)
- (xy 181.964956 79.943238)
- (xy 181.944318 80.1)
- (xy 166.908427 80.1)
(xy 165.165643 78.357216)
(xy 165.15411 78.34395)
- (xy 165.032382 78.182411)
- (xy 164.970281 78.1)
- (xy 170.194318 78.1)
- (xy 170.195396 78.108188)
- (xy 170.213235 78.243686)
- (xy 170.214956 78.256762)
- (xy 170.275464 78.402841)
- (xy 170.371718 78.528282)
- (xy 170.497159 78.624536)
- (xy 170.545692 78.644639)
- (xy 170.60532 78.669338)
- (xy 170.660601 78.713887)
- (xy 170.683022 78.78125)
- (xy 170.665464 78.850041)
- (xy 170.633806 78.88571)
- (xy 170.529659 78.965625)
- (xy 170.521718 78.971718)
- (xy 170.516695 78.978264)
- (xy 170.511031 78.985646)
- (xy 170.425464 79.097159)
- (xy 170.364956 79.243238)
- (xy 170.344318 79.4)
- (xy 170.364956 79.556762)
- (xy 170.425464 79.702841)
- (xy 170.521718 79.828282)
- (xy 170.647159 79.924536)
- (xy 170.793238 79.985044)
- (xy 170.95 80.005682)
- (xy 170.958188 80.004604)
- (xy 171.098574 79.986122)
- (xy 171.106762 79.985044)
- (xy 171.252841 79.924536)
- (xy 171.378282 79.828282)
- (xy 171.474536 79.702841)
- (xy 171.477695 79.695213)
- (xy 171.477698 79.695209)
- (xy 171.484909 79.6778)
- (xy 171.529458 79.62252)
- (xy 171.601317 79.60002)
- (xy 173.198683 79.60002)
- (xy 173.266804 79.620022)
- (xy 173.315091 79.6778)
- (xy 173.322302 79.695209)
- (xy 173.322305 79.695213)
- (xy 173.325464 79.702841)
- (xy 173.421718 79.828282)
- (xy 173.547159 79.924536)
- (xy 173.693238 79.985044)
- (xy 173.85 80.005682)
- (xy 173.858188 80.004604)
- (xy 173.998574 79.986122)
- (xy 174.006762 79.985044)
- (xy 174.152841 79.924536)
- (xy 174.278282 79.828282)
- (xy 174.374536 79.702841)
- (xy 174.435044 79.556762)
- (xy 174.455682 79.4)
- (xy 174.435044 79.243238)
- (xy 174.406662 79.174718)
- (xy 174.399073 79.104128)
- (xy 174.430852 79.040641)
- (xy 174.49191 79.004414)
- (xy 174.523071 79.0005)
- (xy 175.336283 79.0005)
- (xy 175.345714 78.997436)
- (xy 175.345718 78.997435)
- (xy 175.357203 78.993703)
- (xy 175.376428 78.989087)
- (xy 175.388362 78.987197)
- (xy 175.388363 78.987197)
- (xy 175.398154 78.985646)
- (xy 175.406987 78.981145)
- (xy 175.406991 78.981144)
- (xy 175.417756 78.975659)
- (xy 175.436016 78.968095)
- (xy 175.45694 78.961296)
- (xy 175.474743 78.948361)
- (xy 175.491595 78.938035)
- (xy 175.502352 78.932554)
- (xy 175.511192 78.92805)
- (xy 175.533755 78.905487)
- (xy 175.533759 78.905484)
- (xy 177.197234 77.242009)
- (xy 177.259546 77.207983)
- (xy 177.272405 77.206601)
- (xy 177.27235 77.206182)
- (xy 177.429112 77.185544)
- (xy 177.575191 77.125036)
- (xy 177.700632 77.028782)
- (xy 177.796886 76.903341)
- (xy 177.857394 76.757262)
- (xy 177.878032 76.6005)
- (xy 177.857394 76.443738)
- (xy 177.796886 76.297659)
- (xy 177.700632 76.172218)
- (xy 177.575191 76.075964)
- (xy 177.429112 76.015456)
- (xy 177.27235 75.994818)
- (xy 177.115588 76.015456)
- (xy 176.969509 76.075964)
- (xy 176.844068 76.172218)
- (xy 176.747814 76.297659)
- (xy 176.687306 76.443738)
- (xy 176.666668 76.6005)
- (xy 176.663754 76.600116)
- (xy 176.647744 76.654642)
- (xy 176.630841 76.675616)
- (xy 175.143862 78.162595)
- (xy 175.08155 78.196621)
- (xy 175.054767 78.1995)
- (xy 171.52928 78.1995)
- (xy 171.461159 78.179498)
- (xy 171.414666 78.125842)
- (xy 171.404358 78.089946)
- (xy 171.386122 77.951426)
- (xy 171.385044 77.943238)
- (xy 171.324536 77.797159)
- (xy 171.228282 77.671718)
- (xy 171.102841 77.575464)
- (xy 170.956762 77.514956)
- (xy 170.8 77.494318)
- (xy 170.643238 77.514956)
- (xy 170.497159 77.575464)
- (xy 170.371718 77.671718)
- (xy 170.275464 77.797159)
- (xy 170.214956 77.943238)
- (xy 170.194318 78.1)
- (xy 164.970281 78.1)
+ (xy 163.917482 76.70289)
(xy 161.306141 73.237523)
(xy 161.281119 73.171083)
(xy 161.29599 73.101661)
diff --git a/center/center.kicad_prl b/center/center.kicad_prl
new file mode 100644
index 0000000..70e85f8
--- /dev/null
+++ b/center/center.kicad_prl
@@ -0,0 +1,77 @@
+{
+ "board": {
+ "active_layer": 37,
+ "active_layer_preset": "",
+ "auto_track_width": false,
+ "hidden_nets": [],
+ "high_contrast_mode": 0,
+ "net_color_mode": 1,
+ "opacity": {
+ "pads": 1.0,
+ "tracks": 1.0,
+ "vias": 1.0,
+ "zones": 0.3700000047683716
+ },
+ "ratsnest_display_mode": 0,
+ "selection_filter": {
+ "dimensions": true,
+ "footprints": true,
+ "graphics": true,
+ "keepouts": true,
+ "lockedItems": true,
+ "otherItems": true,
+ "pads": true,
+ "text": true,
+ "tracks": true,
+ "vias": true,
+ "zones": true
+ },
+ "visible_items": [
+ 0,
+ 1,
+ 2,
+ 3,
+ 4,
+ 5,
+ 6,
+ 7,
+ 8,
+ 9,
+ 10,
+ 11,
+ 12,
+ 13,
+ 14,
+ 15,
+ 16,
+ 17,
+ 18,
+ 19,
+ 20,
+ 21,
+ 22,
+ 23,
+ 24,
+ 25,
+ 26,
+ 27,
+ 28,
+ 29,
+ 30,
+ 32,
+ 33,
+ 34,
+ 35,
+ 36
+ ],
+ "visible_layers": "fffffef_ffffffff",
+ "zone_display_mode": 0
+ },
+ "meta": {
+ "filename": "center.kicad_prl",
+ "version": 3
+ },
+ "project": {
+ "files": []
+ }
+}
diff --git a/center/center.kicad_pro b/center/center.kicad_pro
new file mode 100644
index 0000000..f72e7e1
--- /dev/null
+++ b/center/center.kicad_pro
@@ -0,0 +1,567 @@
+{
+ "board": {
+ "design_settings": {
+ "defaults": {
+ "board_outline_line_width": 0.15,
+ "copper_line_width": 0.15,
+ "copper_text_italic": false,
+ "copper_text_size_h": 1.5,
+ "copper_text_size_v": 1.5,
+ "copper_text_thickness": 0.3,
+ "copper_text_upright": true,
+ "courtyard_line_width": 0.049999999999999996,
+ "dimension_precision": 4,
+ "dimension_units": 3,
+ "dimensions": {
+ "arrow_length": 1270000,
+ "extension_offset": 500000,
+ "keep_text_aligned": true,
+ "suppress_zeroes": false,
+ "text_position": 0,
+ "units_format": 1
+ },
+ "fab_line_width": 0.09999999999999999,
+ "fab_text_italic": false,
+ "fab_text_size_h": 1.0,
+ "fab_text_size_v": 1.0,
+ "fab_text_thickness": 0.15,
+ "fab_text_upright": false,
+ "other_line_width": 0.09999999999999999,
+ "other_text_italic": false,
+ "other_text_size_h": 1.0,
+ "other_text_size_v": 1.0,
+ "other_text_thickness": 0.15,
+ "other_text_upright": true,
+ "pads": {
+ "drill": 0.762,
+ "height": 1.524,
+ "width": 1.524
+ },
+ "silk_line_width": 0.15,
+ "silk_text_italic": false,
+ "silk_text_size_h": 1.0,
+ "silk_text_size_v": 1.0,
+ "silk_text_thickness": 0.15,
+ "silk_text_upright": true,
+ "zones": {
+ "45_degree_only": false,
+ "min_clearance": 0.15
+ }
+ },
+ "diff_pair_dimensions": [
+ {
+ "gap": 0.0,
+ "via_gap": 0.0,
+ "width": 0.0
+ }
+ ],
+ "drc_exclusions": [
+ "clearance|180095001|115440000|46b665bc-ec0c-494f-bc83-df770871abd3|bcb40fa3-b41b-411d-b561-9bd80d99e072",
+ "clearance|180850000|115440000|bcb40fa3-b41b-411d-b561-9bd80d99e072|46b665bc-ec0c-494f-bc83-df770871abd3",
+ "clearance|180850000|115440000|e6629e01-bc20-4ee6-b773-723f548b3816|bcb40fa3-b41b-411d-b561-9bd80d99e072",
+ "courtyards_overlap|195765866|108222151|00000000-0000-0000-0000-00005de7bda7|00000000-0000-0000-0000-00005de9b522",
+ "text_thickness|193350000|117925000|e1e8791b-f102-4509-9948-1986c49e1265|00000000-0000-0000-0000-000000000000",
+ "text_thickness|194150000|82775000|d9972955-3442-4947-bb4c-b7565fbe6bb7|00000000-0000-0000-0000-000000000000",
+ "track_dangling|160600000|94125000|265873b1-486e-477a-b6cf-ce1300359272|00000000-0000-0000-0000-000000000000",
+ "track_dangling|168700000|86225000|1b7d2820-9357-474d-8097-03ccbdacd975|00000000-0000-0000-0000-000000000000",
+ "track_dangling|168700000|86225000|d339ecce-3fd9-4e97-96f1-611260cda1c4|00000000-0000-0000-0000-000000000000",
+ "track_dangling|174325000|115225000|f1872d20-0bb0-4746-b8b5-e9be9708c74c|00000000-0000-0000-0000-000000000000",
+ "track_dangling|177400000|77400000|12b87ea1-4c32-4148-a860-bc0c28c9a20b|00000000-0000-0000-0000-000000000000",
+ "track_dangling|179362500|82312500|f8ba382a-588f-4da9-b278-dfa04215c18f|00000000-0000-0000-0000-000000000000",
+ "track_dangling|182975000|75575000|3ffd4088-d618-4ea4-bb0d-96c1f0c66d24|00000000-0000-0000-0000-000000000000",
+ "track_dangling|186857500|77625000|cc9ece8d-547f-40b0-add3-a0bd3d50fd8a|00000000-0000-0000-0000-000000000000"
+ ],
+ "meta": {
+ "filename": "board_design_settings.json",
+ "version": 2
+ },
+ "rule_severities": {
+ "annular_width": "error",
+ "clearance": "error",
+ "copper_edge_clearance": "error",
+ "copper_sliver": "warning",
+ "courtyards_overlap": "error",
+ "diff_pair_gap_out_of_range": "error",
+ "diff_pair_uncoupled_length_too_long": "error",
+ "drill_out_of_range": "error",
+ "duplicate_footprints": "warning",
+ "extra_footprint": "warning",
+ "footprint_type_mismatch": "ignore",
+ "hole_clearance": "error",
+ "hole_near_hole": "error",
+ "invalid_outline": "error",
+ "isolated_copper": "warning",
+ "item_on_disabled_layer": "error",
+ "items_not_allowed": "error",
+ "length_out_of_range": "error",
+ "lib_footprint_issues": "ignore",
+ "lib_footprint_mismatch": "ignore",
+ "malformed_courtyard": "error",
+ "microvia_drill_out_of_range": "error",
+ "missing_courtyard": "ignore",
+ "missing_footprint": "warning",
+ "net_conflict": "warning",
+ "npth_inside_courtyard": "ignore",
+ "overlapping_pads": "warning",
+ "padstack": "error",
+ "pth_inside_courtyard": "ignore",
+ "shorting_items": "error",
+ "silk_edge_clearance": "ignore",
+ "silk_over_copper": "error",
+ "silk_overlap": "ignore",
+ "skew_out_of_range": "error",
+ "solder_mask_bridge": "error",
+ "starved_thermal": "error",
+ "text_height": "warning",
+ "text_thickness": "warning",
+ "through_hole_pad_without_hole": "error",
+ "too_many_vias": "error",
+ "track_dangling": "warning",
+ "track_width": "error",
+ "tracks_crossing": "error",
+ "unconnected_items": "error",
+ "unresolved_variable": "error",
+ "via_dangling": "warning",
+ "zones_intersect": "error"
+ },
+ "rules": {
+ "allow_blind_buried_vias": false,
+ "allow_microvias": false,
+ "max_error": 0.005,
+ "min_clearance": 0.0,
+ "min_copper_edge_clearance": 0.01,
+ "min_hole_clearance": 0.0,
+ "min_hole_to_hole": 0.25,
+ "min_microvia_diameter": 0.19999999999999998,
+ "min_microvia_drill": 0.09999999999999999,
+ "min_resolved_spokes": 2,
+ "min_silk_clearance": 0.0,
+ "min_text_height": 0.7999999999999999,
+ "min_text_thickness": 0.12,
+ "min_through_hole_diameter": 0.3,
+ "min_track_width": 0.09999999999999999,
+ "min_via_annular_width": 0.049999999999999996,
+ "min_via_diameter": 0.39999999999999997,
+ "solder_mask_to_copper_clearance": 0.0,
+ "use_height_for_length_calcs": true
+ },
+ "teardrop_options": [
+ {
+ "td_allow_use_two_tracks": true,
+ "td_curve_segcount": 5,
+ "td_on_pad_in_zone": false,
+ "td_onpadsmd": true,
+ "td_onroundshapesonly": false,
+ "td_ontrackend": false,
+ "td_onviapad": true
+ }
+ ],
+ "teardrop_parameters": [
+ {
+ "td_curve_segcount": 0,
+ "td_height_ratio": 1.0,
+ "td_length_ratio": 0.5,
+ "td_maxheight": 2.0,
+ "td_maxlen": 1.0,
+ "td_target_name": "td_round_shape",
+ "td_width_to_size_filter_ratio": 0.9
+ },
+ {
+ "td_curve_segcount": 0,
+ "td_height_ratio": 1.0,
+ "td_length_ratio": 0.5,
+ "td_maxheight": 2.0,
+ "td_maxlen": 1.0,
+ "td_target_name": "td_rect_shape",
+ "td_width_to_size_filter_ratio": 0.9
+ },
+ {
+ "td_curve_segcount": 0,
+ "td_height_ratio": 1.0,
+ "td_length_ratio": 0.5,
+ "td_maxheight": 2.0,
+ "td_maxlen": 1.0,
+ "td_target_name": "td_track_end",
+ "td_width_to_size_filter_ratio": 0.9
+ }
+ ],
+ "track_widths": [
+ 0.0,
+ 0.1,
+ 0.15,
+ 0.2,
+ 0.3,
+ 0.4,
+ 0.5,
+ 0.8,
+ 1.2,
+ 1.5,
+ 1.8,
+ 2.0
+ ],
+ "via_dimensions": [
+ {
+ "diameter": 0.0,
+ "drill": 0.0
+ },
+ {
+ "diameter": 0.6,
+ "drill": 0.3
+ },
+ {
+ "diameter": 0.8,
+ "drill": 0.4
+ },
+ {
+ "diameter": 1.2,
+ "drill": 0.6
+ },
+ {
+ "diameter": 2.0,
+ "drill": 1.0
+ },
+ {
+ "diameter": 2.5,
+ "drill": 1.5
+ },
+ {
+ "diameter": 3.0,
+ "drill": 2.0
+ }
+ ],
+ "zones_allow_external_fillets": false,
+ "zones_use_no_outline": true
+ },
+ "layer_presets": [],
+ "viewports": []
+ },
+ "boards": [],
+ "cvpcb": {
+ "equivalence_files": []
+ },
+ "erc": {
+ "erc_exclusions": [],
+ "meta": {
+ "version": 0
+ },
+ "pin_map": [
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 0,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 2,
+ 2,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 1,
+ 0,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 1,
+ 2,
+ 1,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 0,
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 1,
+ 2,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 2,
+ 2,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 0,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 1,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2
+ ]
+ ],
+ "rule_severities": {
+ "bus_definition_conflict": "error",
+ "bus_entry_needed": "error",
+ "bus_label_syntax": "error",
+ "bus_to_bus_conflict": "error",
+ "bus_to_net_conflict": "error",
+ "different_unit_footprint": "error",
+ "different_unit_net": "error",
+ "duplicate_reference": "error",
+ "duplicate_sheet_names": "error",
+ "extra_units": "error",
+ "global_label_dangling": "warning",
+ "hier_label_mismatch": "error",
+ "label_dangling": "error",
+ "lib_symbol_issues": "warning",
+ "multiple_net_names": "warning",
+ "net_not_bus_member": "warning",
+ "no_connect_connected": "warning",
+ "no_connect_dangling": "warning",
+ "pin_not_connected": "error",
+ "pin_not_driven": "error",
+ "pin_to_pin": "warning",
+ "power_pin_not_driven": "error",
+ "similar_labels": "warning",
+ "unannotated": "error",
+ "unit_value_mismatch": "error",
+ "unresolved_variable": "error",
+ "wire_dangling": "error"
+ }
+ },
+ "libraries": {
+ "pinned_footprint_libs": [],
+ "pinned_symbol_libs": []
+ },
+ "meta": {
+ "filename": "center.kicad_pro",
+ "version": 1
+ },
+ "net_settings": {
+ "classes": [
+ {
+ "bus_width": 12.0,
+ "clearance": 0.2,
+ "diff_pair_gap": 0.25,
+ "diff_pair_via_gap": 0.25,
+ "diff_pair_width": 0.2,
+ "line_style": 0,
+ "microvia_diameter": 0.3,
+ "microvia_drill": 0.1,
+ "name": "Default",
+ "pcb_color": "rgba(0, 0, 0, 0.000)",
+ "schematic_color": "rgba(0, 0, 0, 0.000)",
+ "track_width": 0.25,
+ "via_diameter": 0.8,
+ "via_drill": 0.4,
+ "wire_width": 6.0
+ },
+ {
+ "bus_width": 12.0,
+ "clearance": 0.6,
+ "diff_pair_gap": 0.25,
+ "diff_pair_via_gap": 0.25,
+ "diff_pair_width": 0.2,
+ "line_style": 0,
+ "microvia_diameter": 0.3,
+ "microvia_drill": 0.1,
+ "name": "HV",
+ "nets": [
+ "+VSW",
+ "/Q0",
+ "/Q1",
+ "/Q2",
+ "/Q3",
+ "/VIN_A",
+ "/VIN_B"
+ ],
+ "pcb_color": "rgba(0, 0, 0, 0.000)",
+ "schematic_color": "rgba(0, 0, 0, 0.000)",
+ "track_width": 0.25,
+ "via_diameter": 0.8,
+ "via_drill": 0.4,
+ "wire_width": 6.0
+ }
+ ],
+ "meta": {
+ "version": 2
+ },
+ "net_colors": null
+ },
+ "pcbnew": {
+ "last_paths": {
+ "gencad": "",
+ "idf": "",
+ "netlist": "",
+ "specctra_dsn": "",
+ "step": "",
+ "vrml": ""
+ },
+ "page_layout_descr_file": ""
+ },
+ "schematic": {
+ "annotate_start_num": 0,
+ "drawing": {
+ "dashed_lines_dash_length_ratio": 12.0,
+ "dashed_lines_gap_length_ratio": 3.0,
+ "default_bus_thickness": 12.0,
+ "default_junction_size": 40.0,
+ "default_line_thickness": 6.0,
+ "default_text_size": 50.0,
+ "default_wire_thickness": 6.0,
+ "field_names": [],
+ "intersheets_ref_own_page": false,
+ "intersheets_ref_prefix": "",
+ "intersheets_ref_short": false,
+ "intersheets_ref_show": false,
+ "intersheets_ref_suffix": "",
+ "junction_size_choice": 3,
+ "label_size_ratio": 0.3,
+ "pin_symbol_size": 25.0,
+ "text_offset_ratio": 0.3
+ },
+ "legacy_lib_dir": "",
+ "legacy_lib_list": [],
+ "meta": {
+ "version": 1
+ },
+ "net_format_name": "",
+ "ngspice": {
+ "fix_include_paths": true,
+ "fix_passive_vals": false,
+ "meta": {
+ "version": 0
+ },
+ "model_mode": 0,
+ "workbook_filename": ""
+ },
+ "page_layout_descr_file": "",
+ "plot_directory": "",
+ "spice_adjust_passive_values": false,
+ "spice_external_command": "spice \"%I\"",
+ "subpart_first_id": 65,
+ "subpart_id_separator": 0
+ },
+ "sheets": [
+ [
+ "90842322-b56e-42c7-bb4b-462bae6a0a0f",
+ ""
+ ]
+ ],
+ "text_variables": {}
+}
diff --git a/center/center.kicad_sch b/center/center.kicad_sch
new file mode 100644
index 0000000..88962af
--- /dev/null
+++ b/center/center.kicad_sch
@@ -0,0 +1,5149 @@
+(kicad_sch (version 20220404) (generator eeschema)
+
+ (uuid 90842322-b56e-42c7-bb4b-462bae6a0a0f)
+
+ (paper "A3")
+
+ (lib_symbols
+ (symbol "Amplifier_Operational:MCP6001-OT" (pin_names (offset 0.127)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at -1.27 6.35 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "MCP6001-OT" (id 1) (at -1.27 3.81 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at -2.54 -5.08 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/21733j.pdf" (id 3) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "single opamp" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "1MHz, Low-Power Op Amp, SOT-23-5" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "MCP6001-OT_0_1"
+ (polyline
+ (pts
+ (xy -5.08 5.08)
+ (xy 5.08 0)
+ (xy -5.08 -5.08)
+ (xy -5.08 5.08)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (pin power_in line (at -2.54 -7.62 90) (length 3.81)
+ (name "V-" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -2.54 7.62 270) (length 3.81)
+ (name "V+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ )
+ (symbol "MCP6001-OT_1_1"
+ (pin output line (at 7.62 0 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -7.62 2.54 0) (length 2.54)
+ (name "+" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -7.62 -2.54 0) (length 2.54)
+ (name "-" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Connector:TestPoint" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "TP" (id 0) (at 0 6.858 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "TestPoint" (id 1) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 5.08 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 5.08 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "test point tp" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "test point" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Pin* Test*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "TestPoint_0_1"
+ (circle (center 0 3.302) (radius 0.762)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "TestPoint_1_1"
+ (pin passive line (at 0 0 90) (length 2.54)
+ (name "1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "MCU_ST_STM32G0:STM32G030F6Px" (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at -20.32 16.51 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "STM32G030F6Px" (id 1) (at 5.08 16.51 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at -20.32 -17.78 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g030f6.pdf" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_locked" "" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "ki_keywords" "ARM Cortex-M0+ STM32G0 STM32G0x0 Value line" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "ARM Cortex-M0+ MCU, 32KB flash, 8KB RAM, 64MHz, 2-3.6V, 26 GPIO, TSSOP-20" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "TSSOP*4.4x6.5mm*P0.65mm*" (id 7) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "STM32G030F6Px_0_1"
+ (rectangle (start -20.32 -17.78) (end 17.78 15.24)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "STM32G030F6Px_1_1"
+ (pin bidirectional line (at -22.86 -15.24 0) (length 2.54)
+ (name "PB7/PB8" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN11" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_PVD_IN" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ )
+ (pin bidirectional line (at 20.32 5.08 180) (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN3" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ )
+ (pin bidirectional line (at 20.32 2.54 180) (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN4" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP_IN1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ )
+ (pin bidirectional line (at 20.32 0 180) (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN5" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ )
+ (pin bidirectional line (at 20.32 -2.54 180) (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN6" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BK" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ )
+ (pin bidirectional line (at 20.32 -5.08 180) (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN7" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ )
+ (pin bidirectional line (at -22.86 -10.16 0) (length 2.54)
+ (name "PB0/PB1/PB2/PA8" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN8" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ )
+ (pin bidirectional line (at 20.32 -7.62 180) (length 2.54)
+ (name "PA11/PA9" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_EXTI11" bidirectional line)
+ (alternate "ADC1_IN15" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ (alternate "USART1_NSS" bidirectional line)
+ )
+ (pin bidirectional line (at 20.32 -10.16 180) (length 2.54)
+ (name "PA12/PA10" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN16" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "I2S_CKIN" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ )
+ (pin bidirectional line (at 20.32 -12.7 180) (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN17" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ )
+ (pin bidirectional line (at 20.32 -15.24 180) (length 2.54)
+ (name "PA14/PA15" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN18" bidirectional line)
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ )
+ (pin input line (at -22.86 -2.54 0) (length 2.54)
+ (name "PC14/PB9" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ (alternate "TIM1_BK2" bidirectional line)
+ )
+ (pin bidirectional line (at -22.86 -12.7 0) (length 2.54)
+ (name "PB3/PB4/PB5/PB6" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ )
+ (pin bidirectional line (at -22.86 -5.08 0) (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_EN" bidirectional line)
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ (alternate "RCC_OSC_EN" bidirectional line)
+ )
+ (pin power_in line (at 2.54 17.78 270) (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 2.54 -20.32 90) (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -22.86 12.7 0) (length 2.54)
+ (name "NRST" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 12.7 180) (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN0" bidirectional line)
+ (alternate "RTC_TAMP_IN2" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART2_NSS" bidirectional line)
+ )
+ (pin bidirectional line (at 20.32 10.16 180) (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN1" bidirectional line)
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ )
+ (pin bidirectional line (at 20.32 7.62 180) (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC1_IN2" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "RCC_LSCO" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ )
+ )
+ )
+ (symbol "Transistor_FET:AO3400A" (pin_names hide) (in_bom yes) (on_board yes)
+ (property "Reference" "Q" (id 0) (at 5.08 1.905 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "AO3400A" (id 1) (at 5.08 0 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 5.08 -1.905 0)
+ (effects (font (size 1.27 1.27) italic) (justify left) hide)
+ )
+ (property "Datasheet" "http://www.aosmd.com/pdfs/datasheet/AO3400A.pdf" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "ki_keywords" "N-Channel MOSFET" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "30V Vds, 5.7A Id, N-Channel MOSFET, SOT-23" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "AO3400A_0_1"
+ (polyline
+ (pts
+ (xy 0.254 0)
+ (xy -2.54 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.254 1.905)
+ (xy 0.254 -1.905)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 -1.27)
+ (xy 0.762 -2.286)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 0.508)
+ (xy 0.762 -0.508)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 2.286)
+ (xy 0.762 1.27)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.54 2.54)
+ (xy 2.54 1.778)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.54 -2.54)
+ (xy 2.54 0)
+ (xy 0.762 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 -1.778)
+ (xy 3.302 -1.778)
+ (xy 3.302 1.778)
+ (xy 0.762 1.778)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 0)
+ (xy 2.032 0.381)
+ (xy 2.032 -0.381)
+ (xy 1.016 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ (polyline
+ (pts
+ (xy 2.794 0.508)
+ (xy 2.921 0.381)
+ (xy 3.683 0.381)
+ (xy 3.81 0.254)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 3.302 0.381)
+ (xy 2.921 -0.254)
+ (xy 3.683 -0.254)
+ (xy 3.302 0.381)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (circle (center 1.651 0) (radius 2.794)
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (circle (center 2.54 -1.778) (radius 0.254)
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ (circle (center 2.54 1.778) (radius 0.254)
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ )
+ (symbol "AO3400A_1_1"
+ (pin input line (at -5.08 0 0) (length 2.54)
+ (name "G" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 2.54 -5.08 90) (length 2.54)
+ (name "S" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 2.54 5.08 270) (length 2.54)
+ (name "D" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Transistor_FET:AO3401A" (pin_names hide) (in_bom yes) (on_board yes)
+ (property "Reference" "Q" (id 0) (at 5.08 1.905 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "AO3401A" (id 1) (at 5.08 0 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 5.08 -1.905 0)
+ (effects (font (size 1.27 1.27) italic) (justify left) hide)
+ )
+ (property "Datasheet" "http://www.aosmd.com/pdfs/datasheet/AO3401A.pdf" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "ki_keywords" "P-Channel MOSFET" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "-4.0A Id, -30V Vds, P-Channel MOSFET, SOT-23" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "AO3401A_0_1"
+ (polyline
+ (pts
+ (xy 0.254 0)
+ (xy -2.54 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.254 1.905)
+ (xy 0.254 -1.905)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 -1.27)
+ (xy 0.762 -2.286)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 0.508)
+ (xy 0.762 -0.508)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 2.286)
+ (xy 0.762 1.27)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.54 2.54)
+ (xy 2.54 1.778)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.54 -2.54)
+ (xy 2.54 0)
+ (xy 0.762 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 1.778)
+ (xy 3.302 1.778)
+ (xy 3.302 -1.778)
+ (xy 0.762 -1.778)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.286 0)
+ (xy 1.27 0.381)
+ (xy 1.27 -0.381)
+ (xy 2.286 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ (polyline
+ (pts
+ (xy 2.794 -0.508)
+ (xy 2.921 -0.381)
+ (xy 3.683 -0.381)
+ (xy 3.81 -0.254)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 3.302 -0.381)
+ (xy 2.921 0.254)
+ (xy 3.683 0.254)
+ (xy 3.302 -0.381)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (circle (center 1.651 0) (radius 2.794)
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (circle (center 2.54 -1.778) (radius 0.254)
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ (circle (center 2.54 1.778) (radius 0.254)
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ )
+ (symbol "AO3401A_1_1"
+ (pin input line (at -5.08 0 0) (length 2.54)
+ (name "G" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 2.54 -5.08 90) (length 2.54)
+ (name "S" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 2.54 5.08 270) (length 2.54)
+ (name "D" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:+12V-power" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+12V-power" (id 1) (at 0 3.556 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "+12V-power_0_1"
+ (polyline
+ (pts
+ (xy -0.762 1.27)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 2.54)
+ (xy 0.762 1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "+12V-power_1_1"
+ (pin power_in line (at 0 0 90) (length 0) hide
+ (name "+12V" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:+3.3V-power" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3.3V-power" (id 1) (at 0 3.556 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "+3.3V-power_0_1"
+ (polyline
+ (pts
+ (xy -0.762 1.27)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 2.54)
+ (xy 0.762 1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "+3.3V-power_1_1"
+ (pin power_in line (at 0 0 90) (length 0) hide
+ (name "+3V3" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:+VSW-power" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+VSW-power" (id 1) (at 0 3.556 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "+VSW-power_0_1"
+ (polyline
+ (pts
+ (xy -0.762 1.27)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 2.54)
+ (xy 0.762 1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "+VSW-power_1_1"
+ (pin power_in line (at 0 0 90) (length 0) hide
+ (name "+VSW" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:AP1117-33-Regulator_Linear" (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at -3.81 3.175 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "AP1117-33-Regulator_Linear" (id 1) (at 0 3.175 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.diodes.com/datasheets/AP1117.pdf" (id 3) (at 2.54 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "SOT?223*TabPin2*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "AP1117-33-Regulator_Linear_0_1"
+ (rectangle (start -5.08 -5.08) (end 5.08 1.905)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "AP1117-33-Regulator_Linear_1_1"
+ (pin power_in line (at 0 -7.62 90) (length 2.54)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_out line (at 7.62 0 180) (length 2.54)
+ (name "VO" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -7.62 0 0) (length 2.54)
+ (name "VI" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_out line (at 7.62 -2.54 180) (length 2.54)
+ (name "PAD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:CP_Small-Device" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "C" (id 0) (at 0.254 1.778 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "CP_Small-Device" (id 1) (at 0.254 -2.032 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "CP_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "CP_Small-Device_0_1"
+ (rectangle (start -1.524 -0.3048) (end 1.524 -0.6858)
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ (rectangle (start -1.524 0.6858) (end 1.524 0.3048)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 1.524)
+ (xy -0.762 1.524)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.016 1.27)
+ (xy -1.016 1.778)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "CP_Small-Device_1_1"
+ (pin passive line (at 0 2.54 270) (length 1.8542)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -2.54 90) (length 1.8542)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:C_Small-Device" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "C" (id 0) (at 0.254 1.778 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "C_Small-Device" (id 1) (at 0.254 -2.032 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "C_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "C_Small-Device_0_1"
+ (polyline
+ (pts
+ (xy -1.524 -0.508)
+ (xy 1.524 -0.508)
+ )
+ (stroke (width 0.3302) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.524 0.508)
+ (xy 1.524 0.508)
+ )
+ (stroke (width 0.3048) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "C_Small-Device_1_1"
+ (pin passive line (at 0 2.54 270) (length 2.032)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -2.54 90) (length 2.032)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:Conn_01x02-Connector_Generic" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at 0 2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Conn_01x02-Connector_Generic" (id 1) (at 0 -5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Connector*:*_1x??_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Conn_01x02-Connector_Generic_1_1"
+ (rectangle (start -1.27 -2.413) (end 0 -2.667)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 0.127) (end 0 -0.127)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 1.27) (end 1.27 -3.81)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (pin passive line (at -5.08 0 0) (length 3.81)
+ (name "Pin_1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -2.54 0) (length 3.81)
+ (name "Pin_2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:Conn_01x04-Connector_Generic" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Conn_01x04-Connector_Generic" (id 1) (at 0 -7.62 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Connector*:*_1x??_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Conn_01x04-Connector_Generic_1_1"
+ (rectangle (start -1.27 -4.953) (end 0 -5.207)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 -2.413) (end 0 -2.667)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 0.127) (end 0 -0.127)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 2.667) (end 0 2.413)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 3.81) (end 1.27 -6.35)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (pin passive line (at -5.08 2.54 0) (length 3.81)
+ (name "Pin_1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 0 0) (length 3.81)
+ (name "Pin_2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -2.54 0) (length 3.81)
+ (name "Pin_3" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -5.08 0) (length 3.81)
+ (name "Pin_4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:D_Schottky_ALT-Device" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "D" (id 0) (at 0 2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "D_Schottky_ALT-Device" (id 1) (at 0 -2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "TO-???* *_Diode_* *SingleDiode* D_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "D_Schottky_ALT-Device_0_1"
+ (polyline
+ (pts
+ (xy 1.27 0)
+ (xy -1.27 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 1.27)
+ (xy 1.27 -1.27)
+ (xy -1.27 0)
+ (xy 1.27 1.27)
+ )
+ (stroke (width 0.2032) (type default))
+ (fill (type outline))
+ )
+ (polyline
+ (pts
+ (xy -1.905 0.635)
+ (xy -1.905 1.27)
+ (xy -1.27 1.27)
+ (xy -1.27 -1.27)
+ (xy -0.635 -1.27)
+ (xy -0.635 -0.635)
+ )
+ (stroke (width 0.2032) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "D_Schottky_ALT-Device_1_1"
+ (pin passive line (at -3.81 0 0) (length 2.54)
+ (name "K" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 3.81 0 180) (length 2.54)
+ (name "A" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:D_Zener_ALT-Device" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "D" (id 0) (at 0 2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "D_Zener_ALT-Device" (id 1) (at 0 -2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "TO-???* *_Diode_* *SingleDiode* D_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "D_Zener_ALT-Device_0_1"
+ (polyline
+ (pts
+ (xy 1.27 0)
+ (xy -1.27 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 -1.27)
+ (xy -1.27 1.27)
+ (xy -0.762 1.27)
+ )
+ (stroke (width 0.2032) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 -1.27)
+ (xy 1.27 1.27)
+ (xy -1.27 0)
+ (xy 1.27 -1.27)
+ )
+ (stroke (width 0.2032) (type default))
+ (fill (type outline))
+ )
+ )
+ (symbol "D_Zener_ALT-Device_1_1"
+ (pin passive line (at -3.81 0 0) (length 2.54)
+ (name "K" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 3.81 0 180) (length 2.54)
+ (name "A" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:GND-power" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND-power" (id 1) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "GND-power_0_1"
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -1.27)
+ (xy 1.27 -1.27)
+ (xy 0 -2.54)
+ (xy -1.27 -1.27)
+ (xy 0 -1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "GND-power_1_1"
+ (pin power_in line (at 0 0 270) (length 0) hide
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:L-Device" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "L" (id 0) (at -1.27 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "L-Device" (id 1) (at 1.905 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Choke_* *Coil* Inductor_* L_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "L-Device_0_1"
+ (arc (start 0 -2.54) (mid 0.6323 -1.905) (end 0 -1.27)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (arc (start 0 -1.27) (mid 0.6323 -0.635) (end 0 0)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (arc (start 0 0) (mid 0.6323 0.635) (end 0 1.27)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (arc (start 0 1.27) (mid 0.6323 1.905) (end 0 2.54)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "L-Device_1_1"
+ (pin passive line (at 0 3.81 270) (length 1.27)
+ (name "1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -3.81 90) (length 1.27)
+ (name "2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:LED_ALT-Device" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "D" (id 0) (at 0 2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "LED_ALT-Device" (id 1) (at 0 -2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "LED_ALT-Device_0_1"
+ (polyline
+ (pts
+ (xy -1.27 -1.27)
+ (xy -1.27 1.27)
+ )
+ (stroke (width 0.2032) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 0)
+ (xy 1.27 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 -1.27)
+ (xy 1.27 1.27)
+ (xy -1.27 0)
+ (xy 1.27 -1.27)
+ )
+ (stroke (width 0.2032) (type default))
+ (fill (type outline))
+ )
+ (polyline
+ (pts
+ (xy -3.048 -0.762)
+ (xy -4.572 -2.286)
+ (xy -3.81 -2.286)
+ (xy -4.572 -2.286)
+ (xy -4.572 -1.524)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.778 -0.762)
+ (xy -3.302 -2.286)
+ (xy -2.54 -2.286)
+ (xy -3.302 -2.286)
+ (xy -3.302 -1.524)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "LED_ALT-Device_1_1"
+ (pin passive line (at -3.81 0 0) (length 2.54)
+ (name "K" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 3.81 0 180) (length 2.54)
+ (name "A" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:R-Device" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "R" (id 0) (at 2.032 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "R-Device" (id 1) (at 0 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at -1.778 0 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "R_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "R-Device_0_1"
+ (rectangle (start -1.016 -2.54) (end 1.016 2.54)
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "R-Device_1_1"
+ (pin passive line (at 0 3.81 270) (length 1.27)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -3.81 90) (length 1.27)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:R_Small-Device" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "R" (id 0) (at 0.762 0.508 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "R_Small-Device" (id 1) (at 0.762 -1.016 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "R_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "R_Small-Device_0_1"
+ (rectangle (start -0.762 1.778) (end 0.762 -1.778)
+ (stroke (width 0.2032) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "R_Small-Device_1_1"
+ (pin passive line (at 0 2.54 270) (length 0.762)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -2.54 90) (length 0.762)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "center-rescue:bom_item-4xxx" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+ (property "Reference" "E" (id 0) (at -2.54 0 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "bom_item-4xxx" (id 1) (at 1.27 0 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "bom_item-4xxx_0_1"
+ (circle (center -3.048 0) (radius 0.254)
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ )
+ )
+ (symbol "components:TX4138" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at 0 0 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "TX4138" (id 1) (at 0 7.62 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "TX4138_0_1"
+ (rectangle (start -7.62 -10.16) (end 7.62 15.24)
+ (stroke (width 0) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "TX4138_1_1"
+ (pin input line (at 12.7 7.62 180) (length 5.08)
+ (name "SW" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -12.7 7.62 0) (length 5.08)
+ (name "ILIM" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -12.7 12.7 0) (length 5.08)
+ (name "VIN" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at 0 -15.24 90) (length 5.08)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at 12.7 2.54 180) (length 5.08)
+ (name "FB" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -12.7 -2.54 0) (length 5.08)
+ (name "EN" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at 12.7 -2.54 180) (length 5.08)
+ (name "POK" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at 12.7 12.7 180) (length 5.08)
+ (name "BS" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -12.7 5.08 0) (length 5.08)
+ (name "ILIM" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ )
+
+ (junction (at 115.57 62.23) (diameter 1.016) (color 0 0 0 0)
+ (uuid 00cc906b-94ff-4ef1-b19a-71ac595d93fe)
+ )
+ (junction (at 365.76 99.06) (diameter 0) (color 0 0 0 0)
+ (uuid 00f91b30-eb9b-4b90-9604-725f60942d68)
+ )
+ (junction (at 113.03 113.03) (diameter 0) (color 0 0 0 0)
+ (uuid 016c9e0c-68fb-49ab-ac77-eb31e51dfaaf)
+ )
+ (junction (at 105.41 113.03) (diameter 0) (color 0 0 0 0)
+ (uuid 12e8b267-a971-4e24-8cc0-af85c8ee8cc4)
+ )
+ (junction (at 191.77 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid 1327d99f-e9fa-4a85-aecd-91985894959a)
+ )
+ (junction (at 140.97 113.03) (diameter 0) (color 0 0 0 0)
+ (uuid 14367394-2468-465c-be30-3c5e94dccae5)
+ )
+ (junction (at 87.63 59.69) (diameter 1.016) (color 0 0 0 0)
+ (uuid 14cb0de5-09bb-40a0-9723-f48f2d625508)
+ )
+ (junction (at 279.4 106.68) (diameter 1.016) (color 0 0 0 0)
+ (uuid 1902937b-befe-4123-b4c3-fc837aa5e5d4)
+ )
+ (junction (at 74.93 121.92) (diameter 1.016) (color 0 0 0 0)
+ (uuid 1bf68dfc-8874-47b8-817b-b0affb58e7b0)
+ )
+ (junction (at 327.66 191.77) (diameter 1.016) (color 0 0 0 0)
+ (uuid 21064c02-b0ac-496a-87b5-d520bc534e1e)
+ )
+ (junction (at 69.85 113.03) (diameter 1.016) (color 0 0 0 0)
+ (uuid 283351ae-347d-4680-be1c-e87e74f4e7ea)
+ )
+ (junction (at 133.35 113.03) (diameter 0) (color 0 0 0 0)
+ (uuid 2888d754-2474-46f5-9373-122c4624ed4b)
+ )
+ (junction (at 288.29 85.09) (diameter 1.016) (color 0 0 0 0)
+ (uuid 2a88f9da-3805-4a1f-8c3b-69454956123c)
+ )
+ (junction (at 347.98 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid 2afe27cc-c49f-4ebe-beed-3c6430842a3b)
+ )
+ (junction (at 77.47 99.06) (diameter 1.016) (color 0 0 0 0)
+ (uuid 2b33f74f-2642-44ae-83ec-cfd57d107f4f)
+ )
+ (junction (at 347.98 99.06) (diameter 1.016) (color 0 0 0 0)
+ (uuid 2d69f821-f986-4dbf-8f87-796ac25d010f)
+ )
+ (junction (at 288.29 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid 2ed5b9ca-7757-4230-84bb-b68203afba62)
+ )
+ (junction (at 97.79 99.06) (diameter 0) (color 0 0 0 0)
+ (uuid 317dee04-d1d4-4a51-86c2-ef4dd06ffdc2)
+ )
+ (junction (at 254 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid 34b5f812-9771-47f8-b48e-d792b87da0dd)
+ )
+ (junction (at 308.61 99.06) (diameter 1.016) (color 0 0 0 0)
+ (uuid 3d6400e8-52cd-4b21-8156-923ae1f0d768)
+ )
+ (junction (at 254 101.6) (diameter 1.016) (color 0 0 0 0)
+ (uuid 42672cda-16d5-4b8e-801a-71a91bdb6b3e)
+ )
+ (junction (at 204.47 101.6) (diameter 1.016) (color 0 0 0 0)
+ (uuid 43c1aea0-a49e-4cf5-927d-6bd0b252f204)
+ )
+ (junction (at 74.93 113.03) (diameter 1.016) (color 0 0 0 0)
+ (uuid 43c8bddf-7f8d-45ed-89ee-7bc2804578c8)
+ )
+ (junction (at 125.73 128.27) (diameter 0) (color 0 0 0 0)
+ (uuid 45cfe143-5772-4835-ab05-6ded7d600384)
+ )
+ (junction (at 241.3 101.6) (diameter 1.016) (color 0 0 0 0)
+ (uuid 46a590fe-b576-4adf-a2e8-d9aa59545de4)
+ )
+ (junction (at 165.1 99.06) (diameter 1.016) (color 0 0 0 0)
+ (uuid 53440baf-0afc-4d67-b9cd-e57987a9dca1)
+ )
+ (junction (at 217.17 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid 54e52cfa-dd19-43c9-917f-e377742bc8b7)
+ )
+ (junction (at 299.72 85.09) (diameter 1.016) (color 0 0 0 0)
+ (uuid 5f7d7031-7028-4240-ab1c-c2804370f15d)
+ )
+ (junction (at 308.61 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid 64c4fc00-dbfb-45e7-9035-e056555ef478)
+ )
+ (junction (at 102.87 74.93) (diameter 1.016) (color 0 0 0 0)
+ (uuid 68dc07fb-fcdb-43c1-81cd-f8a710c7795d)
+ )
+ (junction (at 82.55 113.03) (diameter 1.016) (color 0 0 0 0)
+ (uuid 6e8ec351-e31c-443e-92dd-f6d598ac51ed)
+ )
+ (junction (at 191.77 96.52) (diameter 1.016) (color 0 0 0 0)
+ (uuid 6ebb07f6-783d-4800-a555-14d6a44036f2)
+ )
+ (junction (at 125.73 96.52) (diameter 0) (color 0 0 0 0)
+ (uuid 73cd9081-c3e2-4955-807b-0c723bb54b69)
+ )
+ (junction (at 190.5 191.77) (diameter 1.016) (color 0 0 0 0)
+ (uuid 7472d79f-b607-473b-888c-e7c59545bf82)
+ )
+ (junction (at 356.87 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid 78fa5cf0-9840-4520-bbd1-2e7a25e13f85)
+ )
+ (junction (at 72.39 96.52) (diameter 1.016) (color 0 0 0 0)
+ (uuid 7924ebef-0aaa-4fe7-ae56-26cb09dab212)
+ )
+ (junction (at 322.58 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid 7ef1e170-5280-40cd-a0fe-a46d4e29a256)
+ )
+ (junction (at 356.87 99.06) (diameter 1.016) (color 0 0 0 0)
+ (uuid 8067e959-2748-48a7-8847-92b64bcb306d)
+ )
+ (junction (at 54.61 96.52) (diameter 1.016) (color 0 0 0 0)
+ (uuid 809bbd4c-d5be-4a1b-9605-6ae807d6c7fe)
+ )
+ (junction (at 236.22 191.77) (diameter 1.016) (color 0 0 0 0)
+ (uuid 8712d645-1cd0-47a9-baf5-20d3f536069c)
+ )
+ (junction (at 105.41 128.27) (diameter 0) (color 0 0 0 0)
+ (uuid 889b27d8-536c-47b0-bd33-9582f0f7dbde)
+ )
+ (junction (at 279.4 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid 88f05e1a-c8da-42be-824c-0bb5aa61e9d1)
+ )
+ (junction (at 299.72 99.06) (diameter 1.016) (color 0 0 0 0)
+ (uuid 8a3c99aa-e351-4ec1-b194-02bb44bad667)
+ )
+ (junction (at 140.97 128.27) (diameter 0) (color 0 0 0 0)
+ (uuid 8d622ff6-c7c9-43b2-9c57-e5b884dddc7a)
+ )
+ (junction (at 176.53 85.09) (diameter 1.016) (color 0 0 0 0)
+ (uuid 8da0fa22-1f86-4b8f-a385-424f5245125d)
+ )
+ (junction (at 57.15 99.06) (diameter 1.016) (color 0 0 0 0)
+ (uuid 92083dbd-6fbf-4c42-bb73-d55dcdab0ebc)
+ )
+ (junction (at 336.55 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid 92610853-2bc9-47b4-a24d-4caf052d5722)
+ )
+ (junction (at 173.99 85.09) (diameter 1.016) (color 0 0 0 0)
+ (uuid 92e18fa8-5e0c-483e-9c06-cc3ce9bb0c77)
+ )
+ (junction (at 133.35 128.27) (diameter 0) (color 0 0 0 0)
+ (uuid 93d2b913-3a95-4fe7-aa6b-b97b64554212)
+ )
+ (junction (at 336.55 99.06) (diameter 1.016) (color 0 0 0 0)
+ (uuid 971ade45-3405-4010-b0d9-d2a0b963a0c3)
+ )
+ (junction (at 254 85.09) (diameter 1.016) (color 0 0 0 0)
+ (uuid 9fd135a4-d2a3-4f5a-99a5-6117ffba28c0)
+ )
+ (junction (at 176.53 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid a4ebb50d-89e0-42bb-b691-a2f2811aed88)
+ )
+ (junction (at 165.1 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid ad426e06-2f48-455d-9ecd-ee8437b15806)
+ )
+ (junction (at 247.65 101.6) (diameter 1.016) (color 0 0 0 0)
+ (uuid b35ccb72-e3fc-437b-a669-3fdadc868fa0)
+ )
+ (junction (at 113.03 128.27) (diameter 0) (color 0 0 0 0)
+ (uuid b564a89d-3adb-475f-b949-1795b487923d)
+ )
+ (junction (at 299.72 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid b761cf99-692d-4b18-92b3-2a734cb1b597)
+ )
+ (junction (at 270.51 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid b8d7cb82-357f-4848-b37d-e537b96a848e)
+ )
+ (junction (at 165.1 85.09) (diameter 1.016) (color 0 0 0 0)
+ (uuid b8e1a071-5b4a-4192-b1e0-d4c94845bf90)
+ )
+ (junction (at 191.77 101.6) (diameter 1.016) (color 0 0 0 0)
+ (uuid c41e2113-072f-4de5-b1be-9d7970b651a8)
+ )
+ (junction (at 365.76 128.27) (diameter 0) (color 0 0 0 0)
+ (uuid c67201bd-9c03-40de-9a04-b9348cd41c68)
+ )
+ (junction (at 270.51 106.68) (diameter 1.016) (color 0 0 0 0)
+ (uuid c7c97226-3c88-4371-b911-f313077f135d)
+ )
+ (junction (at 93.98 64.77) (diameter 1.016) (color 0 0 0 0)
+ (uuid ca5b7ac4-3b46-4ace-9a2a-0429ad236cd1)
+ )
+ (junction (at 154.94 96.52) (diameter 1.016) (color 0 0 0 0)
+ (uuid d91c0d8a-e388-4583-8076-e8314c19f84c)
+ )
+ (junction (at 82.55 96.52) (diameter 1.016) (color 0 0 0 0)
+ (uuid de3a52d8-d7b8-4f2d-93e0-9d393f779927)
+ )
+ (junction (at 279.4 85.09) (diameter 1.016) (color 0 0 0 0)
+ (uuid de56d233-2140-41dc-9c69-5751ea963d8b)
+ )
+ (junction (at 97.79 128.27) (diameter 0) (color 0 0 0 0)
+ (uuid e74cb637-6454-4680-a513-d28d6ff5f07a)
+ )
+ (junction (at 154.94 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid f2d37c0e-27c3-4d40-b51b-49c50feeabc1)
+ )
+ (junction (at 281.94 191.77) (diameter 1.016) (color 0 0 0 0)
+ (uuid faa23a6c-6bce-455d-a164-9fd53e584d0d)
+ )
+
+ (wire (pts (xy 365.76 128.27) (xy 356.87 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 01c24cb8-6c3a-4a51-b30e-f2ba9339a79d)
+ )
+ (wire (pts (xy 154.94 96.52) (xy 154.94 100.33))
+ (stroke (width 0) (type solid))
+ (uuid 044e7c6a-39b5-4829-8e8d-ae988b7db4e0)
+ )
+ (wire (pts (xy 74.93 113.03) (xy 74.93 115.57))
+ (stroke (width 0) (type solid))
+ (uuid 0691af72-80be-434a-9300-3bca5fe45f23)
+ )
+ (wire (pts (xy 54.61 96.52) (xy 72.39 96.52))
+ (stroke (width 0) (type solid))
+ (uuid 06f615af-96c5-4de5-ace2-f38690361846)
+ )
+ (wire (pts (xy 72.39 96.52) (xy 82.55 96.52))
+ (stroke (width 0) (type solid))
+ (uuid 06f615af-96c5-4de5-ace2-f38690361847)
+ )
+ (wire (pts (xy 116.84 212.09) (xy 127 212.09))
+ (stroke (width 0) (type solid))
+ (uuid 07436276-823d-4b4a-a940-42252330a008)
+ )
+ (wire (pts (xy 322.58 128.27) (xy 308.61 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 09a4eec4-14cc-4655-9a4c-b00853dcf7f3)
+ )
+ (wire (pts (xy 279.4 85.09) (xy 288.29 85.09))
+ (stroke (width 0) (type solid))
+ (uuid 09f0cabb-6d7e-44be-8ed4-d745b57b9089)
+ )
+ (wire (pts (xy 66.04 217.17) (xy 73.66 217.17))
+ (stroke (width 0) (type default))
+ (uuid 0a31ef22-ff67-4dff-9ec7-eacec99c97d4)
+ )
+ (wire (pts (xy 299.72 128.27) (xy 288.29 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 0a83b3b4-188c-4cba-8759-05dc027d81d4)
+ )
+ (wire (pts (xy 176.53 85.09) (xy 173.99 85.09))
+ (stroke (width 0) (type solid))
+ (uuid 0bf9350f-2c54-4c5e-b94d-dc02587f9370)
+ )
+ (wire (pts (xy 154.94 128.27) (xy 165.1 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 0e8065e7-53cc-4900-831d-0741accfd97f)
+ )
+ (wire (pts (xy 207.01 181.61) (xy 207.01 186.69))
+ (stroke (width 0) (type solid))
+ (uuid 0f80bbf9-086a-484d-ad5c-9ac8609c621a)
+ )
+ (wire (pts (xy 191.77 118.11) (xy 191.77 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 11b00183-50b8-41bc-8418-25168cd28d0a)
+ )
+ (wire (pts (xy 298.45 200.66) (xy 298.45 196.85))
+ (stroke (width 0) (type solid))
+ (uuid 12b3645c-d1e1-4a78-81c4-3bbc20677276)
+ )
+ (wire (pts (xy 97.79 99.06) (xy 165.1 99.06))
+ (stroke (width 0) (type solid))
+ (uuid 12b7478e-b13b-4786-b96e-f642f08d181e)
+ )
+ (wire (pts (xy 204.47 104.14) (xy 204.47 101.6))
+ (stroke (width 0) (type solid))
+ (uuid 1313a94d-6bcb-49f1-8a5b-47b68a03b43c)
+ )
+ (wire (pts (xy 127 219.71) (xy 116.84 219.71))
+ (stroke (width 0) (type solid))
+ (uuid 13fb9f4c-9f6b-43ee-8b8c-17d7cd6cf340)
+ )
+ (wire (pts (xy 125.73 128.27) (xy 133.35 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 13fc0393-1319-46ae-b94c-8c44886117e9)
+ )
+ (wire (pts (xy 308.61 128.27) (xy 299.72 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 14c16b42-81b4-4695-9be1-cd026541a324)
+ )
+ (wire (pts (xy 356.87 99.06) (xy 356.87 110.49))
+ (stroke (width 0) (type solid))
+ (uuid 154d80d6-f6b2-4773-9cbf-48182571d2fa)
+ )
+ (wire (pts (xy 204.47 101.6) (xy 201.93 101.6))
+ (stroke (width 0) (type solid))
+ (uuid 16421cfe-cf08-423a-9f03-12f8e3682638)
+ )
+ (wire (pts (xy 140.97 113.03) (xy 147.32 113.03))
+ (stroke (width 0) (type default))
+ (uuid 18a020f7-9473-419c-a639-6821261dc720)
+ )
+ (wire (pts (xy 105.41 128.27) (xy 113.03 128.27))
+ (stroke (width 0) (type default))
+ (uuid 19d6f95b-dd0d-4bfe-b08d-58eec75dad09)
+ )
+ (wire (pts (xy 279.4 104.14) (xy 279.4 106.68))
+ (stroke (width 0) (type solid))
+ (uuid 1a5c17ce-ab82-4457-acbb-496309d97da7)
+ )
+ (wire (pts (xy 93.98 74.93) (xy 102.87 74.93))
+ (stroke (width 0) (type solid))
+ (uuid 1a5f317b-68d6-4867-87b4-aa8b30883ed8)
+ )
+ (wire (pts (xy 82.55 96.52) (xy 125.73 96.52))
+ (stroke (width 0) (type solid))
+ (uuid 1cd7572b-68b5-43c1-a7eb-bb98a5a3d4a4)
+ )
+ (wire (pts (xy 270.51 128.27) (xy 279.4 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 1ea1454c-c50e-4199-8b70-7dd034496198)
+ )
+ (wire (pts (xy 63.5 191.77) (xy 66.04 191.77))
+ (stroke (width 0) (type solid))
+ (uuid 200d132e-eecd-4055-b8c3-df0bb151078d)
+ )
+ (wire (pts (xy 176.53 200.66) (xy 182.88 200.66))
+ (stroke (width 0) (type solid))
+ (uuid 2096b6aa-457d-483a-9a63-d887d39f5af4)
+ )
+ (wire (pts (xy 93.98 57.15) (xy 93.98 64.77))
+ (stroke (width 0) (type solid))
+ (uuid 22e3970a-7d20-438d-b9d6-b0a4a3aeeadb)
+ )
+ (wire (pts (xy 127 196.85) (xy 116.84 196.85))
+ (stroke (width 0) (type solid))
+ (uuid 256b8991-53ed-4da8-8fde-b500b987a07a)
+ )
+ (wire (pts (xy 97.79 128.27) (xy 105.41 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 26628e8c-1678-4853-b495-12e2b3fc02a2)
+ )
+ (wire (pts (xy 347.98 128.27) (xy 336.55 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 2865df10-a46c-4908-a353-d33c6b7cff81)
+ )
+ (wire (pts (xy 308.61 110.49) (xy 308.61 99.06))
+ (stroke (width 0) (type solid))
+ (uuid 29936564-6250-4d87-ad21-4f1377f60e56)
+ )
+ (wire (pts (xy 82.55 106.68) (xy 82.55 113.03))
+ (stroke (width 0) (type solid))
+ (uuid 29dfbbb2-b832-4b5f-b2e0-b7311c0726e6)
+ )
+ (wire (pts (xy 82.55 113.03) (xy 82.55 115.57))
+ (stroke (width 0) (type solid))
+ (uuid 29dfbbb2-b832-4b5f-b2e0-b7311c0726e7)
+ )
+ (wire (pts (xy 49.53 116.84) (xy 43.18 116.84))
+ (stroke (width 0) (type solid))
+ (uuid 2dee84ca-ef8c-4439-8754-1ddd9cf671a4)
+ )
+ (wire (pts (xy 314.96 99.06) (xy 308.61 99.06))
+ (stroke (width 0) (type solid))
+ (uuid 2deec536-33d5-4312-87f1-b372b4bd0e54)
+ )
+ (wire (pts (xy 336.55 128.27) (xy 322.58 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 31c79e13-ce30-4539-8834-544679615872)
+ )
+ (wire (pts (xy 194.31 101.6) (xy 191.77 101.6))
+ (stroke (width 0) (type solid))
+ (uuid 32189493-d189-4d2f-b6e5-5c8dc3ad8605)
+ )
+ (wire (pts (xy 313.69 200.66) (xy 320.04 200.66))
+ (stroke (width 0) (type solid))
+ (uuid 32bf6e8f-d435-4428-b819-ae5600a6d455)
+ )
+ (wire (pts (xy 125.73 96.52) (xy 154.94 96.52))
+ (stroke (width 0) (type solid))
+ (uuid 3359a8ac-f755-49a9-8b3d-a45ac6541342)
+ )
+ (wire (pts (xy 281.94 195.58) (xy 281.94 191.77))
+ (stroke (width 0) (type solid))
+ (uuid 3510a570-8f3c-459f-9334-45a916b2248d)
+ )
+ (wire (pts (xy 55.88 247.65) (xy 48.26 247.65))
+ (stroke (width 0) (type solid))
+ (uuid 360c95f1-edf8-4752-9562-790040d22045)
+ )
+ (wire (pts (xy 154.94 95.25) (xy 154.94 96.52))
+ (stroke (width 0) (type solid))
+ (uuid 362fa288-7d38-4aa2-ab1d-a5c5490c6c05)
+ )
+ (wire (pts (xy 116.84 209.55) (xy 127 209.55))
+ (stroke (width 0) (type solid))
+ (uuid 39683f85-0b43-4e1c-be95-30a5c5747ef2)
+ )
+ (wire (pts (xy 93.98 49.53) (xy 93.98 52.07))
+ (stroke (width 0) (type solid))
+ (uuid 3a6b68a7-a3a3-4904-a0b9-091e6f09d7a6)
+ )
+ (wire (pts (xy 247.65 101.6) (xy 254 101.6))
+ (stroke (width 0) (type solid))
+ (uuid 3b2fc435-b4b0-4405-9850-2a3a9a013051)
+ )
+ (wire (pts (xy 69.85 113.03) (xy 69.85 115.57))
+ (stroke (width 0) (type solid))
+ (uuid 3d5bdb1d-b5d5-4ded-a451-8416ba382e92)
+ )
+ (wire (pts (xy 82.55 123.19) (xy 82.55 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 3ec5fe87-1c8e-47da-a101-1c06cdfde39a)
+ )
+ (wire (pts (xy 237.49 96.52) (xy 241.3 96.52))
+ (stroke (width 0) (type solid))
+ (uuid 406dcf17-a685-4341-b040-d22c133e48d4)
+ )
+ (wire (pts (xy 66.04 214.63) (xy 73.66 214.63))
+ (stroke (width 0) (type default))
+ (uuid 40a49f4b-a72f-42fd-88d8-dc7f297f0115)
+ )
+ (wire (pts (xy 327.66 184.15) (xy 327.66 181.61))
+ (stroke (width 0) (type solid))
+ (uuid 41112fbd-d7c3-4202-b8a8-62f3d3d50c6e)
+ )
+ (wire (pts (xy 87.63 44.45) (xy 115.57 44.45))
+ (stroke (width 0) (type solid))
+ (uuid 42f17cd4-356f-43f8-992c-71c887b57219)
+ )
+ (wire (pts (xy 115.57 44.45) (xy 115.57 62.23))
+ (stroke (width 0) (type solid))
+ (uuid 42f17cd4-356f-43f8-992c-71c887b5721a)
+ )
+ (wire (pts (xy 115.57 62.23) (xy 113.03 62.23))
+ (stroke (width 0) (type solid))
+ (uuid 42f17cd4-356f-43f8-992c-71c887b5721b)
+ )
+ (wire (pts (xy 356.87 128.27) (xy 347.98 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 43046446-49fa-436a-9fd2-a0c56d2bad21)
+ )
+ (wire (pts (xy 43.18 99.06) (xy 57.15 99.06))
+ (stroke (width 0) (type solid))
+ (uuid 440b4887-08ea-4984-99a7-dd6969a0e087)
+ )
+ (wire (pts (xy 327.66 191.77) (xy 336.55 191.77))
+ (stroke (width 0) (type solid))
+ (uuid 476916b7-3479-44f4-97ad-c1d1438c6167)
+ )
+ (wire (pts (xy 93.98 72.39) (xy 93.98 74.93))
+ (stroke (width 0) (type solid))
+ (uuid 4a2a971b-d993-4f4e-8478-e386537c821f)
+ )
+ (wire (pts (xy 115.57 62.23) (xy 125.73 62.23))
+ (stroke (width 0) (type solid))
+ (uuid 4bc3f44d-e2bb-4d02-adbb-49f44a776e95)
+ )
+ (wire (pts (xy 85.09 59.69) (xy 87.63 59.69))
+ (stroke (width 0) (type solid))
+ (uuid 4bff197b-bd18-4e2b-8b3a-65320c6a0e51)
+ )
+ (wire (pts (xy 87.63 59.69) (xy 97.79 59.69))
+ (stroke (width 0) (type solid))
+ (uuid 4bff197b-bd18-4e2b-8b3a-65320c6a0e52)
+ )
+ (wire (pts (xy 298.45 181.61) (xy 298.45 186.69))
+ (stroke (width 0) (type solid))
+ (uuid 4c9b9a5f-7bb0-4cc3-8fca-a563e9d6703a)
+ )
+ (wire (pts (xy 125.73 118.11) (xy 125.73 128.27))
+ (stroke (width 0) (type default))
+ (uuid 4d030fec-df14-4f41-9fdb-2b8caecb758f)
+ )
+ (wire (pts (xy 336.55 115.57) (xy 336.55 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 4d0d000f-3c61-45a1-913a-694af8fbd620)
+ )
+ (wire (pts (xy 49.53 86.36) (xy 43.18 86.36))
+ (stroke (width 0) (type solid))
+ (uuid 50305f26-0fb5-463f-93f7-c4b3ca870ca8)
+ )
+ (wire (pts (xy 236.22 181.61) (xy 236.22 184.15))
+ (stroke (width 0) (type solid))
+ (uuid 50cec011-4431-4531-93ae-206c8f52ff33)
+ )
+ (wire (pts (xy 279.4 106.68) (xy 279.4 109.22))
+ (stroke (width 0) (type solid))
+ (uuid 525cb87c-48ae-4b95-b731-a47c469d46fc)
+ )
+ (wire (pts (xy 176.53 106.68) (xy 176.53 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 53758a06-8074-41fa-9ac4-c30151d37c51)
+ )
+ (wire (pts (xy 241.3 101.6) (xy 247.65 101.6))
+ (stroke (width 0) (type solid))
+ (uuid 55adb160-e726-4c45-a843-2853d5de918a)
+ )
+ (wire (pts (xy 344.17 200.66) (xy 344.17 196.85))
+ (stroke (width 0) (type solid))
+ (uuid 5742a023-b52b-4ef9-b175-4bb3fbf2e571)
+ )
+ (wire (pts (xy 356.87 99.06) (xy 347.98 99.06))
+ (stroke (width 0) (type solid))
+ (uuid 57afa614-1b55-4918-a4d1-b81cd952eb67)
+ )
+ (wire (pts (xy 137.16 219.71) (xy 143.51 219.71))
+ (stroke (width 0) (type solid))
+ (uuid 595bf3a7-6af6-42ee-af59-23996ad4008e)
+ )
+ (wire (pts (xy 229.87 101.6) (xy 241.3 101.6))
+ (stroke (width 0) (type solid))
+ (uuid 59ee5663-b5c5-49eb-aeee-aba07a04e325)
+ )
+ (wire (pts (xy 217.17 124.46) (xy 217.17 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 5a60d349-0d99-45ce-b8bf-aad03285adaf)
+ )
+ (wire (pts (xy 336.55 99.06) (xy 330.2 99.06))
+ (stroke (width 0) (type solid))
+ (uuid 5a97715e-530f-4eb3-844a-7b2efefcbd40)
+ )
+ (wire (pts (xy 254 99.06) (xy 254 101.6))
+ (stroke (width 0) (type solid))
+ (uuid 5aeb0357-7a3f-4580-8eee-0101d6484c6c)
+ )
+ (wire (pts (xy 140.97 123.19) (xy 140.97 128.27))
+ (stroke (width 0) (type default))
+ (uuid 5b7b2a1d-b443-4b20-be73-8ca5aef7e3b4)
+ )
+ (wire (pts (xy 74.93 110.49) (xy 74.93 113.03))
+ (stroke (width 0) (type solid))
+ (uuid 5c71cd73-41cc-464b-bba8-c0506fcb6de5)
+ )
+ (wire (pts (xy 279.4 106.68) (xy 270.51 106.68))
+ (stroke (width 0) (type solid))
+ (uuid 5f82998c-69f4-42ee-aa0d-e1d2dcd3d46a)
+ )
+ (wire (pts (xy 303.53 200.66) (xy 298.45 200.66))
+ (stroke (width 0) (type solid))
+ (uuid 6109699e-9e56-42eb-9949-8fdb1b08fb2e)
+ )
+ (wire (pts (xy 49.53 106.68) (xy 43.18 106.68))
+ (stroke (width 0) (type solid))
+ (uuid 65059881-68a8-4cc3-87cd-71856ffb46ef)
+ )
+ (wire (pts (xy 349.25 200.66) (xy 344.17 200.66))
+ (stroke (width 0) (type solid))
+ (uuid 657deac9-ec70-4d3e-a191-4e4a9319e6c5)
+ )
+ (wire (pts (xy 57.15 99.06) (xy 57.15 119.38))
+ (stroke (width 0) (type solid))
+ (uuid 66591085-147b-4723-b117-5b075bc5698f)
+ )
+ (wire (pts (xy 151.13 224.79) (xy 151.13 231.14))
+ (stroke (width 0) (type solid))
+ (uuid 67618c21-7f6b-4628-8049-bbec4d7786a2)
+ )
+ (wire (pts (xy 127 191.77) (xy 116.84 191.77))
+ (stroke (width 0) (type solid))
+ (uuid 683298c4-14eb-4668-ab43-82379667c56c)
+ )
+ (wire (pts (xy 113.03 113.03) (xy 105.41 113.03))
+ (stroke (width 0) (type default))
+ (uuid 6c5e1d2d-1ebf-4919-b883-69baf63d8881)
+ )
+ (wire (pts (xy 54.61 88.9) (xy 54.61 96.52))
+ (stroke (width 0) (type solid))
+ (uuid 6e6d2887-abd5-4bc8-a0dd-347f8b1dabe9)
+ )
+ (wire (pts (xy 48.26 245.11) (xy 57.15 245.11))
+ (stroke (width 0) (type solid))
+ (uuid 71ef5394-15eb-4fe0-aedf-8b9200ed2fa1)
+ )
+ (wire (pts (xy 176.53 101.6) (xy 176.53 85.09))
+ (stroke (width 0) (type solid))
+ (uuid 7301bfe5-2e07-4982-b803-25a2198ed3e8)
+ )
+ (wire (pts (xy 151.13 201.93) (xy 151.13 204.47))
+ (stroke (width 0) (type solid))
+ (uuid 73c1ef8f-dc1e-4515-9be6-3c32042d991a)
+ )
+ (wire (pts (xy 247.65 99.06) (xy 247.65 101.6))
+ (stroke (width 0) (type solid))
+ (uuid 74d9579b-67f5-4d2c-8de7-3264718c3e24)
+ )
+ (wire (pts (xy 77.47 64.77) (xy 77.47 99.06))
+ (stroke (width 0) (type solid))
+ (uuid 756c230b-8a1f-4e76-a998-611dbb8b398f)
+ )
+ (wire (pts (xy 80.01 64.77) (xy 77.47 64.77))
+ (stroke (width 0) (type solid))
+ (uuid 756c230b-8a1f-4e76-a998-611dbb8b3990)
+ )
+ (wire (pts (xy 191.77 113.03) (xy 191.77 101.6))
+ (stroke (width 0) (type solid))
+ (uuid 75f651de-80ad-4483-a574-73cfad5fd362)
+ )
+ (wire (pts (xy 267.97 200.66) (xy 274.32 200.66))
+ (stroke (width 0) (type solid))
+ (uuid 761a2422-4da2-4dff-aedf-5856aae94c26)
+ )
+ (wire (pts (xy 347.98 128.27) (xy 347.98 115.57))
+ (stroke (width 0) (type solid))
+ (uuid 763d190b-4214-43ba-a11b-feffed27982f)
+ )
+ (wire (pts (xy 281.94 189.23) (xy 281.94 191.77))
+ (stroke (width 0) (type solid))
+ (uuid 78937407-9fcf-4668-bb26-a1f5aa0edac7)
+ )
+ (wire (pts (xy 133.35 128.27) (xy 140.97 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 7bd03d3d-5383-4f76-ac79-11c7bbdf3b84)
+ )
+ (wire (pts (xy 254 101.6) (xy 254 114.3))
+ (stroke (width 0) (type solid))
+ (uuid 7c1ae309-f706-48cd-b8fb-f1d2962e0a39)
+ )
+ (wire (pts (xy 236.22 189.23) (xy 236.22 191.77))
+ (stroke (width 0) (type solid))
+ (uuid 7d56c22d-6839-4c90-b5de-986a964866f6)
+ )
+ (wire (pts (xy 365.76 99.06) (xy 356.87 99.06))
+ (stroke (width 0) (type solid))
+ (uuid 7f24924b-8592-4a95-8a82-c3c9678e64fc)
+ )
+ (wire (pts (xy 308.61 99.06) (xy 299.72 99.06))
+ (stroke (width 0) (type solid))
+ (uuid 7fd32b75-b478-4364-bc78-492ad98aad31)
+ )
+ (wire (pts (xy 190.5 195.58) (xy 190.5 191.77))
+ (stroke (width 0) (type solid))
+ (uuid 7fe4efb1-95d4-4352-9702-e707eebb891d)
+ )
+ (wire (pts (xy 176.53 128.27) (xy 191.77 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 80459043-d446-4f9e-8484-41083848771b)
+ )
+ (wire (pts (xy 165.1 128.27) (xy 176.53 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 846ed2b9-b45b-4c06-a267-6b06598364f1)
+ )
+ (wire (pts (xy 254 85.09) (xy 279.4 85.09))
+ (stroke (width 0) (type solid))
+ (uuid 8556b4dd-5d91-4f2e-bd11-718d9194296e)
+ )
+ (wire (pts (xy 344.17 181.61) (xy 344.17 186.69))
+ (stroke (width 0) (type solid))
+ (uuid 86f7668f-3204-4495-9fe3-51a2778aa07b)
+ )
+ (wire (pts (xy 365.76 99.06) (xy 365.76 110.49))
+ (stroke (width 0) (type solid))
+ (uuid 87a2d0d5-8350-4b12-9ebe-17a2a0c3b11c)
+ )
+ (wire (pts (xy 322.58 128.27) (xy 322.58 106.68))
+ (stroke (width 0) (type solid))
+ (uuid 8879637a-db4d-4c77-bea3-ac9bdc9b5775)
+ )
+ (wire (pts (xy 66.04 219.71) (xy 73.66 219.71))
+ (stroke (width 0) (type solid))
+ (uuid 8a9bde97-c4a6-4d0b-b833-3130df94d535)
+ )
+ (wire (pts (xy 165.1 85.09) (xy 154.94 85.09))
+ (stroke (width 0) (type solid))
+ (uuid 8b2f42cc-2a01-4a7f-82f5-4a81b02b8f57)
+ )
+ (wire (pts (xy 327.66 189.23) (xy 327.66 191.77))
+ (stroke (width 0) (type solid))
+ (uuid 8ef75bff-67fe-4b78-b3a4-870ec25fd02b)
+ )
+ (wire (pts (xy 72.39 59.69) (xy 72.39 96.52))
+ (stroke (width 0) (type solid))
+ (uuid 8f9c9ec5-176c-4f0b-95f2-a684e3d2128f)
+ )
+ (wire (pts (xy 80.01 59.69) (xy 72.39 59.69))
+ (stroke (width 0) (type solid))
+ (uuid 8f9c9ec5-176c-4f0b-95f2-a684e3d21290)
+ )
+ (wire (pts (xy 57.15 250.19) (xy 48.26 250.19))
+ (stroke (width 0) (type solid))
+ (uuid 9331e0f5-8348-4b78-b664-0456f700a9c4)
+ )
+ (wire (pts (xy 62.23 209.55) (xy 73.66 209.55))
+ (stroke (width 0) (type default))
+ (uuid 946c5ae5-63f3-426b-b9f3-ef7055d9dd95)
+ )
+ (wire (pts (xy 97.79 118.11) (xy 97.79 128.27))
+ (stroke (width 0) (type default))
+ (uuid 94eb1f14-8a7e-4ef9-9143-0395eaf62eb4)
+ )
+ (wire (pts (xy 236.22 208.28) (xy 236.22 205.74))
+ (stroke (width 0) (type solid))
+ (uuid 95905f61-fc4f-4131-9c2b-8b63bbbd5b9d)
+ )
+ (wire (pts (xy 165.1 128.27) (xy 165.1 107.95))
+ (stroke (width 0) (type solid))
+ (uuid 95931420-2516-4345-83e4-e03b4494e8b9)
+ )
+ (wire (pts (xy 336.55 99.06) (xy 336.55 110.49))
+ (stroke (width 0) (type solid))
+ (uuid 962fe876-285b-43ed-9e57-cfad222b2ef8)
+ )
+ (wire (pts (xy 127 199.39) (xy 116.84 199.39))
+ (stroke (width 0) (type solid))
+ (uuid 977873c4-0304-43ff-8c6f-aab5b83c133b)
+ )
+ (wire (pts (xy 270.51 110.49) (xy 270.51 106.68))
+ (stroke (width 0) (type solid))
+ (uuid 981badb3-4b23-41a5-a262-2200799e4a10)
+ )
+ (wire (pts (xy 113.03 115.57) (xy 113.03 113.03))
+ (stroke (width 0) (type default))
+ (uuid 99509cbe-a79f-4f19-95ea-4a1fe4416491)
+ )
+ (wire (pts (xy 252.73 200.66) (xy 252.73 196.85))
+ (stroke (width 0) (type solid))
+ (uuid 9a3d4ad7-f164-4745-b38f-b75a88566437)
+ )
+ (wire (pts (xy 330.2 101.6) (xy 334.01 101.6))
+ (stroke (width 0) (type solid))
+ (uuid 9ceca1f2-ad91-41fd-97a0-9a26eda3065a)
+ )
+ (wire (pts (xy 247.65 85.09) (xy 247.65 91.44))
+ (stroke (width 0) (type solid))
+ (uuid a2680fae-211c-4b27-ac5e-9c54cb1fd5ef)
+ )
+ (wire (pts (xy 254 128.27) (xy 270.51 128.27))
+ (stroke (width 0) (type solid))
+ (uuid a26e6746-fd51-4c19-84cb-ab2dd01f60cb)
+ )
+ (wire (pts (xy 59.69 113.03) (xy 69.85 113.03))
+ (stroke (width 0) (type solid))
+ (uuid a2cb1101-dfdf-4de5-895e-930718893668)
+ )
+ (wire (pts (xy 69.85 113.03) (xy 74.93 113.03))
+ (stroke (width 0) (type solid))
+ (uuid a2cb1101-dfdf-4de5-895e-930718893669)
+ )
+ (wire (pts (xy 74.93 113.03) (xy 82.55 113.03))
+ (stroke (width 0) (type solid))
+ (uuid a2cb1101-dfdf-4de5-895e-93071889366a)
+ )
+ (wire (pts (xy 54.61 109.22) (xy 43.18 109.22))
+ (stroke (width 0) (type solid))
+ (uuid a5817db6-32fb-454a-883f-9fd3503fea5b)
+ )
+ (wire (pts (xy 140.97 113.03) (xy 133.35 113.03))
+ (stroke (width 0) (type default))
+ (uuid a5c43384-cd2e-4b90-a6d4-6b4e8ae0ef10)
+ )
+ (wire (pts (xy 281.94 181.61) (xy 281.94 184.15))
+ (stroke (width 0) (type solid))
+ (uuid a60f5ccd-b22e-4a47-9dbf-c67eac7c6fde)
+ )
+ (wire (pts (xy 191.77 96.52) (xy 204.47 96.52))
+ (stroke (width 0) (type solid))
+ (uuid a6888cd9-0e3a-4016-93c0-6025a0b38b98)
+ )
+ (wire (pts (xy 165.1 87.63) (xy 165.1 85.09))
+ (stroke (width 0) (type solid))
+ (uuid a6c72836-33d5-4497-b918-ee240edc5f62)
+ )
+ (wire (pts (xy 191.77 96.52) (xy 191.77 85.09))
+ (stroke (width 0) (type solid))
+ (uuid a92711a8-f3ae-41ce-a3bd-4ee0634d1e2d)
+ )
+ (wire (pts (xy 105.41 113.03) (xy 105.41 115.57))
+ (stroke (width 0) (type default))
+ (uuid a9977243-9dff-42ad-8b74-acf8ca5f6ad3)
+ )
+ (wire (pts (xy 74.93 102.87) (xy 74.93 105.41))
+ (stroke (width 0) (type solid))
+ (uuid aa7952cc-166c-4845-95d4-e06c5049b93f)
+ )
+ (wire (pts (xy 127 217.17) (xy 116.84 217.17))
+ (stroke (width 0) (type solid))
+ (uuid ab922c52-d141-44a7-b796-cc7d3399a812)
+ )
+ (wire (pts (xy 191.77 128.27) (xy 217.17 128.27))
+ (stroke (width 0) (type solid))
+ (uuid abc5132f-fc2b-47c5-9ac7-0baab2c2adb5)
+ )
+ (wire (pts (xy 154.94 85.09) (xy 154.94 87.63))
+ (stroke (width 0) (type solid))
+ (uuid abcecedf-1b33-49e5-9301-7bb359f70b3b)
+ )
+ (wire (pts (xy 347.98 99.06) (xy 336.55 99.06))
+ (stroke (width 0) (type solid))
+ (uuid ae1bb61b-bc28-42dc-84ae-83b5ec306fc5)
+ )
+ (wire (pts (xy 113.03 113.03) (xy 119.38 113.03))
+ (stroke (width 0) (type default))
+ (uuid ae20f153-5a1f-4c41-8af2-1e864903a49f)
+ )
+ (wire (pts (xy 288.29 85.09) (xy 299.72 85.09))
+ (stroke (width 0) (type solid))
+ (uuid ae87ac1b-46d6-4df0-8e0b-00c339c1417e)
+ )
+ (wire (pts (xy 288.29 128.27) (xy 288.29 101.6))
+ (stroke (width 0) (type solid))
+ (uuid b0bddd31-9c83-4ec7-a18f-c8f731e5356e)
+ )
+ (wire (pts (xy 299.72 99.06) (xy 299.72 110.49))
+ (stroke (width 0) (type solid))
+ (uuid b1e75810-d4d4-4b3d-b2a2-c844177f9a14)
+ )
+ (wire (pts (xy 288.29 96.52) (xy 288.29 85.09))
+ (stroke (width 0) (type solid))
+ (uuid b20a5ef0-f88e-420a-8e3f-2bf94d04f8af)
+ )
+ (wire (pts (xy 105.41 123.19) (xy 105.41 128.27))
+ (stroke (width 0) (type default))
+ (uuid b3b9ef0d-b395-47aa-a506-9cdce9fa1a8c)
+ )
+ (wire (pts (xy 54.61 96.52) (xy 54.61 109.22))
+ (stroke (width 0) (type solid))
+ (uuid b3bb4deb-8456-49cf-bfa8-dac920721950)
+ )
+ (wire (pts (xy 252.73 181.61) (xy 252.73 186.69))
+ (stroke (width 0) (type solid))
+ (uuid b4cda3b0-87df-4b9c-b8e5-e5fd47b3765d)
+ )
+ (wire (pts (xy 270.51 106.68) (xy 229.87 106.68))
+ (stroke (width 0) (type solid))
+ (uuid b62dc295-075d-46e5-aa8c-b647d4845bef)
+ )
+ (wire (pts (xy 127 207.01) (xy 116.84 207.01))
+ (stroke (width 0) (type solid))
+ (uuid b6709d65-bc06-4cda-8de0-9f979223a7fa)
+ )
+ (wire (pts (xy 229.87 111.76) (xy 238.76 111.76))
+ (stroke (width 0) (type solid))
+ (uuid b7626b5b-05a4-40f0-817e-ede355bce018)
+ )
+ (wire (pts (xy 212.09 200.66) (xy 207.01 200.66))
+ (stroke (width 0) (type solid))
+ (uuid b78e80ca-9c56-407e-a86c-613eb0ab9bdf)
+ )
+ (wire (pts (xy 151.13 185.42) (xy 151.13 187.96))
+ (stroke (width 0) (type solid))
+ (uuid b82b190e-4eac-46eb-908c-74dcea160264)
+ )
+ (wire (pts (xy 116.84 204.47) (xy 127 204.47))
+ (stroke (width 0) (type solid))
+ (uuid b92911e0-e75c-416f-8623-767b6129f051)
+ )
+ (wire (pts (xy 299.72 115.57) (xy 299.72 128.27))
+ (stroke (width 0) (type solid))
+ (uuid bda8130d-6253-4e68-a94d-f22a370f3837)
+ )
+ (wire (pts (xy 43.18 88.9) (xy 54.61 88.9))
+ (stroke (width 0) (type solid))
+ (uuid be4704ed-24de-4eba-b9c1-55969fcce1b0)
+ )
+ (wire (pts (xy 254 121.92) (xy 254 128.27))
+ (stroke (width 0) (type solid))
+ (uuid be5a7e33-f49d-4247-a68a-65eb91a32876)
+ )
+ (wire (pts (xy 281.94 191.77) (xy 290.83 191.77))
+ (stroke (width 0) (type solid))
+ (uuid bef7445a-81ba-436e-9d26-31a830de4cf0)
+ )
+ (wire (pts (xy 207.01 200.66) (xy 207.01 196.85))
+ (stroke (width 0) (type solid))
+ (uuid befb98d4-66e5-4b93-a08c-95721ce4e586)
+ )
+ (wire (pts (xy 281.94 208.28) (xy 281.94 205.74))
+ (stroke (width 0) (type solid))
+ (uuid bf5800a2-75df-40ff-b4fe-a53dc6dd2bc4)
+ )
+ (wire (pts (xy 327.66 195.58) (xy 327.66 191.77))
+ (stroke (width 0) (type solid))
+ (uuid bf7eedad-1f84-4859-a1af-05e06bb8bb54)
+ )
+ (wire (pts (xy 125.73 96.52) (xy 125.73 107.95))
+ (stroke (width 0) (type default))
+ (uuid c09301ab-bbb0-4de6-94e8-e77abf1dd944)
+ )
+ (wire (pts (xy 176.53 85.09) (xy 191.77 85.09))
+ (stroke (width 0) (type solid))
+ (uuid c3116d2b-9a37-411e-8904-f0c2d4507d99)
+ )
+ (wire (pts (xy 127 201.93) (xy 116.84 201.93))
+ (stroke (width 0) (type solid))
+ (uuid c4c75bd4-43d0-4805-af2b-bca75eb61623)
+ )
+ (wire (pts (xy 140.97 115.57) (xy 140.97 113.03))
+ (stroke (width 0) (type default))
+ (uuid c6060517-9f7f-4ca8-963b-013f83f73b95)
+ )
+ (wire (pts (xy 299.72 85.09) (xy 365.76 85.09))
+ (stroke (width 0) (type solid))
+ (uuid c6a1e12f-bb9c-4d36-889a-e472e90ad20e)
+ )
+ (wire (pts (xy 222.25 200.66) (xy 228.6 200.66))
+ (stroke (width 0) (type solid))
+ (uuid c6af0e4a-a7a2-4c26-9d72-5f7443ab2dcd)
+ )
+ (wire (pts (xy 154.94 107.95) (xy 154.94 128.27))
+ (stroke (width 0) (type solid))
+ (uuid c6de987e-939c-4a30-91cf-f5285ab43898)
+ )
+ (wire (pts (xy 236.22 191.77) (xy 245.11 191.77))
+ (stroke (width 0) (type solid))
+ (uuid c83dba7c-bb7c-498d-8ebb-bb471f15dbe1)
+ )
+ (wire (pts (xy 299.72 85.09) (xy 299.72 99.06))
+ (stroke (width 0) (type solid))
+ (uuid c8c957ed-3dd8-4717-8e6d-4c8927a06510)
+ )
+ (wire (pts (xy 365.76 115.57) (xy 365.76 128.27))
+ (stroke (width 0) (type solid))
+ (uuid c93365cd-9a3f-4d3e-9bf7-b02ecc3a4ba9)
+ )
+ (wire (pts (xy 257.81 200.66) (xy 252.73 200.66))
+ (stroke (width 0) (type solid))
+ (uuid cc3cfab0-59ef-4de2-9e91-0d36274e7750)
+ )
+ (wire (pts (xy 247.65 85.09) (xy 254 85.09))
+ (stroke (width 0) (type solid))
+ (uuid ce25a2e9-3290-416f-9aa8-5cc6452475f2)
+ )
+ (wire (pts (xy 190.5 189.23) (xy 190.5 191.77))
+ (stroke (width 0) (type solid))
+ (uuid ce55d4ca-2293-4360-989e-444f983bf48f)
+ )
+ (wire (pts (xy 116.84 194.31) (xy 127 194.31))
+ (stroke (width 0) (type solid))
+ (uuid ce6efcb1-cb8f-489b-a1e7-ccab51dd1f51)
+ )
+ (wire (pts (xy 151.13 194.31) (xy 151.13 193.04))
+ (stroke (width 0) (type solid))
+ (uuid ce7bdc28-7cae-440f-82e2-ca90e81489be)
+ )
+ (wire (pts (xy 140.97 128.27) (xy 154.94 128.27))
+ (stroke (width 0) (type solid))
+ (uuid d16ce38d-6da7-486e-a9f9-d42c61e8f400)
+ )
+ (wire (pts (xy 217.17 128.27) (xy 254 128.27))
+ (stroke (width 0) (type solid))
+ (uuid d248ef83-ef05-4e45-8d39-c2e4cc9bbf83)
+ )
+ (wire (pts (xy 87.63 52.07) (xy 87.63 44.45))
+ (stroke (width 0) (type solid))
+ (uuid d2dd41db-901c-4d88-82c7-0a01e59b2a28)
+ )
+ (wire (pts (xy 102.87 53.34) (xy 102.87 54.61))
+ (stroke (width 0) (type solid))
+ (uuid d2dd41db-901c-4d88-82c7-0a01e59b2a29)
+ )
+ (wire (pts (xy 57.15 99.06) (xy 77.47 99.06))
+ (stroke (width 0) (type solid))
+ (uuid d37795f9-4f11-4ee2-84c4-25d53fdf58be)
+ )
+ (wire (pts (xy 77.47 99.06) (xy 97.79 99.06))
+ (stroke (width 0) (type solid))
+ (uuid d37795f9-4f11-4ee2-84c4-25d53fdf58bf)
+ )
+ (wire (pts (xy 190.5 191.77) (xy 199.39 191.77))
+ (stroke (width 0) (type solid))
+ (uuid d535d573-4853-46fe-9479-f8272b9078a0)
+ )
+ (wire (pts (xy 279.4 116.84) (xy 279.4 128.27))
+ (stroke (width 0) (type solid))
+ (uuid d6e796a8-e775-42c0-830e-021d7618214d)
+ )
+ (wire (pts (xy 133.35 113.03) (xy 133.35 115.57))
+ (stroke (width 0) (type default))
+ (uuid d74d54e6-9006-4152-a69d-c6e0d48cff2a)
+ )
+ (wire (pts (xy 356.87 115.57) (xy 356.87 128.27))
+ (stroke (width 0) (type solid))
+ (uuid d7c38bb2-ef7c-43ce-89e5-3ff9841bc162)
+ )
+ (wire (pts (xy 93.98 64.77) (xy 93.98 67.31))
+ (stroke (width 0) (type solid))
+ (uuid d90563f2-04a1-447b-a814-73f685b2f179)
+ )
+ (wire (pts (xy 97.79 99.06) (xy 97.79 107.95))
+ (stroke (width 0) (type default))
+ (uuid da5a422e-e81b-4a34-b7a3-06e720bedf6b)
+ )
+ (wire (pts (xy 102.87 69.85) (xy 102.87 74.93))
+ (stroke (width 0) (type solid))
+ (uuid dcc816e7-260e-4192-aa85-c419294dcff8)
+ )
+ (wire (pts (xy 102.87 74.93) (xy 102.87 77.47))
+ (stroke (width 0) (type solid))
+ (uuid dcc816e7-260e-4192-aa85-c419294dcff9)
+ )
+ (wire (pts (xy 165.1 95.25) (xy 165.1 99.06))
+ (stroke (width 0) (type solid))
+ (uuid ddbfcac1-e26f-4f0d-af47-adc02beedcdf)
+ )
+ (wire (pts (xy 113.03 128.27) (xy 125.73 128.27))
+ (stroke (width 0) (type default))
+ (uuid dee465ec-735b-4976-913a-118375bde29b)
+ )
+ (wire (pts (xy 190.5 181.61) (xy 190.5 184.15))
+ (stroke (width 0) (type solid))
+ (uuid e04e5865-5c75-4b82-ab0a-bd0de4856d82)
+ )
+ (wire (pts (xy 165.1 85.09) (xy 173.99 85.09))
+ (stroke (width 0) (type solid))
+ (uuid e0975ad5-f3b8-472c-b7ba-fb255e95b6a2)
+ )
+ (wire (pts (xy 308.61 128.27) (xy 308.61 115.57))
+ (stroke (width 0) (type solid))
+ (uuid e20e1a64-7790-40fb-8180-1a8b2a64b734)
+ )
+ (wire (pts (xy 82.55 128.27) (xy 97.79 128.27))
+ (stroke (width 0) (type solid))
+ (uuid e20fd569-e0b8-4de4-9d41-1aa82373ca77)
+ )
+ (wire (pts (xy 270.51 115.57) (xy 270.51 128.27))
+ (stroke (width 0) (type solid))
+ (uuid e309e033-b4ad-44df-b115-2452400b6a0a)
+ )
+ (wire (pts (xy 48.26 252.73) (xy 55.88 252.73))
+ (stroke (width 0) (type solid))
+ (uuid e37c8c24-290b-4e87-a61f-7eb8feca186a)
+ )
+ (wire (pts (xy 49.53 96.52) (xy 43.18 96.52))
+ (stroke (width 0) (type solid))
+ (uuid e37d2c99-2d2b-4545-9f56-e6c55be71b2c)
+ )
+ (wire (pts (xy 69.85 121.92) (xy 69.85 120.65))
+ (stroke (width 0) (type solid))
+ (uuid e4c6411b-4b5d-473d-b1db-5f96a25d2bee)
+ )
+ (wire (pts (xy 74.93 121.92) (xy 69.85 121.92))
+ (stroke (width 0) (type solid))
+ (uuid e4c6411b-4b5d-473d-b1db-5f96a25d2bef)
+ )
+ (wire (pts (xy 85.09 64.77) (xy 93.98 64.77))
+ (stroke (width 0) (type solid))
+ (uuid e5544940-e483-46f4-9655-be2391ea1f2a)
+ )
+ (wire (pts (xy 93.98 64.77) (xy 97.79 64.77))
+ (stroke (width 0) (type solid))
+ (uuid e5544940-e483-46f4-9655-be2391ea1f2b)
+ )
+ (wire (pts (xy 74.93 120.65) (xy 74.93 121.92))
+ (stroke (width 0) (type solid))
+ (uuid e8aca27c-7e21-4238-bc2e-c7b0d1a57f04)
+ )
+ (wire (pts (xy 74.93 121.92) (xy 74.93 123.19))
+ (stroke (width 0) (type solid))
+ (uuid e8aca27c-7e21-4238-bc2e-c7b0d1a57f05)
+ )
+ (wire (pts (xy 190.5 208.28) (xy 190.5 205.74))
+ (stroke (width 0) (type solid))
+ (uuid e9565386-697f-4c55-b6b2-a6dae565b92f)
+ )
+ (wire (pts (xy 347.98 99.06) (xy 347.98 110.49))
+ (stroke (width 0) (type solid))
+ (uuid ea7659dd-1cff-45d5-89c3-eefcaa02a4b2)
+ )
+ (wire (pts (xy 254 91.44) (xy 254 85.09))
+ (stroke (width 0) (type solid))
+ (uuid ef632ffa-1102-447b-ada1-ed19fb5d5206)
+ )
+ (wire (pts (xy 236.22 195.58) (xy 236.22 191.77))
+ (stroke (width 0) (type solid))
+ (uuid efcf8533-f8a5-4a40-8a74-6096179a597c)
+ )
+ (wire (pts (xy 279.4 128.27) (xy 288.29 128.27))
+ (stroke (width 0) (type solid))
+ (uuid f0af59f1-e863-4b74-9765-b39216199d29)
+ )
+ (wire (pts (xy 196.85 111.76) (xy 204.47 111.76))
+ (stroke (width 0) (type solid))
+ (uuid f30f2e4c-8404-439c-a766-636e3532aa24)
+ )
+ (wire (pts (xy 165.1 99.06) (xy 165.1 100.33))
+ (stroke (width 0) (type solid))
+ (uuid f666d362-ecd9-49bd-b9ec-6b5a34b21634)
+ )
+ (wire (pts (xy 71.12 191.77) (xy 73.66 191.77))
+ (stroke (width 0) (type solid))
+ (uuid f6bdc837-9415-4d3e-b4c3-9b819b2241dd)
+ )
+ (wire (pts (xy 82.55 101.6) (xy 82.55 96.52))
+ (stroke (width 0) (type solid))
+ (uuid f6d81c67-d475-4af0-be36-40b36a2213e0)
+ )
+ (wire (pts (xy 57.15 119.38) (xy 43.18 119.38))
+ (stroke (width 0) (type solid))
+ (uuid f780284f-6a78-4e95-92b1-b5e92f594302)
+ )
+ (wire (pts (xy 327.66 208.28) (xy 327.66 205.74))
+ (stroke (width 0) (type solid))
+ (uuid f95ebdff-c11c-42c8-ae53-ca698d258528)
+ )
+ (wire (pts (xy 279.4 96.52) (xy 279.4 85.09))
+ (stroke (width 0) (type solid))
+ (uuid f9a297ba-33eb-4f2e-ad14-63de53ee1d21)
+ )
+ (wire (pts (xy 133.35 123.19) (xy 133.35 128.27))
+ (stroke (width 0) (type default))
+ (uuid fac9ca77-01eb-457d-a7e9-02644a811ef7)
+ )
+ (wire (pts (xy 113.03 123.19) (xy 113.03 128.27))
+ (stroke (width 0) (type default))
+ (uuid fb7642c6-b279-4693-9066-c9f5ff7441af)
+ )
+ (wire (pts (xy 116.84 214.63) (xy 127 214.63))
+ (stroke (width 0) (type solid))
+ (uuid fcacbb4a-d91f-4a36-8ed5-8b93d35c9f9a)
+ )
+ (wire (pts (xy 151.13 212.09) (xy 151.13 214.63))
+ (stroke (width 0) (type solid))
+ (uuid fd595e3c-f33b-4382-ab2d-74fb6913e333)
+ )
+ (wire (pts (xy 191.77 96.52) (xy 191.77 101.6))
+ (stroke (width 0) (type solid))
+ (uuid fda154b4-67ec-41e1-8fee-a6ee4da2d764)
+ )
+ (wire (pts (xy 87.63 57.15) (xy 87.63 59.69))
+ (stroke (width 0) (type solid))
+ (uuid ffc9b7b7-fab1-4a06-9ca1-d9f0b5998148)
+ )
+
+ (text "13V(!)" (at 355.6 83.82 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 0c173991-5c08-4160-982d-f13fa19022fa)
+ )
+ (text "TODO:\n* R2, R4 missing from bom?\n* R9 missing from bom?"
+ (at 130.175 251.46 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 15a5e320-b7f4-49e7-8f9d-0e816c865c17)
+ )
+ (text "Ilim=2A" (at 194.31 105.41 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid bf087ef6-8191-407d-936a-b2bf1e21813f)
+ )
+
+ (label "Q2" (at 303.53 200.66 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 0c9e22cc-e6e8-43e0-83dc-772ebe2930c7)
+ )
+ (label "CH0" (at 127 196.85 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 112d4a4a-44e8-42eb-b002-2f8f577b7f39)
+ )
+ (label "Q2" (at 49.53 86.36 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 1449d190-9c24-48d8-89b0-163d1c97e888)
+ )
+ (label "LOAD" (at 127 191.77 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 20dbc7f5-e9f6-4b6d-b3ec-6ed5d5bbb2e5)
+ )
+ (label "VIN_A" (at 85.09 96.52 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 271fb858-2a8c-42b7-a033-11e1072e40d8)
+ )
+ (label "RECT1" (at 127 212.09 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 31bf955c-d0bd-4173-80c5-1f3666ae8d47)
+ )
+ (label "CH0" (at 176.53 200.66 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 334a63f6-2ef6-4579-8380-40e3b34097f3)
+ )
+ (label "SWDIO" (at 55.88 252.73 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 355316a1-ee1a-4885-ab7a-d316ed40f815)
+ )
+ (label "ILIM" (at 203.2 101.6 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 3bef1742-25a6-4ae8-944a-d3e52b20f011)
+ )
+ (label "TX_EN" (at 196.85 111.76 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 3d3eb366-53a9-4755-bd97-332bbac5632f)
+ )
+ (label "Q3" (at 349.25 200.66 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 41437e96-b733-413e-82f9-a97f91357d57)
+ )
+ (label "Q0" (at 212.09 200.66 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 49e41d53-6fbf-4314-9aac-b54c074dea89)
+ )
+ (label "CH2" (at 127 204.47 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 56163577-59a0-45c4-861d-dcb8c084b7b5)
+ )
+ (label "Vdiff" (at 127 201.93 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 5a4c6d66-525d-4e47-9aa3-6498a6762ea2)
+ )
+ (label "RECT1" (at 119.38 113.03 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 5a843f18-6bc6-4923-a866-00642a8f4d27)
+ )
+ (label "Vmeas_A" (at 127 194.31 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 66bd91f4-e159-48c2-93a5-93e86238efa3)
+ )
+ (label "SWDIO" (at 127 217.17 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 6e7fb56a-67e4-4618-8e47-1ec43119ef28)
+ )
+ (label "RECT2" (at 62.23 209.55 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 7033bcbd-2f1e-45e5-81bc-f943a600beff)
+ )
+ (label "Vmeas_A" (at 59.69 113.03 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 773bdc11-da0c-4749-a765-55609d8867b2)
+ )
+ (label "CH2" (at 267.97 200.66 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 8aa15104-7d38-4715-967a-f0903c35fcff)
+ )
+ (label "Q3" (at 49.53 106.68 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 8d326f90-0a39-4756-826b-c147c45a1b02)
+ )
+ (label "Q1" (at 49.53 116.84 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 8ef37a5b-a787-48c9-916e-cf1ecce96cb7)
+ )
+ (label "VIN_B" (at 85.09 99.06 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 926f24c8-ca1a-4c66-a1bb-ed63f3f15556)
+ )
+ (label "SWCLK" (at 55.88 247.65 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 9568b5ad-4c70-48cf-a47b-d66aff0d4414)
+ )
+ (label "TX_POK" (at 238.76 111.76 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid a574ba14-a9e1-4902-8cd3-dd7d139505ee)
+ )
+ (label "CH3" (at 313.69 200.66 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid a6f11d63-a9a5-4c8f-8605-8e9a2c5edf3c)
+ )
+ (label "CH3" (at 127 199.39 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid a7142955-b5c2-4bab-9b1f-3aab1b38524d)
+ )
+ (label "LOAD" (at 137.16 219.71 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid b7043768-1061-4d6b-a072-8d64d1323951)
+ )
+ (label "Q0" (at 49.53 96.52 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid b841237f-4a9f-423e-8df7-ba0a2a051ed0)
+ )
+ (label "CH1" (at 66.04 217.17 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid c37df010-48a0-48e8-a6d1-c60bdd3af667)
+ )
+ (label "RECT2" (at 147.32 113.03 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid c387e6cf-fe11-4f67-b112-94c2843a1554)
+ )
+ (label "SWCLK" (at 127 219.71 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid c693fcd0-353c-4696-a87d-6afd12de0bf2)
+ )
+ (label "TX_EN" (at 66.04 214.63 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid d1f6155c-e643-4edb-bc40-a7c7bc36fb30)
+ )
+ (label "PAD" (at 334.01 101.6 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid d936667c-7c5c-42a2-b547-12c238525be5)
+ )
+ (label "Q1" (at 257.81 200.66 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid e342cec3-b055-4adb-8e5d-6a3e8f47982c)
+ )
+ (label "TX_POK" (at 127 209.55 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid e6764ac2-390c-4f2e-a555-45abec52219e)
+ )
+ (label "Vdiff" (at 125.73 62.23 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid eb259fed-931b-4c19-b786-3e22b5065226)
+ )
+ (label "CH1" (at 222.25 200.66 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid fbac11c6-8ddc-4f2e-b8c0-07e0c68015ba)
+ )
+
+ (symbol (lib_id "center-rescue:AP1117-33-Regulator_Linear") (at 322.58 99.06 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c19682a)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 322.58 92.9132 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 322.58 95.2246 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 322.58 93.98 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.diodes.com/datasheets/AP1117.pdf" (id 3) (at 325.12 105.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid ca43a32b-a36b-4f4a-8e5e-1447f9a8b8f9))
+ (pin "2" (uuid 1c63f910-d39b-4b49-afdc-cf435a310115))
+ (pin "3" (uuid ec268f7a-90e7-4e8b-9c03-97e93aab1421))
+ (pin "4" (uuid c75462d7-b63f-4779-bff7-3352577c12d7))
+ )
+
+ (symbol (lib_id "center-rescue:Conn_01x02-Connector_Generic") (at 38.1 86.36 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c196961)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 34.29 87.63 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 40.132 92.3036 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 38.1 86.36 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 38.1 86.36 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 0fa44551-3e8d-477a-b2f0-50038b4b4029))
+ (pin "2" (uuid eee17b54-5a16-4974-86c7-956101533507))
+ )
+
+ (symbol (lib_id "center-rescue:Conn_01x02-Connector_Generic") (at 38.1 96.52 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c196b9d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 34.29 97.79 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 40.132 102.4636 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 38.1 96.52 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 38.1 96.52 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid a419653f-d459-4d25-855b-5d271c2dd256))
+ (pin "2" (uuid 7e8c361b-f4f2-4f75-8fea-6b32693b94df))
+ )
+
+ (symbol (lib_id "center-rescue:Conn_01x02-Connector_Generic") (at 38.1 106.68 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c196c4d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 34.29 107.95 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 40.132 112.6236 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 38.1 106.68 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 38.1 106.68 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2a128935-f956-4d2b-8583-c814cd7093e4))
+ (pin "2" (uuid e8300c5d-d848-43e9-bf91-7fe2d6136b7b))
+ )
+
+ (symbol (lib_id "center-rescue:Conn_01x02-Connector_Generic") (at 38.1 116.84 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c196d8e)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 34.29 118.11 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 40.132 122.7836 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 38.1 116.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 38.1 116.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 5b434268-95d5-438c-986d-02e5ec4c55f6))
+ (pin "2" (uuid ae2606d7-61f5-48d0-a8cc-ec477541171a))
+ )
+
+ (symbol (lib_id "center-rescue:D_Schottky_ALT-Device") (at 165.1 91.44 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c199ccc)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 167.1066 90.2716 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 167.1066 92.583 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 165.1 91.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 165.1 91.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C65010" (id 4) (at 165.1 91.44 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 077346c9-b875-499c-a0dc-145666efe834))
+ (pin "2" (uuid dfe198b1-2cd3-4986-82a6-f566c455bc77))
+ )
+
+ (symbol (lib_id "center-rescue:CP_Small-Device") (at 288.29 99.06 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c1a6a7d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 284.48 95.25 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 289.56 121.92 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 288.29 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 288.29 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "CapXon" (id 4) (at 287.02 121.92 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "PN" "63YXF220MFFCT810X20" (id 5) (at 292.1 121.92 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "LCSC" "C59339" (id 6) (at 288.29 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid f89b2a4b-ab99-42dc-bf35-eaa2bfa1652c))
+ (pin "2" (uuid e3c8463c-49e4-41a5-b141-411e2ca20f3b))
+ )
+
+ (symbol (lib_id "center-rescue:CP_Small-Device") (at 336.55 113.03 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c1a7520)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 338.7852 111.8616 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 338.7852 114.173 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 336.55 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 336.55 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "25YXF47MFFC5X11" (id 4) (at 336.55 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C216203" (id 5) (at 336.55 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Rubycon" (id 6) (at 336.55 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 5739e9a9-8407-4611-a95b-9878668ecec2))
+ (pin "2" (uuid 073b09fc-88f4-4491-8e21-9f456e845ef8))
+ )
+
+ (symbol (lib_id "center-rescue:C_Small-Device") (at 299.72 113.03 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c1aafa9)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 302.0568 111.8616 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 302.0568 114.173 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 299.72 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 299.72 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3c97ab3c-ee10-4145-9307-4be5dcf8641b))
+ (pin "2" (uuid 7b986517-edd2-4607-9b93-9e16b294c8de))
+ )
+
+ (symbol (lib_id "center-rescue:C_Small-Device") (at 308.61 113.03 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c1af3bd)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 310.9468 111.8616 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 310.9468 114.173 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 308.61 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 308.61 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid d8197674-ffe7-49a4-b5da-6ee3ae473a21))
+ (pin "2" (uuid 54ec08be-d7f0-4891-9f12-97f701ec10e8))
+ )
+
+ (symbol (lib_id "center-rescue:C_Small-Device") (at 347.98 113.03 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c1afb91)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 350.3168 111.8616 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 350.3168 114.173 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 347.98 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 347.98 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid b8d2fb65-4d13-4938-a762-443f418afa24))
+ (pin "2" (uuid 56a0faf1-8d8e-43e4-873e-97f630fbdad3))
+ )
+
+ (symbol (lib_id "center-rescue:C_Small-Device") (at 356.87 113.03 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c1b07a6)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 359.2068 111.8616 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 359.2068 114.173 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 356.87 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 356.87 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid fc191029-108d-465e-939f-9f3144002071))
+ (pin "2" (uuid 0da6e875-1af1-4231-909f-8c7c7ac48c24))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 82.55 104.14 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c1b72f8)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 84.0486 102.9716 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 84.0486 105.283 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 82.55 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 82.55 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 9266827e-3b55-4861-8ea5-0377a3c6edf1))
+ (pin "2" (uuid bb3ca044-a9fd-4af8-ba9c-b23d86d107c7))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 151.13 190.5 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c1f9ee6)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 152.6286 189.3316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 152.6286 191.643 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 151.13 190.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 151.13 190.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 740a3cbe-24d5-4c0a-8016-cb08cd889df8))
+ (pin "2" (uuid 7449aedb-a356-4b06-afd8-d7160a1f3bcd))
+ )
+
+ (symbol (lib_id "center-rescue:+3.3V-power") (at 365.76 99.06 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c2174d4)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 361.95 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 369.0112 99.441 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 365.76 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 365.76 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid a5507133-e9a6-402d-a227-7a739c435ae8))
+ )
+
+ (symbol (lib_id "center-rescue:GND-power") (at 365.76 128.27 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c21cdd5)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 372.11 128.27 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 369.0112 128.143 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 365.76 128.27 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 365.76 128.27 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid be5b2371-005d-43f7-b8a1-1d750f52d5c0))
+ )
+
+ (symbol (lib_id "center-rescue:+12V-power") (at 365.76 85.09 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c2201cd)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 361.95 85.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 369.0112 85.471 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 365.76 85.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 365.76 85.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid d36b375b-173a-4b89-b688-556a1442c810))
+ )
+
+ (symbol (lib_id "center-rescue:+3.3V-power") (at 99.06 186.69 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c223c57)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 99.06 190.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 99.441 182.2958 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 99.06 186.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 99.06 186.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 744aa83f-43b9-449c-a2ea-baf2fad3bdcd))
+ )
+
+ (symbol (lib_id "center-rescue:GND-power") (at 99.06 224.79 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c22656d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 99.06 231.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 99.187 229.1842 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 99.06 224.79 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 99.06 224.79 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid f3264b80-1138-44f1-9089-ebe578c1aa1b))
+ )
+
+ (symbol (lib_id "center-rescue:GND-power") (at 151.13 231.14 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c2270d7)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 151.13 237.49 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 151.257 235.5342 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 151.13 231.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 151.13 231.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 09215757-3934-408e-966c-6e1d3b45652b))
+ )
+
+ (symbol (lib_id "center-rescue:+12V-power") (at 151.13 185.42 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c227d6b)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 151.13 189.23 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 151.511 181.0258 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 151.13 185.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 151.13 185.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 8d214fc3-ffd6-4e00-91a4-70c7c48adb52))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 68.58 191.77 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c36fd60)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 66.04 189.23 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 71.12 189.23 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 68.58 191.77 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 68.58 191.77 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid b08079c4-b354-43f4-8826-48730e423185))
+ (pin "2" (uuid 260e90e3-f8b7-412f-8df3-4714bcd3a7bf))
+ )
+
+ (symbol (lib_id "center-rescue:+3.3V-power") (at 63.5 191.77 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c3785ca)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 67.31 191.77 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 60.2488 191.389 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 63.5 191.77 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 63.5 191.77 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid caf0f95d-755b-4a1a-a026-65ec92621833))
+ )
+
+ (symbol (lib_id "center-rescue:Conn_01x04-Connector_Generic") (at 43.18 247.65 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c3b6cb2)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 45.212 239.5982 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 45.212 241.9096 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 43.18 247.65 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 43.18 247.65 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid c8e4da83-37ed-4232-b69f-87a4abee7aeb))
+ (pin "2" (uuid b5f82678-80e0-460e-8f40-a405b6958a06))
+ (pin "3" (uuid 541c65cc-3cca-405d-bb45-501ce49e19af))
+ (pin "4" (uuid 3603b664-a31b-42f4-8562-f785f3c27528))
+ )
+
+ (symbol (lib_id "center-rescue:+3.3V-power") (at 57.15 245.11 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c3bbc57)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 53.34 245.11 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 60.4012 245.491 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 57.15 245.11 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 57.15 245.11 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid d10c7d60-a13e-45cd-bc76-32c8b1594fe9))
+ )
+
+ (symbol (lib_id "center-rescue:GND-power") (at 57.15 250.19 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c3d62af)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 63.5 250.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 60.4012 250.063 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 57.15 250.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 57.15 250.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 67caf8bf-882f-4c20-bb31-d4ff787f958a))
+ )
+
+ (symbol (lib_id "components:TX4138") (at 217.17 109.22 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005de7ff76)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 217.17 89.789 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 217.17 92.1004 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 217.17 109.22 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 217.17 109.22 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C329267" (id 4) (at 217.17 109.22 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 34266e6c-bb11-44f0-b52c-788676648041))
+ (pin "2" (uuid f1a81974-9541-4fd0-86a3-64a45ed80513))
+ (pin "3" (uuid 93dc5e72-5203-4e41-9b8e-191367c427f0))
+ (pin "4" (uuid 1881d3da-39cd-4ae2-bb7a-f571165456a6))
+ (pin "5" (uuid ef9767c0-1230-4058-9854-9d9a678321ba))
+ (pin "6" (uuid 89447966-c9ce-4321-95b3-4f006d27ab35))
+ (pin "7" (uuid 91bbdacf-8f4b-40dc-8355-7193ee09392e))
+ (pin "8" (uuid 7447a314-75b6-45dd-813e-8d535d4802fa))
+ (pin "9" (uuid e5c24d37-6967-4c4c-8eab-72b40a46308c))
+ )
+
+ (symbol (lib_id "center-rescue:D_Zener_ALT-Device") (at 82.55 119.38 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005deb348d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 84.5566 118.2116 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 84.5566 120.523 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 82.55 119.38 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 82.55 119.38 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "DIODES inc." (id 4) (at 82.55 119.38 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "MMSZ5223BS-7-F" (id 5) (at 82.55 119.38 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C151000" (id 6) (at 82.55 119.38 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid b01f7f22-2baf-45cb-bd37-7d48d81c15eb))
+ (pin "2" (uuid 30761d27-fdb9-41ed-829f-0218478ada17))
+ )
+
+ (symbol (lib_id "center-rescue:R-Device") (at 198.12 101.6 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005deb5134)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 198.12 96.3422 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 198.12 98.6536 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 198.12 99.822 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 198.12 101.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Ralec" (id 4) (at 198.12 101.6 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "RTT12R033FTP" (id 5) (at 198.12 101.6 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C159305" (id 6) (at 198.12 101.6 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid dbddc756-9053-4b9a-ae62-f0f3ffd92887))
+ (pin "2" (uuid a4ca29ce-7dc7-4ebe-ba13-a420077e8ce9))
+ )
+
+ (symbol (lib_id "center-rescue:+VSW-power") (at 173.99 85.09 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005dec7be3)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 173.99 88.9 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 174.371 80.6958 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 173.99 85.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 173.99 85.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid afe48b6c-70f8-49f1-8fa1-58ef4b8707bb))
+ )
+
+ (symbol (lib_id "center-rescue:L-Device") (at 254 95.25 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005ded4544)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 255.3208 94.0816 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 255.3208 96.393 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 254 95.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 254 95.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Eaton" (id 4) (at 254 95.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "DR127-470" (id 5) (at 254 95.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "EATON DR127-470" (id 6) (at 254 95.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid edfc54e1-8ddf-43a9-beea-f0293e91e992))
+ (pin "2" (uuid f57c9d32-69f4-4a3b-82bd-0a17e4bbde36))
+ )
+
+ (symbol (lib_id "center-rescue:R-Device") (at 247.65 95.25 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df04917)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 249.428 92.9386 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 249.428 95.25 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 245.872 95.25 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 247.65 95.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "DNP" "DNP" (id 4) (at 249.428 97.5614 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (pin "1" (uuid b9d0c231-75f0-4b69-99d3-5a56ed08cbe7))
+ (pin "2" (uuid b554c672-0f29-4b41-a982-c1fc2255f24f))
+ )
+
+ (symbol (lib_id "center-rescue:+12V-power") (at 207.01 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df15485)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 207.01 185.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 207.391 177.2158 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 207.01 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 207.01 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 83cc1d05-318f-4243-ab13-7f1323b6f758))
+ )
+
+ (symbol (lib_id "center-rescue:R-Device") (at 279.4 100.33 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df1b471)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 281.178 99.1616 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 281.178 101.473 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 277.622 100.33 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 279.4 100.33 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 7e43d64f-8807-4847-bc7f-9077f62c71db))
+ (pin "2" (uuid b1defd3d-e59d-413c-9d2a-69139135b93c))
+ )
+
+ (symbol (lib_id "center-rescue:R-Device") (at 279.4 113.03 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df1be4c)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 281.178 111.8616 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 281.178 114.173 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 277.622 113.03 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 279.4 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2e6cee16-39eb-4113-8b8f-d0ab24de261b))
+ (pin "2" (uuid 6d79725d-b2c4-4775-910c-c54a9436cacb))
+ )
+
+ (symbol (lib_id "center-rescue:C_Small-Device") (at 270.51 113.03 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df24754)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 272.8468 111.8616 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 272.8468 114.173 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 270.51 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 270.51 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 35f0d3c4-019d-4607-ba68-157c093bff2b))
+ (pin "2" (uuid f84e9db1-46d3-41b3-bf2c-3b7057811d94))
+ )
+
+ (symbol (lib_id "center-rescue:GND-power") (at 190.5 208.28 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df278bf)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 190.5 214.63 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 190.627 212.6742 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 190.5 208.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 190.5 208.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid ff9df5b0-d49f-47a6-80a2-c9b899f921e5))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 190.5 186.69 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df2c541)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 191.9986 185.5216 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 191.9986 187.833 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 190.5 186.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 190.5 186.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid d6a7821b-c536-4507-906c-9c97a0e400ac))
+ (pin "2" (uuid 09d700d6-9afb-49da-9f13-953fee5d78e9))
+ )
+
+ (symbol (lib_id "center-rescue:CP_Small-Device") (at 176.53 104.14 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df2dad7)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 172.72 100.33 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 179.07 115.57 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 176.53 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 176.53 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Rubycon" (id 4) (at 181.61 115.57 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "LCSC" "C324050" (id 5) (at 176.53 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "63YXF220MFFCT810X20" (id 6) (at 184.15 115.57 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (pin "1" (uuid 207cf608-c868-4208-9f73-d8007351721c))
+ (pin "2" (uuid b624d11c-d2e0-4949-8034-218d5fd888cf))
+ )
+
+ (symbol (lib_id "center-rescue:C_Small-Device") (at 191.77 115.57 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df3d7ed)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 187.7568 113.1316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 189.0268 125.603 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 191.77 115.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 191.77 115.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid bccb99e8-8050-4325-a979-5455a7215391))
+ (pin "2" (uuid 5c62e04d-33c3-48dc-b39a-dcea159e83c1))
+ )
+
+ (symbol (lib_id "center-rescue:C_Small-Device") (at 241.3 99.06 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df449c0)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 243.6368 97.8916 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 243.6368 100.203 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 241.3 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 241.3 99.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 4d6e3a08-9bc7-427b-8904-fcf0bd35d0b1))
+ (pin "2" (uuid aa6076d8-8f49-491a-bc89-d6dc10ea6645))
+ )
+
+ (symbol (lib_id "center-rescue:R-Device") (at 233.68 96.52 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df4cc98)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 233.68 91.2622 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 233.68 93.5736 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 233.68 94.742 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 233.68 96.52 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 54233e6d-cf87-4cf5-8fe5-8c5b2e8ae0b5))
+ (pin "2" (uuid 03802c15-909b-426e-a6d7-6024743750d3))
+ )
+
+ (symbol (lib_id "center-rescue:LED_ALT-Device") (at 151.13 198.12 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df69147)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 154.1018 194.818 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 154.1018 197.1294 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 151.13 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 151.13 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Everlight" (id 4) (at 154.1018 199.4408 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "PN" "IR67-21C/TR8" (id 5) (at 154.1018 201.7522 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "LCSC" "C60109" (id 6) (at 151.13 198.12 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid c9b33dad-2a63-4bfd-98f7-f71050c8bdf1))
+ (pin "2" (uuid 569bb425-9dc5-4ee1-8b2e-42b71e87d8f5))
+ )
+
+ (symbol (lib_id "center-rescue:GND-power") (at 236.22 208.28 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df6a33e)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 236.22 214.63 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 236.347 212.6742 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 236.22 208.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 236.22 208.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 6171aa07-ef97-41ed-9a91-bea92d3098a4))
+ )
+
+ (symbol (lib_id "center-rescue:+12V-power") (at 252.73 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df6a35f)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 252.73 185.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 253.111 177.2158 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 252.73 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 252.73 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 25c44136-0101-419f-b8e0-fa1e9011be1f))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 236.22 186.69 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df6a371)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 237.7186 185.5216 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 237.7186 187.833 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 236.22 186.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 236.22 186.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 004741c9-c81b-41cb-8c54-726035cd8f08))
+ (pin "2" (uuid cd2990ba-f2cc-4beb-a070-410740b57350))
+ )
+
+ (symbol (lib_id "center-rescue:+12V-power") (at 327.66 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df6dd8b)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 327.66 185.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 328.041 177.2158 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 327.66 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 327.66 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2246873e-1a51-4c74-bd49-d6580eca6e57))
+ )
+
+ (symbol (lib_id "center-rescue:GND-power") (at 281.94 208.28 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df76e8a)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 281.94 214.63 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 282.067 212.6742 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 281.94 208.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 281.94 208.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid ab9f8291-4d50-4f39-b1f8-516a8d58c157))
+ )
+
+ (symbol (lib_id "center-rescue:+12V-power") (at 298.45 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df76eab)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 298.45 185.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 298.831 177.2158 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 298.45 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 298.45 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3a9a093a-e093-45d3-a90a-5ba3fb613b36))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 327.66 186.69 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df76eb9)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 329.1586 185.5216 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 329.1586 187.833 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 327.66 186.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 327.66 186.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 7f2bf0e6-e986-4c7f-ac41-aa9e9bcae82c))
+ (pin "2" (uuid ba993ea0-4dc1-4da1-856a-f30194ec8d78))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 281.94 186.69 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df76ede)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 283.4386 185.5216 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 283.4386 187.833 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 281.94 186.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 281.94 186.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 330ead73-9f59-4ccb-a796-f25f26d1dced))
+ (pin "2" (uuid 883f25af-1230-416d-8d0e-6f66464c01d0))
+ )
+
+ (symbol (lib_id "center-rescue:+12V-power") (at 344.17 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df76f0d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 344.17 185.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 344.551 177.2158 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 344.17 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 344.17 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3accd1c5-4105-4d5b-b76b-ee7062369a24))
+ )
+
+ (symbol (lib_id "center-rescue:GND-power") (at 327.66 208.28 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df76f1f)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 327.66 214.63 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 327.787 212.6742 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 327.66 208.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 327.66 208.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 7bbb4bab-f0ee-464e-a8e8-a1d1f95c0230))
+ )
+
+ (symbol (lib_id "center-rescue:+12V-power") (at 281.94 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df7d96a)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 281.94 185.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 282.321 177.2158 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 281.94 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 281.94 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 14e98cfe-7af6-4088-9627-fe3c8d1c2c94))
+ )
+
+ (symbol (lib_id "center-rescue:+12V-power") (at 236.22 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005df985a9)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 236.22 185.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 236.601 177.2158 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 236.22 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 236.22 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 843a6863-43e7-4d9a-b8e3-225137c3e9d1))
+ )
+
+ (symbol (lib_id "center-rescue:LED_ALT-Device") (at 151.13 208.28 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005dfa0b1d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 154.1018 204.978 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 154.1018 207.2894 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 151.13 208.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 151.13 208.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Everlight" (id 4) (at 154.1018 209.6008 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "PN" "IR67-21C/TR8" (id 5) (at 154.1018 211.9122 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "LCSC" "C60109" (id 6) (at 151.13 208.28 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 7fe7ddfa-df74-479d-ba94-8a166bffc0cd))
+ (pin "2" (uuid 10305e7f-0df6-4a1c-a63f-ac1a7df24874))
+ )
+
+ (symbol (lib_id "center-rescue:+12V-power") (at 190.5 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005dfadeb5)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 190.5 185.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 190.881 177.2158 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 190.5 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 190.5 181.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3882e7cb-13f4-44aa-8de9-e2957782c625))
+ )
+
+ (symbol (lib_id "center-rescue:D_Schottky_ALT-Device") (at 165.1 104.14 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005e02dc22)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 167.1066 102.9716 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 167.1066 105.283 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 165.1 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 165.1 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C65010" (id 4) (at 165.1 104.14 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 11eaa575-514f-45f4-8eb3-7558f0c29afe))
+ (pin "2" (uuid 74d562d2-369c-48b8-b3dd-ef958f53604d))
+ )
+
+ (symbol (lib_id "center-rescue:D_Schottky_ALT-Device") (at 154.94 91.44 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005e0395c8)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 156.9466 90.2716 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 156.9466 92.583 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 154.94 91.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 154.94 91.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C65010" (id 4) (at 154.94 91.44 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 8377659b-cd24-4ee5-806d-8854c48994c8))
+ (pin "2" (uuid f5593d46-c9eb-4146-a3e9-f0a4774ec9ad))
+ )
+
+ (symbol (lib_id "center-rescue:D_Schottky_ALT-Device") (at 154.94 104.14 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005e03ecdb)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 156.9466 102.9716 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 156.9466 105.283 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 154.94 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 154.94 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C65010" (id 4) (at 154.94 104.14 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 33c58b7e-89dd-4281-a08c-1ca40472e488))
+ (pin "2" (uuid 4fe48c33-dcdf-47a4-b25c-730c1cc9f7ea))
+ )
+
+ (symbol (lib_id "center-rescue:D_Schottky_ALT-Device") (at 254 118.11 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005e0408ec)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 256.0066 116.9416 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 256.0066 119.253 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 254 118.11 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 254 118.11 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C65010" (id 4) (at 254 118.11 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2dab5b9b-10ad-4833-898d-1f30c7069d51))
+ (pin "2" (uuid 22194f16-65ff-4238-8955-3eab421cb5e5))
+ )
+
+ (symbol (lib_id "center-rescue:bom_item-4xxx") (at 92.71 248.92 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005e05c19d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 90.6272 247.7516 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 90.6272 250.063 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 92.71 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 92.71 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "KAB 100-2,5" (id 4) (at 92.71 248.92 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "Transistor_FET:AO3400A") (at 148.59 219.71 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 07f12d14-73c9-4875-85ec-b2ac9b66e7bb)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 153.7971 218.9491 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 153.7971 221.2478 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 153.67 221.615 0)
+ (effects (font (size 1.27 1.27) italic) (justify left) hide)
+ )
+ (property "Datasheet" "http://www.aosmd.com/pdfs/datasheet/AO3400A.pdf" (id 3) (at 148.59 219.71 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (pin "1" (uuid 30b915b0-ead3-48fc-a0b2-80896fe8119d))
+ (pin "2" (uuid dd003139-e058-48bf-ac04-a62b2e285773))
+ (pin "3" (uuid 327d112f-34d5-44fe-aef7-f894dba36d13))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 74.93 107.95 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 11d909ab-4e63-4976-b472-62ac7f7782f6)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 76.4286 106.7816 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 76.4286 109.093 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 74.93 107.95 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 74.93 107.95 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid e2cf1cd1-0823-495b-88e1-cdea25ff33a8))
+ (pin "2" (uuid da5af302-b894-4130-89a0-7c497e190e56))
+ )
+
+ (symbol (lib_id "center-rescue:+3.3V-power") (at 102.87 53.34 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 1abbac34-1eea-492c-92c5-828617706d37)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 102.87 57.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 105.791 56.4388 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 102.87 53.34 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 102.87 53.34 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2fb1c779-b58e-4087-8f0b-8eea0e3bcfd9))
+ )
+
+ (symbol (lib_id "Transistor_FET:AO3401A") (at 341.63 191.77 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 1d45aa94-aba4-4197-bf36-33dc749dba62)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 346.8371 191.0091 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 346.8371 193.3078 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 346.71 189.865 0)
+ (effects (font (size 1.27 1.27) italic) (justify left) hide)
+ )
+ (property "Datasheet" "http://www.aosmd.com/pdfs/datasheet/AO3401A.pdf" (id 3) (at 341.63 191.77 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (pin "1" (uuid c9337f3b-d022-445e-95cb-8fbf60dec5f0))
+ (pin "2" (uuid b42ccf54-2805-4a53-a209-d84777b69ae3))
+ (pin "3" (uuid aa39cace-0af9-41f0-a3ca-675ca6662493))
+ )
+
+ (symbol (lib_id "center-rescue:R-Device") (at 133.35 119.38 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 1d611c54-34fc-4c6b-a7d7-a9c7954ba624)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 135.128 117.0686 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 135.128 119.38 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 131.572 119.38 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 133.35 119.38 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 65b55c84-fdd6-4600-8eb4-4e26e9214b30))
+ (pin "2" (uuid 6d8b4d69-0725-4a7c-891e-c5fe8580be46))
+ )
+
+ (symbol (lib_id "Transistor_FET:AO3401A") (at 250.19 191.77 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 2252a19a-d8ad-4d34-ab1a-8d44f38bb7a5)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 255.3971 191.0091 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 255.3971 193.3078 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 255.27 189.865 0)
+ (effects (font (size 1.27 1.27) italic) (justify left) hide)
+ )
+ (property "Datasheet" "http://www.aosmd.com/pdfs/datasheet/AO3401A.pdf" (id 3) (at 250.19 191.77 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (pin "1" (uuid ec2bbb78-f6d2-4983-8f30-42eca41e5b3d))
+ (pin "2" (uuid b063bbc9-9490-4f76-9556-796d9c8c2068))
+ (pin "3" (uuid 4ceb0270-265e-4fdc-abb9-37c297aa364a))
+ )
+
+ (symbol (lib_id "Transistor_FET:AO3400A") (at 233.68 200.66 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 240e9737-9c4d-42a4-bbe1-3d90981e2c32)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 238.8871 199.8991 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 238.8871 202.1978 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 238.76 202.565 0)
+ (effects (font (size 1.27 1.27) italic) (justify left) hide)
+ )
+ (property "Datasheet" "http://www.aosmd.com/pdfs/datasheet/AO3400A.pdf" (id 3) (at 233.68 200.66 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (pin "1" (uuid 3c713ab3-2dca-4acd-a071-0a5dccc77fae))
+ (pin "2" (uuid 9d04153e-502c-4a58-9f20-0e477d37886f))
+ (pin "3" (uuid f9221d58-266d-42d1-9713-0ce0e3855706))
+ )
+
+ (symbol (lib_id "center-rescue:GND-power") (at 102.87 77.47 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 38ef0425-7906-4242-a362-4783122b83e4)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 102.87 83.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 102.997 81.8642 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 102.87 77.47 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 102.87 77.47 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid f05932bc-4506-4246-b498-aa0aeb830221))
+ )
+
+ (symbol (lib_id "center-rescue:C_Small-Device") (at 69.85 118.11 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 3a29c16a-9073-48d5-b432-6616ca7a5350)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 65.8368 115.6716 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 67.1068 121.793 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 69.85 118.11 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 69.85 118.11 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid e0fa4727-69c7-49b7-b98c-7e4219646569))
+ (pin "2" (uuid acb3d74a-c75a-47a5-bfde-77998fc0d52b))
+ )
+
+ (symbol (lib_id "Connector:TestPoint") (at 66.04 219.71 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 48d9a037-f6dc-48af-9ccb-b93cfbfc8147)
+ (default_instance (reference "TP") (unit 1) (value "TestPoint") (footprint ""))
+ (property "Reference" "TP" (id 0) (at 62.738 216.2429 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "TestPoint" (id 1) (at 62.738 218.0051 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 66.04 214.63 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 66.04 214.63 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 0aef5e6e-f411-45e4-8e1a-619c54c1d311))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 93.98 54.61 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 4b718589-4db0-48c1-823c-32e1d9540875)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 95.4786 55.7784 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 95.4786 53.467 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 93.98 54.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 93.98 54.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 4db7c1e1-869b-470b-ac9f-fa50d8b9828a))
+ (pin "2" (uuid 6460ff6d-db55-4b1d-a949-a3962e4c7c9f))
+ )
+
+ (symbol (lib_id "center-rescue:C_Small-Device") (at 113.03 77.47 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 571bbf1b-18f5-4a95-85ec-9e968c6784a6)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 115.3668 76.3016 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 115.3668 78.613 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 113.03 77.47 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 113.03 77.47 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 285b769d-be36-4da4-9c46-025725feb658))
+ (pin "2" (uuid d7c34f23-2328-4100-99dd-3de35d64e472))
+ )
+
+ (symbol (lib_id "Transistor_FET:AO3400A") (at 325.12 200.66 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 590fb119-a9f0-44e3-87a0-aec3a61af02a)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 330.3271 199.8991 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 330.3271 202.1978 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 330.2 202.565 0)
+ (effects (font (size 1.27 1.27) italic) (justify left) hide)
+ )
+ (property "Datasheet" "http://www.aosmd.com/pdfs/datasheet/AO3400A.pdf" (id 3) (at 325.12 200.66 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (pin "1" (uuid f566b143-d205-4d51-ae5f-72c99d40eb9b))
+ (pin "2" (uuid 7a88e5c3-3057-46b1-8d3b-a6c1005a1a8a))
+ (pin "3" (uuid 075ed60b-5e51-4f55-a232-e5523599e21d))
+ )
+
+ (symbol (lib_id "Connector:TestPoint") (at 62.23 209.55 90) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 5b730b53-80c0-4f9e-8483-157934fa2ed2)
+ (default_instance (reference "TP") (unit 1) (value "TestPoint") (footprint ""))
+ (property "Reference" "TP" (id 0) (at 58.928 206.0829 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "TestPoint" (id 1) (at 58.928 207.8451 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 62.23 204.47 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 62.23 204.47 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid bc8eb047-37e5-4e07-8dc6-26f63d11b24f))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 82.55 64.77 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 72b938c8-a63a-4176-a322-72132867b104)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 83.7184 66.2686 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 81.407 66.2686 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 82.55 64.77 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 82.55 64.77 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 5f5e181e-6fb8-4111-a177-db43e7952bd6))
+ (pin "2" (uuid 6d85db3e-ceb5-4541-8f84-6b89b170d6b2))
+ )
+
+ (symbol (lib_id "Connector:TestPoint") (at 127 207.01 270) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 7631aaaa-43ec-4000-83ff-460feb9b6a4d)
+ (default_instance (reference "TP") (unit 1) (value "TestPoint") (footprint ""))
+ (property "Reference" "TP" (id 0) (at 131.699 206.4155 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "TestPoint" (id 1) (at 131.699 208.1777 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 127 212.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 127 212.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 39a78fa5-85c4-4df4-ad49-54214ef506d0))
+ )
+
+ (symbol (lib_id "Transistor_FET:AO3400A") (at 187.96 200.66 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 7b7081a2-bd3b-4f59-9eae-cac467b9ae3e)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 193.1671 199.8991 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 193.1671 202.1978 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 193.04 202.565 0)
+ (effects (font (size 1.27 1.27) italic) (justify left) hide)
+ )
+ (property "Datasheet" "http://www.aosmd.com/pdfs/datasheet/AO3400A.pdf" (id 3) (at 187.96 200.66 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (pin "1" (uuid 8987f18a-dda2-45f8-ae2a-e0a1f5aac108))
+ (pin "2" (uuid 001b25d0-488f-4174-8930-7ae23faa4220))
+ (pin "3" (uuid 00f99afd-7b22-4403-82ba-9cb0b8e4cc4b))
+ )
+
+ (symbol (lib_id "center-rescue:R-Device") (at 105.41 119.38 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 7be2e193-7b9b-4be6-b590-1884f3a900c6)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 107.188 117.0686 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 107.188 119.38 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 103.632 119.38 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 105.41 119.38 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 9a2d3689-268c-429d-a5ad-9eb344e77f26))
+ (pin "2" (uuid 40ce76f3-13ca-4dd7-b7a6-368e40681a23))
+ )
+
+ (symbol (lib_id "Transistor_FET:AO3400A") (at 100.33 113.03 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 811252da-b749-434d-b7f9-e6d01d045b74)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 95.1229 112.2691 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 101.4729 109.4878 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 95.25 114.935 0)
+ (effects (font (size 1.27 1.27) italic) (justify right) hide)
+ )
+ (property "Datasheet" "http://www.aosmd.com/pdfs/datasheet/AO3400A.pdf" (id 3) (at 100.33 113.03 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (pin "1" (uuid 443376e7-0aa7-4c27-b3a4-0ba6ebeb7ba5))
+ (pin "2" (uuid c048b01c-34b9-4822-8c96-402c9e2072e3))
+ (pin "3" (uuid 4f5dbe05-ee5d-4721-874b-78f803e0f66b))
+ )
+
+ (symbol (lib_id "center-rescue:GND-power") (at 74.93 123.19 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 82f5327f-63b5-4718-b2d4-0ce3a1690334)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 74.93 129.54 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 75.057 127.5842 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 74.93 123.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 74.93 123.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 8dc90c1c-96c2-44ea-a050-1337a13b8b84))
+ )
+
+ (symbol (lib_id "Transistor_FET:AO3401A") (at 295.91 191.77 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 862404d9-1ea2-4eb4-af57-2aa169f5bf7c)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 301.1171 191.0091 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 301.1171 193.3078 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 300.99 189.865 0)
+ (effects (font (size 1.27 1.27) italic) (justify left) hide)
+ )
+ (property "Datasheet" "http://www.aosmd.com/pdfs/datasheet/AO3401A.pdf" (id 3) (at 295.91 191.77 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (pin "1" (uuid d0569014-bdd1-4c07-8aee-c49502b4667e))
+ (pin "2" (uuid 25fa139e-820b-4f4b-af4f-2b4a43c2a1d5))
+ (pin "3" (uuid 75e20f9d-5f78-4a24-b028-a66ebf728ec1))
+ )
+
+ (symbol (lib_id "center-rescue:+3.3V-power") (at 113.03 74.93 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 96d9cbdd-aab7-4856-8f64-963992c49e8e)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 113.03 78.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 115.951 78.0288 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 113.03 74.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 113.03 74.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid ecf287e1-955c-4eb2-a04c-ab227738b348))
+ )
+
+ (symbol (lib_id "Transistor_FET:AO3400A") (at 279.4 200.66 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 9784fd81-6968-46a3-891f-56e8ee46ebf0)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 284.6071 199.8991 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 284.6071 202.1978 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 284.48 202.565 0)
+ (effects (font (size 1.27 1.27) italic) (justify left) hide)
+ )
+ (property "Datasheet" "http://www.aosmd.com/pdfs/datasheet/AO3400A.pdf" (id 3) (at 279.4 200.66 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (pin "1" (uuid 3c427775-d99a-4ab0-b990-df00305d26cc))
+ (pin "2" (uuid 3595f3a5-c5bd-4b13-8dfa-f9514910481a))
+ (pin "3" (uuid bc4aa195-44d8-4b84-913f-e4c033d3d713))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 87.63 54.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 99b1b20a-e768-475b-b28c-64789d72202d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 89.1286 53.4416 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 89.1286 55.753 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 87.63 54.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 87.63 54.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 6fb9ce61-9c68-4ec2-8fdb-f86fd2e7c94c))
+ (pin "2" (uuid b5a42883-a93c-41e3-8622-b8c62e191d20))
+ )
+
+ (symbol (lib_id "Connector:TestPoint") (at 73.66 207.01 90) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid a1726701-fcaf-4acd-a5d3-a0fa3a7cad68)
+ (default_instance (reference "TP") (unit 1) (value "TestPoint") (footprint ""))
+ (property "Reference" "TP" (id 0) (at 70.358 203.5429 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "TestPoint" (id 1) (at 70.358 205.3051 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 73.66 201.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 73.66 201.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3672f2b9-d0a6-48a1-be11-c1c6edb38af5))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 82.55 59.69 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid a6670c53-03af-4fa5-acfe-377cfe727a92)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 81.3816 58.1914 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 83.693 58.1914 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 82.55 59.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 82.55 59.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 78525149-150e-49d1-860e-85a8f7500488))
+ (pin "2" (uuid 846f87f2-d1d4-4b56-8049-9c1ba9c63d32))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 93.98 69.85 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid aabfeb48-c9bc-4f58-b5e9-7aa0e294e61e)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 95.4786 68.6816 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 95.4786 70.993 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 93.98 69.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 93.98 69.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid b0864949-d2fe-4de3-8c78-928989bf539a))
+ (pin "2" (uuid c70ef096-43eb-4a69-af0e-64d42b9efc9f))
+ )
+
+ (symbol (lib_id "center-rescue:C_Small-Device") (at 365.76 113.03 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid add0d31e-023f-412b-b4e3-406f5dbc629f)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 368.0968 111.8616 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 368.0968 114.173 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 365.76 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 365.76 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid b51ca6a1-0d4e-4a95-a832-b4ca657ec1c2))
+ (pin "2" (uuid 1f1ec3ad-6a9c-43fa-b5db-b1cdd0ece232))
+ )
+
+ (symbol (lib_id "Amplifier_Operational:MCP6001-OT") (at 105.41 62.23 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid b2d21e77-d836-437d-9c9c-3aeb38d0ef58)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 107.6961 58.9291 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 107.6961 66.3078 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 102.87 67.31 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/21733j.pdf" (id 3) (at 105.41 57.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "2" (uuid fc9b28b7-548f-4138-b628-151c0123d84c))
+ (pin "5" (uuid 0db6d1d2-3374-4491-9d91-74652a3ff0e6))
+ (pin "1" (uuid 6fffc197-f4ca-46d7-b867-01f3ef733ce7))
+ (pin "3" (uuid 31a534fe-bee4-409d-8270-9ed6ad2ba91e))
+ (pin "4" (uuid c84eaa44-b724-487e-9e69-9876f3887098))
+ )
+
+ (symbol (lib_id "Connector:TestPoint") (at 127 212.09 270) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid b9acfe7d-3624-444e-a270-0fd85ca9f91d)
+ (default_instance (reference "TP") (unit 1) (value "TestPoint") (footprint ""))
+ (property "Reference" "TP" (id 0) (at 131.699 211.4955 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "TestPoint" (id 1) (at 131.699 213.2577 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 127 217.17 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 127 217.17 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid bd614e04-40cb-4916-a166-73399561821e))
+ )
+
+ (symbol (lib_id "Transistor_FET:AO3400A") (at 128.27 113.03 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid be2156c1-d93e-4138-9442-ab92c04b1d25)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 123.0629 112.2691 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 129.4129 109.4878 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 123.19 114.935 0)
+ (effects (font (size 1.27 1.27) italic) (justify right) hide)
+ )
+ (property "Datasheet" "http://www.aosmd.com/pdfs/datasheet/AO3400A.pdf" (id 3) (at 128.27 113.03 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (pin "1" (uuid 6c71e4f3-3422-42fa-b09c-c4a4e077e23f))
+ (pin "2" (uuid a962278f-4701-4592-bb0f-0d3d2c055028))
+ (pin "3" (uuid 2116bda6-5461-4078-81bd-f485435458cc))
+ )
+
+ (symbol (lib_id "Connector:TestPoint") (at 127 214.63 270) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid bfe27944-af05-48a3-9640-824c4594e485)
+ (default_instance (reference "TP") (unit 1) (value "TestPoint") (footprint ""))
+ (property "Reference" "TP" (id 0) (at 131.699 214.0355 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "TestPoint" (id 1) (at 131.699 215.7977 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 127 219.71 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 127 219.71 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 37e029bb-cf8b-44c6-b7c5-0650f35aab80))
+ )
+
+ (symbol (lib_id "center-rescue:+3.3V-power") (at 93.98 49.53 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid c342f773-00df-4a8b-b7d2-619a467a63fb)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 93.98 53.34 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 96.901 52.6288 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 93.98 49.53 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 93.98 49.53 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3b529d31-b768-49c1-9dbc-731cca3bfd48))
+ )
+
+ (symbol (lib_id "center-rescue:D_Zener_ALT-Device") (at 140.97 119.38 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid d07342cc-fd15-4665-b5c8-dcfd74d9f8c7)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 142.9766 118.2116 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 142.9766 120.523 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 140.97 119.38 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 140.97 119.38 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "DIODES inc." (id 4) (at 140.97 119.38 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "MMSZ5223BS-7-F" (id 5) (at 140.97 119.38 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C151000" (id 6) (at 140.97 119.38 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 117fed1f-56a4-49dd-835f-e27d6b74dbb2))
+ (pin "2" (uuid e2839ef5-f888-4144-8ea8-93cf9e54e241))
+ )
+
+ (symbol (lib_id "center-rescue:GND-power") (at 113.03 80.01 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid d31b75e0-de74-4c57-ba75-a640c5312516)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 113.03 86.36 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 113.157 84.4042 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 113.03 80.01 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 113.03 80.01 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3182bc09-a29a-4058-9414-98717a6c1ba5))
+ )
+
+ (symbol (lib_id "center-rescue:D_Zener_ALT-Device") (at 113.03 119.38 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid e1bf02ea-143c-4d11-a667-05b04efa654b)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 115.0366 118.2116 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 115.0366 120.523 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 113.03 119.38 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 113.03 119.38 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "DIODES inc." (id 4) (at 113.03 119.38 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "MMSZ5223BS-7-F" (id 5) (at 113.03 119.38 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C151000" (id 6) (at 113.03 119.38 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 889b65ed-2db3-4883-9a57-7529eb606bd6))
+ (pin "2" (uuid d156a916-905f-46bf-b092-0ce2740db769))
+ )
+
+ (symbol (lib_id "Transistor_FET:AO3401A") (at 204.47 191.77 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid e9273e5d-0e81-494a-a95f-7488be968df5)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 209.6771 191.0091 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 209.6771 193.3078 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 209.55 189.865 0)
+ (effects (font (size 1.27 1.27) italic) (justify left) hide)
+ )
+ (property "Datasheet" "http://www.aosmd.com/pdfs/datasheet/AO3401A.pdf" (id 3) (at 204.47 191.77 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (pin "1" (uuid 6b805bc7-51a9-422f-ab6b-b580dd911c25))
+ (pin "2" (uuid 51613129-0241-46ce-a35d-f111a995dd78))
+ (pin "3" (uuid 40c9250c-8ea5-45b4-befd-c92f58be52f3))
+ )
+
+ (symbol (lib_id "center-rescue:R_Small-Device") (at 74.93 118.11 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid f1181824-68e0-45a5-ae7e-ae63db9d6233)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 76.4286 116.9416 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 76.4286 119.253 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 74.93 118.11 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 74.93 118.11 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid fc0ace19-90d8-4067-9b8b-63503e3538be))
+ (pin "2" (uuid 24436446-4fb5-4cc0-850c-c299f95c9e22))
+ )
+
+ (symbol (lib_id "MCU_ST_STM32G0:STM32G030F6Px") (at 96.52 204.47 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid f91ac3d8-e10f-41a5-97d3-600c50296cb0)
+ (default_instance (reference "U") (unit 1) (value "STM32G030F6Px") (footprint "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm"))
+ (property "Reference" "U" (id 0) (at 101.0159 186.3979 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "STM32G030F6Px" (id 1) (at 101.0159 188.1601 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 76.2 222.25 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32g030f6.pdf" (id 3) (at 96.52 204.47 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 46daecbf-6946-42df-8e27-e4c69a0372c8))
+ (pin "10" (uuid 1362ecb8-a380-483a-9ee8-53392506250c))
+ (pin "11" (uuid c483d435-54c5-4761-af70-32842932e041))
+ (pin "12" (uuid e3f52c53-6807-4213-98c9-19ec8ec9bb42))
+ (pin "13" (uuid 59b8f999-9c43-4007-88b1-83ae266b6f4f))
+ (pin "14" (uuid 460b19de-2441-412e-9238-1bceae192b4a))
+ (pin "15" (uuid e5b2d4f4-0101-4fbf-8b93-463afc83f582))
+ (pin "16" (uuid 2193c66b-22c3-4309-a607-3f2f1840a004))
+ (pin "17" (uuid 6be775f5-fadc-42a7-ba59-4a8754a28188))
+ (pin "18" (uuid 80b29c7e-4d7d-407e-ba86-ae5584052b1f))
+ (pin "19" (uuid b863741a-2002-4454-b80b-7846fbd88be7))
+ (pin "2" (uuid f32450af-eb77-47d1-a8f0-d684a3106e93))
+ (pin "20" (uuid 3ab2989a-7384-4de6-bfea-acc907aae55c))
+ (pin "3" (uuid 7c24d66f-03f1-42d3-86e3-e753b341bfc4))
+ (pin "4" (uuid 79b4a27a-0863-42dc-ba96-df06c678bac9))
+ (pin "5" (uuid 831f64e5-6cd1-404f-8c09-3b31baa5b675))
+ (pin "6" (uuid afe49bab-08c8-4dd5-b538-cf209133ad43))
+ (pin "7" (uuid 7dbe6939-5b2f-47d0-8ff0-2d2dc8b18052))
+ (pin "8" (uuid 2fc7ca41-1002-4200-9f4a-7fe1dd8a5d11))
+ (pin "9" (uuid 3c213695-59cb-4617-84b2-5c3bdffe513b))
+ )
+
+ (symbol (lib_id "center-rescue:+3.3V-power") (at 74.93 102.87 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid ff1bca64-0cbc-429c-b720-84bdbdff176d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 74.93 106.68 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 77.851 105.9688 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 74.93 102.87 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 74.93 102.87 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 8fc126df-e5c4-4881-9212-9b5b3effca4c))
+ )
+
+ (sheet_instances
+ (path "/" (page "1"))
+ )
+
+ (symbol_instances
+ (path "/00000000-0000-0000-0000-00005dec7be3"
+ (reference "#PWR01") (unit 1) (value "+VSW") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c2201cd"
+ (reference "#PWR02") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c2174d4"
+ (reference "#PWR03") (unit 1) (value "+3.3V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c21cdd5"
+ (reference "#PWR04") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c223c57"
+ (reference "#PWR05") (unit 1) (value "+3.3V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c3bbc57"
+ (reference "#PWR06") (unit 1) (value "+3.3V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c3d62af"
+ (reference "#PWR07") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c3785ca"
+ (reference "#PWR08") (unit 1) (value "+3.3V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c227d6b"
+ (reference "#PWR09") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c22656d"
+ (reference "#PWR013") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c2270d7"
+ (reference "#PWR015") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005df15485"
+ (reference "#PWR0101") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005df278bf"
+ (reference "#PWR0102") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005df6dd8b"
+ (reference "#PWR0103") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005df7d96a"
+ (reference "#PWR0104") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005df6a33e"
+ (reference "#PWR0105") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005df6a35f"
+ (reference "#PWR0106") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005df76e8a"
+ (reference "#PWR0107") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005df76eab"
+ (reference "#PWR0108") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005df76f0d"
+ (reference "#PWR0109") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005df76f1f"
+ (reference "#PWR0110") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005df985a9"
+ (reference "#PWR0111") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005dfadeb5"
+ (reference "#PWR0112") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/38ef0425-7906-4242-a362-4783122b83e4"
+ (reference "#PWR0113") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/c342f773-00df-4a8b-b7d2-619a467a63fb"
+ (reference "#PWR0114") (unit 1) (value "+3.3V") (footprint "")
+ )
+ (path "/1abbac34-1eea-492c-92c5-828617706d37"
+ (reference "#PWR0115") (unit 1) (value "+3.3V") (footprint "")
+ )
+ (path "/82f5327f-63b5-4718-b2d4-0ce3a1690334"
+ (reference "#PWR0116") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/ff1bca64-0cbc-429c-b720-84bdbdff176d"
+ (reference "#PWR0117") (unit 1) (value "+3.3V") (footprint "")
+ )
+ (path "/96d9cbdd-aab7-4856-8f64-963992c49e8e"
+ (reference "#PWR0118") (unit 1) (value "+3.3V") (footprint "")
+ )
+ (path "/d31b75e0-de74-4c57-ba75-a640c5312516"
+ (reference "#PWR0119") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005df2dad7"
+ (reference "C1") (unit 1) (value "220u/63V") (footprint "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm")
+ )
+ (path "/00000000-0000-0000-0000-00005df3d7ed"
+ (reference "C2") (unit 1) (value "100n/60V") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005df449c0"
+ (reference "C3") (unit 1) (value "100n/60V") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005c1a6a7d"
+ (reference "C4") (unit 1) (value "2200uF/25V/2A") (footprint "Capacitor_THT:CP_Radial_D13.0mm_P5.00mm")
+ )
+ (path "/00000000-0000-0000-0000-00005df24754"
+ (reference "C5") (unit 1) (value "12p") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005c1aafa9"
+ (reference "C6") (unit 1) (value "10u") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005c1af3bd"
+ (reference "C7") (unit 1) (value "100n") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005c1a7520"
+ (reference "C8") (unit 1) (value "47u") (footprint "Capacitor_THT:CP_Radial_D5.0mm_P2.00mm")
+ )
+ (path "/00000000-0000-0000-0000-00005c1afb91"
+ (reference "C9") (unit 1) (value "10u") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005c1b07a6"
+ (reference "C10") (unit 1) (value "100n") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/add0d31e-023f-412b-b4e3-406f5dbc629f"
+ (reference "C11") (unit 1) (value "10u") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder")
+ )
+ (path "/3a29c16a-9073-48d5-b432-6616ca7a5350"
+ (reference "C13") (unit 1) (value "DNP") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder")
+ )
+ (path "/571bbf1b-18f5-4a95-85ec-9e968c6784a6"
+ (reference "C14") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005e0395c8"
+ (reference "D1") (unit 1) (value "SS510") (footprint "Diode_SMD:D_SMA")
+ )
+ (path "/00000000-0000-0000-0000-00005c199ccc"
+ (reference "D2") (unit 1) (value "SS510") (footprint "Diode_SMD:D_SMA")
+ )
+ (path "/00000000-0000-0000-0000-00005e03ecdb"
+ (reference "D3") (unit 1) (value "SS510") (footprint "Diode_SMD:D_SMA")
+ )
+ (path "/00000000-0000-0000-0000-00005e02dc22"
+ (reference "D4") (unit 1) (value "SS510") (footprint "Diode_SMD:D_SMA")
+ )
+ (path "/00000000-0000-0000-0000-00005e0408ec"
+ (reference "D5") (unit 1) (value "SS510") (footprint "Diode_SMD:D_SMA")
+ )
+ (path "/00000000-0000-0000-0000-00005deb348d"
+ (reference "D6") (unit 1) (value "DNP") (footprint "Diode_SMD:D_SOD-323_HandSoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005dfa0b1d"
+ (reference "D7") (unit 1) (value "LED_ALT") (footprint "LED_SMD:LED_PLCC_2835_Handsoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005df69147"
+ (reference "D8") (unit 1) (value "LED_ALT") (footprint "LED_SMD:LED_PLCC_2835_Handsoldering")
+ )
+ (path "/e1bf02ea-143c-4d11-a667-05b04efa654b"
+ (reference "D9") (unit 1) (value "3V6") (footprint "Diode_SMD:D_SOD-323_HandSoldering")
+ )
+ (path "/d07342cc-fd15-4665-b5c8-dcfd74d9f8c7"
+ (reference "D10") (unit 1) (value "3V6") (footprint "Diode_SMD:D_SOD-323_HandSoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005e05c19d"
+ (reference "E1") (unit 1) (value "Zip ties: 100x2.5mm, 4pcs/board") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c196961"
+ (reference "J1") (unit 1) (value "top left") (footprint "center:led_tape_3528_2835")
+ )
+ (path "/00000000-0000-0000-0000-00005c196b9d"
+ (reference "J2") (unit 1) (value "top right") (footprint "center:led_tape_3528_2835")
+ )
+ (path "/00000000-0000-0000-0000-00005c196c4d"
+ (reference "J3") (unit 1) (value "bottom right") (footprint "center:led_tape_3528_2835")
+ )
+ (path "/00000000-0000-0000-0000-00005c196d8e"
+ (reference "J4") (unit 1) (value "bottom left") (footprint "center:led_tape_3528_2835")
+ )
+ (path "/00000000-0000-0000-0000-00005c3b6cb2"
+ (reference "J5") (unit 1) (value "SWD") (footprint "Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm")
+ )
+ (path "/00000000-0000-0000-0000-00005ded4544"
+ (reference "L1") (unit 1) (value "47uH/2A") (footprint "Inductor_SMD:L_Neosid_SM-NE127_HandSoldering")
+ )
+ (path "/811252da-b749-434d-b7f9-e6d01d045b74"
+ (reference "Q1") (unit 1) (value "AO3400A") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/be2156c1-d93e-4138-9442-ab92c04b1d25"
+ (reference "Q2") (unit 1) (value "AO3400A") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/07f12d14-73c9-4875-85ec-b2ac9b66e7bb"
+ (reference "Q3") (unit 1) (value "AO3400A") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/7b7081a2-bd3b-4f59-9eae-cac467b9ae3e"
+ (reference "Q4") (unit 1) (value "AO3400A") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/e9273e5d-0e81-494a-a95f-7488be968df5"
+ (reference "Q5") (unit 1) (value "AO3401A") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/240e9737-9c4d-42a4-bbe1-3d90981e2c32"
+ (reference "Q6") (unit 1) (value "AO3400A") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/2252a19a-d8ad-4d34-ab1a-8d44f38bb7a5"
+ (reference "Q7") (unit 1) (value "AO3401A") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/9784fd81-6968-46a3-891f-56e8ee46ebf0"
+ (reference "Q8") (unit 1) (value "AO3400A") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/862404d9-1ea2-4eb4-af57-2aa169f5bf7c"
+ (reference "Q9") (unit 1) (value "AO3401A") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/590fb119-a9f0-44e3-87a0-aec3a61af02a"
+ (reference "Q10") (unit 1) (value "AO3400A") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/1d45aa94-aba4-4197-bf36-33dc749dba62"
+ (reference "Q11") (unit 1) (value "AO3401A") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/00000000-0000-0000-0000-00005df04917"
+ (reference "R1") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005df4cc98"
+ (reference "R2") (unit 1) (value "5R1") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005df1b471"
+ (reference "R3") (unit 1) (value "300k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005deb5134"
+ (reference "R4") (unit 1) (value "30mR 1/4W") (footprint "Resistor_SMD:R_1210_3225Metric")
+ )
+ (path "/00000000-0000-0000-0000-00005c1b72f8"
+ (reference "R5") (unit 1) (value "DNP") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005df1be4c"
+ (reference "R6") (unit 1) (value "20k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005c36fd60"
+ (reference "R7") (unit 1) (value "0") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005c1f9ee6"
+ (reference "R9") (unit 1) (value "180") (footprint "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder")
+ )
+ (path "/11d909ab-4e63-4976-b472-62ac7f7782f6"
+ (reference "R10") (unit 1) (value "DNP") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005df2c541"
+ (reference "R11") (unit 1) (value "4k7") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005df6a371"
+ (reference "R12") (unit 1) (value "4k7") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005df76ede"
+ (reference "R13") (unit 1) (value "4k7") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005df76eb9"
+ (reference "R14") (unit 1) (value "4k7") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/f1181824-68e0-45a5-ae7e-ae63db9d6233"
+ (reference "R15") (unit 1) (value "DNP") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/a6670c53-03af-4fa5-acfe-377cfe727a92"
+ (reference "R16") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/72b938c8-a63a-4176-a322-72132867b104"
+ (reference "R17") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/99b1b20a-e768-475b-b28c-64789d72202d"
+ (reference "R18") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/4b718589-4db0-48c1-823c-32e1d9540875"
+ (reference "R19") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/aabfeb48-c9bc-4f58-b5e9-7aa0e294e61e"
+ (reference "R20") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/7be2e193-7b9b-4be6-b590-1884f3a900c6"
+ (reference "R21") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/1d611c54-34fc-4c6b-a7d7-a9c7954ba624"
+ (reference "R22") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/bfe27944-af05-48a3-9640-824c4594e485"
+ (reference "TP1") (unit 1) (value "PA12") (footprint "TestPoint:TestPoint_Pad_1.5x1.5mm")
+ )
+ (path "/7631aaaa-43ec-4000-83ff-460feb9b6a4d"
+ (reference "TP2") (unit 1) (value "PA6") (footprint "TestPoint:TestPoint_Pad_1.5x1.5mm")
+ )
+ (path "/a1726701-fcaf-4acd-a5d3-a0fa3a7cad68"
+ (reference "TP3") (unit 1) (value "PC14") (footprint "TestPoint:TestPoint_Pad_1.5x1.5mm")
+ )
+ (path "/48d9a037-f6dc-48af-9ccb-b93cfbfc8147"
+ (reference "TP4") (unit 1) (value "PB7") (footprint "TestPoint:TestPoint_Pad_1.5x1.5mm")
+ )
+ (path "/5b730b53-80c0-4f9e-8483-157934fa2ed2"
+ (reference "TP5") (unit 1) (value "RECT2") (footprint "TestPoint:TestPoint_Pad_1.5x1.5mm")
+ )
+ (path "/b9acfe7d-3624-444e-a270-0fd85ca9f91d"
+ (reference "TP6") (unit 1) (value "RECT1") (footprint "TestPoint:TestPoint_Pad_1.5x1.5mm")
+ )
+ (path "/00000000-0000-0000-0000-00005c19682a"
+ (reference "U1") (unit 1) (value "AP1117-33") (footprint "Package_TO_SOT_SMD:SOT-223")
+ )
+ (path "/00000000-0000-0000-0000-00005de7ff76"
+ (reference "U2") (unit 1) (value "TX4138") (footprint "Package_SO:SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm")
+ )
+ (path "/f91ac3d8-e10f-41a5-97d3-600c50296cb0"
+ (reference "U3") (unit 1) (value "STM32G030F6Px") (footprint "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm")
+ )
+ (path "/b2d21e77-d836-437d-9c9c-3aeb38d0ef58"
+ (reference "U4") (unit 1) (value "MCP6001-OT") (footprint "Package_TO_SOT_SMD:SOT-23-5")
+ )
+ )
+)
diff --git a/center/fp-info-cache b/center/fp-info-cache
index ab2543a..e507647 100644
--- a/center/fp-info-cache
+++ b/center/fp-info-cache
@@ -1,435 +1,701 @@
-102378996985273
-Resistor_SMD
-R_0201_0603Metric
-Resistor SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator
-resistor
+165127413855589
+Capacitor_SMD
+CP_Elec_3x5.3
+SMT capacitor, aluminium electrolytic, 3x5.3, Cornell Dubilier Electronics
+Capacitor Electrolytic
0
-4
2
-Resistor_SMD
-R_0201_0603Metric_Pad0.64x0.40mm_HandSolder
-Resistor SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator
-resistor handsolder
+2
+Capacitor_SMD
+CP_Elec_3x5.4
+SMD capacitor, aluminum electrolytic, Nichicon, 3.0x5.4mm
+capacitor electrolytic
0
-4
2
-Resistor_SMD
-R_0402_1005Metric
-Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor
+2
+Capacitor_SMD
+CP_Elec_4x3
+SMD capacitor, aluminum electrolytic, Nichicon, 4.0x3mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_0402_1005Metric_Pad0.72x0.64mm_HandSolder
-Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_4x3.9
+SMD capacitor, aluminum electrolytic, Nichicon, 4.0x3.9mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_0603_1608Metric
-Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor
+Capacitor_SMD
+CP_Elec_4x4.5
+SMD capacitor, aluminum electrolytic, Nichicon, 4.0x4.5mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_0603_1608Metric_Pad0.98x0.95mm_HandSolder
-Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_4x5.3
+SMD capacitor, aluminum electrolytic, Vishay, 4.0x5.3mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_0612_1632Metric
-Resistor SMD 0612 (1632 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20019/rcwe.pdf), generated with kicad-footprint-generator
-resistor
+Capacitor_SMD
+CP_Elec_4x5.4
+SMD capacitor, aluminum electrolytic, Panasonic A5 / Nichicon, 4.0x5.4mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_0612_1632Metric_Pad1.18x3.40mm_HandSolder
-Resistor SMD 0612 (1632 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.vishay.com/docs/20019/rcwe.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_4x5.7
+SMD capacitor, aluminum electrolytic, United Chemi-Con, 4.0x5.7mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_0805_2012Metric
-Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor
+Capacitor_SMD
+CP_Elec_4x5.8
+SMD capacitor, aluminum electrolytic, Panasonic, 4.0x5.8mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_0805_2012Metric_Pad1.20x1.40mm_HandSolder
-Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_5x3
+SMD capacitor, aluminum electrolytic, Nichicon, 5.0x3.0mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_0815_2038Metric
-Resistor SMD 0815 (2038 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.susumu.co.jp/common/pdf/n_catalog_partition07_en.pdf), generated with kicad-footprint-generator
-resistor
+Capacitor_SMD
+CP_Elec_5x3.9
+SMD capacitor, aluminum electrolytic, Nichicon, 5.0x3.9mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_0815_2038Metric_Pad1.20x4.05mm_HandSolder
-Resistor SMD 0815 (2038 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.susumu.co.jp/common/pdf/n_catalog_partition07_en.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_5x4.4
+SMD capacitor, aluminum electrolytic, Panasonic B45, 5.0x4.4mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_01005_0402Metric
-Resistor SMD 01005 (0402 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.vishay.com/docs/20056/crcw01005e3.pdf), generated with kicad-footprint-generator
-resistor
+Capacitor_SMD
+CP_Elec_5x4.5
+SMD capacitor, aluminum electrolytic, Nichicon, 5.0x4.5mm
+capacitor electrolytic
0
-4
2
-Resistor_SMD
-R_01005_0402Metric_Pad0.57x0.30mm_HandSolder
-Resistor SMD 01005 (0402 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.vishay.com/docs/20056/crcw01005e3.pdf), generated with kicad-footprint-generator
-resistor handsolder
+2
+Capacitor_SMD
+CP_Elec_5x5.3
+SMD capacitor, aluminum electrolytic, Nichicon, 5.0x5.3mm
+capacitor electrolytic
0
-4
2
-Resistor_SMD
-R_1020_2550Metric
-Resistor SMD 1020 (2550 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20019/rcwe.pdf), generated with kicad-footprint-generator
-resistor
+2
+Capacitor_SMD
+CP_Elec_5x5.4
+SMD capacitor, aluminum electrolytic, Nichicon, 5.0x5.4mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_1020_2550Metric_Pad1.33x5.20mm_HandSolder
-Resistor SMD 1020 (2550 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.vishay.com/docs/20019/rcwe.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_5x5.7
+SMD capacitor, aluminum electrolytic, United Chemi-Con, 5.0x5.7mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_1206_3216Metric
-Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor
+Capacitor_SMD
+CP_Elec_5x5.8
+SMD capacitor, aluminum electrolytic, Panasonic, 5.0x5.8mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_1206_3216Metric_Pad1.30x1.75mm_HandSolder
-Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_5x5.9
+SMD capacitor, aluminum electrolytic, Panasonic B6, 5.0x5.9mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_1210_3225Metric
-Resistor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor
+Capacitor_SMD
+CP_Elec_6.3x3
+SMD capacitor, aluminum electrolytic, Nichicon, 6.3x3.0mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_1210_3225Metric_Pad1.30x2.65mm_HandSolder
-Resistor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_6.3x3.9
+SMD capacitor, aluminum electrolytic, Nichicon, 6.3x3.9mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_1218_3246Metric
-Resistor SMD 1218 (3246 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20035/dcrcwe3.pdf), generated with kicad-footprint-generator
-resistor
+Capacitor_SMD
+CP_Elec_6.3x4.5
+SMD capacitor, aluminum electrolytic, Nichicon, 6.3x4.5mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_1218_3246Metric_Pad1.22x4.75mm_HandSolder
-Resistor SMD 1218 (3246 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.vishay.com/docs/20035/dcrcwe3.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_6.3x4.9
+SMD capacitor, aluminum electrolytic, Panasonic C5, 6.3x4.9mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_1812_4532Metric
-Resistor SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf), generated with kicad-footprint-generator
-resistor
+Capacitor_SMD
+CP_Elec_6.3x5.2
+SMD capacitor, aluminum electrolytic, United Chemi-Con, 6.3x5.2mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_1812_4532Metric_Pad1.30x3.40mm_HandSolder
-Resistor SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_6.3x5.3
+SMD capacitor, aluminum electrolytic, Cornell Dubilier, 6.3x5.3mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_2010_5025Metric
-Resistor SMD 2010 (5025 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor
+Capacitor_SMD
+CP_Elec_6.3x5.4
+SMD capacitor, aluminum electrolytic, Panasonic C55, 6.3x5.4mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_2010_5025Metric_Pad1.40x2.65mm_HandSolder
-Resistor SMD 2010 (5025 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_6.3x5.4_Nichicon
+SMD capacitor, aluminum electrolytic, Nichicon, 6.3x5.4mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_2512_6332Metric
-Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor
+Capacitor_SMD
+CP_Elec_6.3x5.7
+SMD capacitor, aluminum electrolytic, United Chemi-Con, 6.3x5.7mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_2512_6332Metric_Pad1.40x3.35mm_HandSolder
-Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_6.3x5.8
+SMD capacitor, aluminum electrolytic, Nichicon, 6.3x5.8mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_2816_7142Metric
-Resistor SMD 2816 (7142 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: https://www.vishay.com/docs/30100/wsl.pdf), generated with kicad-footprint-generator
-resistor
+Capacitor_SMD
+CP_Elec_6.3x5.9
+SMD capacitor, aluminum electrolytic, Panasonic C6, 6.3x5.9mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_2816_7142Metric_Pad3.20x4.45mm_HandSolder
-Resistor SMD 2816 (7142 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size from: https://www.vishay.com/docs/30100/wsl.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_6.3x7.7
+SMD capacitor, aluminum electrolytic, Nichicon, 6.3x7.7mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_4020_10251Metric
-Resistor SMD 4020 (10251 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://datasheet.octopart.com/HVC0603T5004FET-Ohmite-datasheet-26699797.pdf), generated with kicad-footprint-generator
-resistor
+Capacitor_SMD
+CP_Elec_6.3x9.9
+SMD capacitor, aluminum electrolytic, Panasonic C10, 6.3x9.9mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_4020_10251Metric_Pad1.65x5.30mm_HandSolder
-Resistor SMD 4020 (10251 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://datasheet.octopart.com/HVC0603T5004FET-Ohmite-datasheet-26699797.pdf), generated with kicad-footprint-generator
-resistor handsolder
+Capacitor_SMD
+CP_Elec_8x5.4
+SMD capacitor, aluminum electrolytic, Nichicon, 8.0x5.4mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_Array_Concave_2x0603
-Thick Film Chip Resistor Array, Wave soldering, Vishay CRA06P (see cra06p.pdf)
-resistor array
+Capacitor_SMD
+CP_Elec_8x6.2
+SMD capacitor, aluminum electrolytic, Nichicon, 8.0x6.2mm
+capacitor electrolytic
0
-4
-4
-Resistor_SMD
-R_Array_Concave_4x0402
-Thick Film Chip Resistor Array, Wave soldering, Vishay CRA04P (see cra04p.pdf)
-resistor array
-0
-8
-8
-Resistor_SMD
-R_Array_Concave_4x0603
-Thick Film Chip Resistor Array, Wave soldering, Vishay CRA06P (see cra06p.pdf)
-resistor array
-0
-8
-8
-Resistor_SMD
-R_Array_Convex_2x0402
-Chip Resistor Network, ROHM MNR02 (see mnr_g.pdf)
-resistor array
+2
+2
+Capacitor_SMD
+CP_Elec_8x6.5
+SMD capacitor, aluminum electrolytic, Rubycon, 8.0x6.5mm
+capacitor electrolytic
0
-4
-4
-Resistor_SMD
-R_Array_Convex_2x0603
-Chip Resistor Network, ROHM MNR12 (see mnr_g.pdf)
-resistor array
+2
+2
+Capacitor_SMD
+CP_Elec_8x6.7
+SMD capacitor, aluminum electrolytic, United Chemi-Con, 8.0x6.7mm
+capacitor electrolytic
0
-4
-4
-Resistor_SMD
-R_Array_Convex_2x0606
-Precision Thin Film Chip Resistor Array, VISHAY (see http://www.vishay.com/docs/28770/acasat.pdf)
-resistor array
+2
+2
+Capacitor_SMD
+CP_Elec_8x6.9
+SMD capacitor, aluminum electrolytic, Panasonic E7, 8.0x6.9mm
+capacitor electrolytic
0
-4
-4
-Resistor_SMD
-R_Array_Convex_2x1206
-Chip Resistor Network, ROHM MNR32 (see mnr_g.pdf)
-resistor array
+2
+2
+Capacitor_SMD
+CP_Elec_8x10
+SMD capacitor, aluminum electrolytic, Nichicon, 8.0x10mm
+capacitor electrolytic
0
-4
-4
-Resistor_SMD
-R_Array_Convex_4x0402
-Chip Resistor Network, ROHM MNR04 (see mnr_g.pdf)
-resistor array
-0
-8
-8
-Resistor_SMD
-R_Array_Convex_4x0603
-Chip Resistor Network, ROHM MNR14 (see mnr_g.pdf)
-resistor array
-0
-8
-8
-Resistor_SMD
-R_Array_Convex_4x0612
-Precision Thin Film Chip Resistor Array, VISHAY (see http://www.vishay.com/docs/28770/acasat.pdf)
-resistor array
-0
-8
-8
-Resistor_SMD
-R_Array_Convex_4x1206
-Chip Resistor Network, ROHM MNR34 (see mnr_g.pdf)
-resistor array
-0
-8
-8
-Resistor_SMD
-R_Array_Convex_5x0603
-Chip Resistor Network, ROHM MNR15 (see mnr_g.pdf)
-resistor array
-0
-10
-10
-Resistor_SMD
-R_Array_Convex_5x1206
-Chip Resistor Network, ROHM MNR35 (see mnr_g.pdf)
-resistor array
-0
-10
-10
-Resistor_SMD
-R_Array_Convex_8x0602
-Chip Resistor Network, ROHM MNR18 (see mnr_g.pdf)
-resistor array
-0
-16
-16
-Resistor_SMD
-R_Cat16-2
-SMT resistor net, Bourns CAT16 series, 2 way
-SMT resistor net Bourns CAT16 series 2 way
+2
+2
+Capacitor_SMD
+CP_Elec_8x10.5
+SMD capacitor, aluminum electrolytic, Vishay 0810, 8.0x10.5mm, http://www.vishay.com/docs/28395/150crz.pdf
+capacitor electrolytic
0
-4
-4
-Resistor_SMD
-R_Cat16-4
-SMT resistor net, Bourns CAT16 series, 4 way
-SMT resistor net Bourns CAT16 series 4 way
+2
+2
+Capacitor_SMD
+CP_Elec_8x11.9
+SMD capacitor, aluminum electrolytic, Panasonic E12, 8.0x11.9mm
+capacitor electrolytic
0
-8
-8
-Resistor_SMD
-R_Cat16-8
-SMT resistor net, Bourns CAT16 series, 8 way
-SMT resistor net Bourns CAT16 series 8 way
+2
+2
+Capacitor_SMD
+CP_Elec_10x7.7
+SMD capacitor, aluminum electrolytic, Nichicon, 10.0x7.7mm
+capacitor electrolytic
0
-16
-16
-Resistor_SMD
-R_MELF_MMB-0207
-Resistor, MELF, MMB-0207, http://www.vishay.com/docs/28713/melfprof.pdf
-MELF Resistor
+2
+2
+Capacitor_SMD
+CP_Elec_10x7.9
+SMD capacitor, aluminum electrolytic, Panasonic F8, 10.0x7.9mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_MicroMELF_MMU-0102
-Resistor, MicroMELF, MMU-0102, http://www.vishay.com/docs/28713/melfprof.pdf
-MicroMELF Resistor
+Capacitor_SMD
+CP_Elec_10x10
+SMD capacitor, aluminum electrolytic, Nichicon, 10.0x10.0mm
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_MiniMELF_MMA-0204
-Resistor, MiniMELF, MMA-0204, http://www.vishay.com/docs/28713/melfprof.pdf
-MiniMELF Resistor
+Capacitor_SMD
+CP_Elec_10x10.5
+SMD capacitor, aluminum electrolytic, Vishay 1010, 10.0x10.5mm, http://www.vishay.com/docs/28395/150crz.pdf
+capacitor electrolytic
0
2
2
-Resistor_SMD
-R_Shunt_Ohmite_LVK12
-4 contact shunt resistor
-shunt resistor 4 contacts
+Capacitor_SMD
+CP_Elec_10x12.5
+SMD capacitor, aluminum electrolytic, Vishay 1012, 10.0x12.5mm, http://www.vishay.com/docs/28395/150crz.pdf
+capacitor electrolytic
0
-4
-4
-Resistor_SMD
-R_Shunt_Ohmite_LVK20
-4 contacts shunt resistor, https://www.ohmite.com/assets/docs/res_lvk.pdf
-4 contacts resistor smd
+2
+2
+Capacitor_SMD
+CP_Elec_10x12.6
+SMD capacitor, aluminum electrolytic, Panasonic F12, 10.0x12.6mm
+capacitor electrolytic
0
-4
-4
-Resistor_SMD
-R_Shunt_Ohmite_LVK24
-4 contacts shunt resistor,https://www.ohmite.com/assets/docs/res_lvk.pdf
-4 contacts resistor smd
+2
+2
+Capacitor_SMD
+CP_Elec_10x14.3
+SMD capacitor, aluminum electrolytic, Vishay 1014, 10.0x14.3mm, http://www.vishay.com/docs/28395/150crz.pdf
+capacitor electrolytic
0
-4
-4
-Resistor_SMD
-R_Shunt_Ohmite_LVK25
-4 contacts shunt resistor,https://www.ohmite.com/assets/docs/res_lvk.pdf
-4 contacts resistor smd
+2
+2
+Capacitor_SMD
+CP_Elec_16x17.5
+SMD capacitor, aluminum electrolytic, Vishay 1616, 16.0x17.5mm, http://www.vishay.com/docs/28395/150crz.pdf
+capacitor electrolytic
0
-4
-4
-Resistor_SMD
-R_Shunt_Vishay_WSK2512_6332Metric_T1.19mm
-Shunt Resistor SMD 2512 (6332 Metric), 2.6mm thick, Vishay WKS2512, Terminal length (T) 1.19mm, 5 to 200 milli Ohm (http://http://www.vishay.com/docs/30108/wsk.pdf)
-resistor shunt WSK2512
+2
+2
+Capacitor_SMD
+CP_Elec_16x22
+SMD capacitor, aluminum electrolytic, Vishay 1621, 16.0x22.0mm, http://www.vishay.com/docs/28395/150crz.pdf
+capacitor electrolytic
0
-4
-4
-Resistor_SMD
-R_Shunt_Vishay_WSK2512_6332Metric_T2.21mm
-Shunt Resistor SMD 2512 (6332 Metric), 2.6mm thick, Vishay WKS2512, Terminal length (T) 2.21mm, 1 to 4.9 milli Ohm (http://http://www.vishay.com/docs/30108/wsk.pdf)
-resistor shunt WSK2512
+2
+2
+Capacitor_SMD
+CP_Elec_18x17.5
+SMD capacitor, aluminum electrolytic, Vishay 1816, 18.0x17.5mm, http://www.vishay.com/docs/28395/150crz.pdf
+capacitor electrolytic
0
-4
-4
-Resistor_SMD
-R_Shunt_Vishay_WSK2512_6332Metric_T2.66mm
-Shunt Resistor SMD 2512 (6332 Metric), 2.6mm thick, Vishay WKS2512, Terminal length (T) 2.66mm, 0.5 to 0.99 milli Ohm (http://http://www.vishay.com/docs/30108/wsk.pdf)
-resistor shunt WSK2512
+2
+2
+Capacitor_SMD
+CP_Elec_18x22
+SMD capacitor, aluminum electrolytic, Vishay 1821, 18.0x22.0mm, http://www.vishay.com/docs/28395/150crz.pdf
+capacitor electrolytic
+0
+2
+2
+Capacitor_SMD
+C_0201_0603Metric
+Capacitor SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator
+capacitor
0
4
+2
+Capacitor_SMD
+C_0201_0603Metric_Pad0.64x0.40mm_HandSolder
+Capacitor SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator
+capacitor handsolder
+0
4
-Resistor_SMD
-R_Shunt_Vishay_WSKW0612
-https://www.vishay.com/docs/30332/wskw0612.pdf
-4-Terminal SMD Shunt
+2
+Capacitor_SMD
+C_0402_1005Metric
+Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_0402_1005Metric_Pad0.74x0.62mm_HandSolder
+Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor handsolder
+0
+2
+2
+Capacitor_SMD
+C_0504_1310Metric
+Capacitor SMD 0504 (1310 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_0504_1310Metric_Pad0.83x1.28mm_HandSolder
+Capacitor SMD 0504 (1310 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor handsolder
+0
+2
+2
+Capacitor_SMD
+C_0603_1608Metric
+Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_0603_1608Metric_Pad1.08x0.95mm_HandSolder
+Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor handsolder
+0
+2
+2
+Capacitor_SMD
+C_0805_2012Metric
+Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_0805_2012Metric_Pad1.18x1.45mm_HandSolder
+Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator
+capacitor handsolder
+0
+2
+2
+Capacitor_SMD
+C_01005_0402Metric
+Capacitor SMD 01005 (0402 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.vishay.com/docs/20056/crcw01005e3.pdf), generated with kicad-footprint-generator
+capacitor
0
4
+2
+Capacitor_SMD
+C_01005_0402Metric_Pad0.57x0.30mm_HandSolder
+Capacitor SMD 01005 (0402 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.vishay.com/docs/20056/crcw01005e3.pdf), generated with kicad-footprint-generator
+capacitor handsolder
+0
4
-Resistor_SMD
-R_Shunt_Vishay_WSR2_WSR3
-Power Metal Strip Resistors 0.005 to 0.2, https://www.vishay.com/docs/30101/wsr.pdf
-SMD Shunt Resistor
+2
+Capacitor_SMD
+C_1206_3216Metric
+Capacitor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor
0
2
2
-Resistor_SMD
-R_Shunt_Vishay_WSR2_WSR3_KelvinConnection
-Power Metal Strip Resistors 0.005 to 0.2, https://www.vishay.com/docs/30101/wsr.pdf
-SMD Shunt Resistor
+Capacitor_SMD
+C_1206_3216Metric_Pad1.33x1.80mm_HandSolder
+Capacitor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor handsolder
0
-4
+2
+2
+Capacitor_SMD
+C_1210_3225Metric
+Capacitor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_1210_3225Metric_Pad1.33x2.70mm_HandSolder
+Capacitor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor handsolder
+0
+2
+2
+Capacitor_SMD
+C_1812_4532Metric
+Capacitor SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_1812_4532Metric_Pad1.57x3.40mm_HandSolder
+Capacitor SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor handsolder
+0
+2
+2
+Capacitor_SMD
+C_1825_4564Metric
+Capacitor SMD 1825 (4564 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_1825_4564Metric_Pad1.57x6.80mm_HandSolder
+Capacitor SMD 1825 (4564 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size from: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator
+capacitor handsolder
+0
+2
+2
+Capacitor_SMD
+C_2220_5650Metric
+Capacitor SMD 2220 (5650 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_2220_5650Metric_Pad1.97x5.40mm_HandSolder
+Capacitor SMD 2220 (5650 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator
+capacitor handsolder
+0
+2
+2
+Capacitor_SMD
+C_2225_5664Metric
+Capacitor SMD 2225 (5664 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_2225_5664Metric_Pad1.80x6.60mm_HandSolder
+Capacitor SMD 2225 (5664 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator
+capacitor handsolder
+0
+2
+2
+Capacitor_SMD
+C_3640_9110Metric
+Capacitor SMD 3640 (9110 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_3640_9110Metric_Pad2.10x10.45mm_HandSolder
+Capacitor SMD 3640 (9110 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator
+capacitor handsolder
+0
+2
+2
+Capacitor_SMD
+C_Elec_3x5.4
+SMD capacitor, aluminum electrolytic nonpolar, 3.0x5.4mm
+capacitor electrolyic nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_4x5.4
+SMD capacitor, aluminum electrolytic nonpolar, 4.0x5.4mm
+capacitor electrolyic nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_4x5.8
+SMD capacitor, aluminum electrolytic nonpolar, 4.0x5.8mm
+capacitor electrolyic nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_5x5.4
+SMD capacitor, aluminum electrolytic nonpolar, 5.0x5.4mm
+capacitor electrolyic nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_5x5.8
+SMD capacitor, aluminum electrolytic nonpolar, 5.0x5.8mm
+capacitor electrolyic nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_6.3x5.4
+SMD capacitor, aluminum electrolytic nonpolar, 6.3x5.4mm
+capacitor electrolyic nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_6.3x5.8
+SMD capacitor, aluminum electrolytic nonpolar, 6.3x5.8mm
+capacitor electrolyic nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_6.3x7.7
+SMD capacitor, aluminum electrolytic nonpolar, 6.3x7.7mm
+capacitor electrolyic nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_8x5.4
+SMD capacitor, aluminum electrolytic nonpolar, 8.0x5.4mm
+capacitor electrolyic nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_8x6.2
+SMD capacitor, aluminum electrolytic nonpolar, 8.0x6.2mm
+capacitor electrolyic nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_8x10.2
+SMD capacitor, aluminum electrolytic nonpolar, 8.0x10.2mm
+capacitor electrolyic nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_10x10.2
+SMD capacitor, aluminum electrolytic nonpolar, 10.0x10.2mm
+capacitor electrolyic nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Murata_TZB4-A
+trimmer capacitor SMD horizontal, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb
+ Murata TZB4 TZB4-A
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Murata_TZB4-B
+trimmer capacitor SMD horizontal, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb
+ Murata TZB4 TZB4-A
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Murata_TZC3
+trimmer capacitor SMD horizontal, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb
+ Murata TZC3
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Murata_TZR1
+trimmer capacitor SMD horizontal, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb
+ Murata TZR1
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Murata_TZW4
+trimmer capacitor SMD horizontal, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb
+ Murata TZW4
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Murata_TZY2
+trimmer capacitor SMD horizontal, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb
+ Murata TZY2
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Sprague-Goodman_SGC3
+trimmer capacitor SMD horizontal, http://media.wix.com/ugd/d86717_38d9821e12823a7aa9cef38c6c2a73cc.pdf
+ Sprague Goodman SGC3
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Voltronics_JN
+trimmer capacitor SMD horizontal, http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf
+ Voltronics JN
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Voltronics_JQ
+trimmer capacitor SMD horizontal, http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf
+ Voltronics JQ
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Voltronics_JR
+trimmer capacitor SMD horizontal, http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf
+ Voltronics JR
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Voltronics_JV
+trimmer capacitor SMD horizontal, http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf
+ Voltronics JV
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Voltronics_JZ
+trimmer capacitor SMD horizontal, http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf
+ Voltronics JR
+0
+2
2
diff --git a/center/gerber/center-NPTH.drl b/center/gerber/center-NPTH.drl
index f6894af..6ae5481 100644
--- a/center/gerber/center-NPTH.drl
+++ b/center/gerber/center-NPTH.drl
@@ -1,7 +1,7 @@
M48
-; DRILL file {KiCad (6.99.0-1920-g294b8e9051)} date Sat Jul 23 13:34:33 2022
+; DRILL file {KiCad (6.99.0-1920-g294b8e9051)} date Sun Jul 24 19:35:38 2022
; FORMAT={-:-/ absolute / metric / decimal}
-; #@! TF.CreationDate,2022-07-23T13:34:33+02:00
+; #@! TF.CreationDate,2022-07-24T19:35:38+02:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.99.0-1920-g294b8e9051)
; #@! TF.FileFunction,NonPlated,1,2,NPTH
FMAT,2
diff --git a/center/gerber/center-PTH.drl b/center/gerber/center-PTH.drl
index b6ecefa..f203e7a 100644
--- a/center/gerber/center-PTH.drl
+++ b/center/gerber/center-PTH.drl
@@ -1,7 +1,7 @@
M48
-; DRILL file {KiCad (6.99.0-1920-g294b8e9051)} date Sat Jul 23 13:34:33 2022
+; DRILL file {KiCad (6.99.0-1920-g294b8e9051)} date Sun Jul 24 19:35:38 2022
; FORMAT={-:-/ absolute / metric / decimal}
-; #@! TF.CreationDate,2022-07-23T13:34:33+02:00
+; #@! TF.CreationDate,2022-07-24T19:35:38+02:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.99.0-1920-g294b8e9051)
; #@! TF.FileFunction,Plated,1,2,PTH
FMAT,2
@@ -31,25 +31,22 @@ X163.45Y-108.125
X163.95Y-103.825
X164.4Y-82.3
X164.95Y-105.975
-X168.1Y-73.125
-X168.1Y-74.375
-X170.8Y-78.1
-X170.95Y-79.4
-X173.75Y-80.975
+X166.5Y-78.2
+X168.8Y-80.1
+X169.1Y-71.8
+X169.5Y-76.0
+X172.5Y-79.4
+X173.05Y-77.3
X173.8Y-116.85
-X173.85Y-79.4
+X173.85Y-82.9
X174.95Y-116.4
X175.15Y-82.175
-X177.272Y-76.6
-X178.05Y-75.25
-X179.6Y-78.2
-X179.6Y-79.075
-X180.65Y-85.525
+X176.75Y-78.075
+X177.5Y-78.7
+X179.4Y-78.1
+X180.7Y-86.9
X181.3Y-105.3
-X182.45Y-77.9
-X182.55Y-80.1
X183.0Y-105.3
-X183.95Y-76.55
X184.3Y-90.5
X184.7Y-96.65
X185.463Y-90.5
@@ -71,7 +68,10 @@ T2
X153.85Y-97.6
X154.95Y-100.975
X157.15Y-109.15
+X160.6Y-89.5
+X170.6Y-82.2
X170.6Y-112.85
+X173.1Y-75.7
X178.65Y-113.475
X178.65Y-115.275
X178.65Y-117.275
@@ -79,16 +79,15 @@ X179.2Y-116.275
X179.75Y-115.275
X179.75Y-117.275
X180.05Y-113.475
+X183.85Y-76.675
X204.3Y-82.8
T3
X156.7Y-97.725
X158.7Y-97.725
T4
X153.2Y-86.925
-X160.3Y-89.5
X161.675Y-96.025
X162.45Y-114.975
-X165.85Y-71.975
X165.95Y-91.175
X166.75Y-122.025
X169.0Y-86.8
@@ -96,9 +95,9 @@ X172.95Y-85.725
X172.95Y-87.775
X182.2Y-120.775
X185.35Y-112.525
-X186.15Y-83.225
X188.2Y-113.4
X188.55Y-125.975
+X188.95Y-82.825
X189.9Y-96.6
X191.8Y-104.4
X192.0Y-74.1
diff --git a/center/sym-lib-table b/center/sym-lib-table
index ec8c705..480e03e 100644
--- a/center/sym-lib-table
+++ b/center/sym-lib-table
@@ -1,4 +1,5 @@
(sym_lib_table
- (lib (name components)(type Legacy)(uri ${KIPRJMOD}/components.lib)(options "")(descr ""))
- (lib (name center-rescue)(type Legacy)(uri ${KIPRJMOD}/center-rescue.lib)(options "")(descr ""))
+ (lib (name "components")(type "Legacy")(uri "${KIPRJMOD}/components.lib")(options "")(descr ""))
+ (lib (name "center-rescue")(type "Legacy")(uri "${KIPRJMOD}/center-rescue.lib")(options "")(descr ""))
+ (lib (name "MCU_ST_STM32G0")(type "KiCad")(uri "${KIPRJMOD}/MCU_ST_STM32G0.kicad_sym")(options "")(descr ""))
)
diff --git a/corner/corner.kicad_prl b/corner/corner.kicad_prl
new file mode 100644
index 0000000..879ef04
--- /dev/null
+++ b/corner/corner.kicad_prl
@@ -0,0 +1,77 @@
+{
+ "board": {
+ "active_layer": 40,
+ "active_layer_preset": "",
+ "auto_track_width": true,
+ "hidden_nets": [],
+ "high_contrast_mode": 0,
+ "net_color_mode": 1,
+ "opacity": {
+ "pads": 1.0,
+ "tracks": 1.0,
+ "vias": 1.0,
+ "zones": 0.6
+ },
+ "selection_filter": {
+ "dimensions": true,
+ "footprints": true,
+ "graphics": true,
+ "keepouts": true,
+ "lockedItems": true,
+ "otherItems": true,
+ "pads": true,
+ "text": true,
+ "tracks": true,
+ "vias": true,
+ "zones": true
+ },
+ "visible_items": [
+ 0,
+ 1,
+ 2,
+ 3,
+ 4,
+ 5,
+ 6,
+ 7,
+ 8,
+ 9,
+ 10,
+ 11,
+ 12,
+ 13,
+ 14,
+ 15,
+ 16,
+ 17,
+ 18,
+ 19,
+ 20,
+ 21,
+ 22,
+ 23,
+ 24,
+ 25,
+ 26,
+ 27,
+ 28,
+ 29,
+ 30,
+ 32,
+ 33,
+ 34,
+ 35,
+ 36,
+ 39
+ ],
+ "visible_layers": "fffffff_ffffffff",
+ "zone_display_mode": 0
+ },
+ "meta": {
+ "filename": "corner.kicad_prl",
+ "version": 3
+ },
+ "project": {
+ "files": []
+ }
+}
diff --git a/corner/corner.kicad_pro b/corner/corner.kicad_pro
new file mode 100644
index 0000000..e0cd2fe
--- /dev/null
+++ b/corner/corner.kicad_pro
@@ -0,0 +1,474 @@
+{
+ "board": {
+ "design_settings": {
+ "defaults": {
+ "board_outline_line_width": 0.15,
+ "copper_line_width": 0.19999999999999998,
+ "copper_text_italic": false,
+ "copper_text_size_h": 1.5,
+ "copper_text_size_v": 1.5,
+ "copper_text_thickness": 0.3,
+ "copper_text_upright": false,
+ "courtyard_line_width": 0.049999999999999996,
+ "dimension_precision": 4,
+ "dimension_units": 3,
+ "dimensions": {
+ "arrow_length": 1270000,
+ "extension_offset": 500000,
+ "keep_text_aligned": true,
+ "suppress_zeroes": false,
+ "text_position": 0,
+ "units_format": 1
+ },
+ "fab_line_width": 0.09999999999999999,
+ "fab_text_italic": false,
+ "fab_text_size_h": 1.0,
+ "fab_text_size_v": 1.0,
+ "fab_text_thickness": 0.15,
+ "fab_text_upright": false,
+ "other_line_width": 0.09999999999999999,
+ "other_text_italic": false,
+ "other_text_size_h": 1.0,
+ "other_text_size_v": 1.0,
+ "other_text_thickness": 0.15,
+ "other_text_upright": false,
+ "pads": {
+ "drill": 0.0,
+ "height": 5.0,
+ "width": 2.8
+ },
+ "silk_line_width": 0.15,
+ "silk_text_italic": false,
+ "silk_text_size_h": 1.0,
+ "silk_text_size_v": 1.0,
+ "silk_text_thickness": 0.15,
+ "silk_text_upright": false,
+ "zones": {
+ "45_degree_only": false,
+ "min_clearance": 0.6
+ }
+ },
+ "diff_pair_dimensions": [],
+ "drc_exclusions": [],
+ "meta": {
+ "filename": "board_design_settings.json",
+ "version": 2
+ },
+ "rule_severities": {
+ "annular_width": "error",
+ "clearance": "error",
+ "copper_edge_clearance": "error",
+ "copper_sliver": "warning",
+ "courtyards_overlap": "error",
+ "diff_pair_gap_out_of_range": "error",
+ "diff_pair_uncoupled_length_too_long": "error",
+ "drill_out_of_range": "error",
+ "duplicate_footprints": "warning",
+ "extra_footprint": "warning",
+ "footprint_type_mismatch": "warning",
+ "hole_clearance": "error",
+ "hole_near_hole": "error",
+ "invalid_outline": "error",
+ "isolated_copper": "warning",
+ "item_on_disabled_layer": "error",
+ "items_not_allowed": "error",
+ "length_out_of_range": "error",
+ "lib_footprint_issues": "warning",
+ "lib_footprint_mismatch": "warning",
+ "malformed_courtyard": "error",
+ "microvia_drill_out_of_range": "error",
+ "missing_courtyard": "ignore",
+ "missing_footprint": "warning",
+ "net_conflict": "warning",
+ "npth_inside_courtyard": "ignore",
+ "overlapping_pads": "warning",
+ "padstack": "warning",
+ "pth_inside_courtyard": "ignore",
+ "shorting_items": "error",
+ "silk_edge_clearance": "warning",
+ "silk_over_copper": "warning",
+ "silk_overlap": "warning",
+ "skew_out_of_range": "error",
+ "solder_mask_bridge": "error",
+ "starved_thermal": "error",
+ "text_height": "warning",
+ "text_thickness": "warning",
+ "through_hole_pad_without_hole": "error",
+ "too_many_vias": "error",
+ "track_dangling": "warning",
+ "track_width": "error",
+ "tracks_crossing": "error",
+ "unconnected_items": "error",
+ "unresolved_variable": "error",
+ "via_dangling": "warning",
+ "zones_intersect": "error"
+ },
+ "rules": {
+ "allow_blind_buried_vias": false,
+ "allow_microvias": false,
+ "max_error": 0.005,
+ "min_clearance": 0.0,
+ "min_copper_edge_clearance": 0.09999999999999999,
+ "min_hole_clearance": 0.25,
+ "min_hole_to_hole": 0.25,
+ "min_microvia_diameter": 0.19999999999999998,
+ "min_microvia_drill": 0.09999999999999999,
+ "min_resolved_spokes": 2,
+ "min_silk_clearance": 0.0,
+ "min_text_height": 0.7999999999999999,
+ "min_text_thickness": 0.12,
+ "min_through_hole_diameter": 0.3,
+ "min_track_width": 0.19999999999999998,
+ "min_via_annular_width": 0.049999999999999996,
+ "min_via_diameter": 0.39999999999999997,
+ "solder_mask_to_copper_clearance": 0.0,
+ "use_height_for_length_calcs": true
+ },
+ "teardrop_options": [
+ {
+ "td_allow_use_two_tracks": true,
+ "td_curve_segcount": 5,
+ "td_on_pad_in_zone": false,
+ "td_onpadsmd": true,
+ "td_onroundshapesonly": false,
+ "td_ontrackend": false,
+ "td_onviapad": true
+ }
+ ],
+ "teardrop_parameters": [
+ {
+ "td_curve_segcount": 0,
+ "td_height_ratio": 1.0,
+ "td_length_ratio": 0.5,
+ "td_maxheight": 2.0,
+ "td_maxlen": 1.0,
+ "td_target_name": "td_round_shape",
+ "td_width_to_size_filter_ratio": 0.9
+ },
+ {
+ "td_curve_segcount": 0,
+ "td_height_ratio": 1.0,
+ "td_length_ratio": 0.5,
+ "td_maxheight": 2.0,
+ "td_maxlen": 1.0,
+ "td_target_name": "td_rect_shape",
+ "td_width_to_size_filter_ratio": 0.9
+ },
+ {
+ "td_curve_segcount": 0,
+ "td_height_ratio": 1.0,
+ "td_length_ratio": 0.5,
+ "td_maxheight": 2.0,
+ "td_maxlen": 1.0,
+ "td_target_name": "td_track_end",
+ "td_width_to_size_filter_ratio": 0.9
+ }
+ ],
+ "track_widths": [],
+ "via_dimensions": [],
+ "zones_allow_external_fillets": false
+ },
+ "layer_presets": [],
+ "viewports": []
+ },
+ "boards": [],
+ "cvpcb": {
+ "equivalence_files": []
+ },
+ "erc": {
+ "erc_exclusions": [],
+ "meta": {
+ "version": 0
+ },
+ "pin_map": [
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 0,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 2,
+ 2,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 1,
+ 0,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 1,
+ 2,
+ 1,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 0,
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 1,
+ 2,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 2,
+ 2,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 0,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 1,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2
+ ]
+ ],
+ "rule_severities": {
+ "bus_definition_conflict": "error",
+ "bus_entry_needed": "error",
+ "bus_label_syntax": "error",
+ "bus_to_bus_conflict": "error",
+ "bus_to_net_conflict": "error",
+ "different_unit_footprint": "error",
+ "different_unit_net": "error",
+ "duplicate_reference": "error",
+ "duplicate_sheet_names": "error",
+ "extra_units": "error",
+ "global_label_dangling": "warning",
+ "hier_label_mismatch": "error",
+ "label_dangling": "error",
+ "lib_symbol_issues": "warning",
+ "multiple_net_names": "warning",
+ "net_not_bus_member": "warning",
+ "no_connect_connected": "warning",
+ "no_connect_dangling": "warning",
+ "pin_not_connected": "error",
+ "pin_not_driven": "error",
+ "pin_to_pin": "warning",
+ "power_pin_not_driven": "error",
+ "similar_labels": "warning",
+ "unannotated": "error",
+ "unit_value_mismatch": "error",
+ "unresolved_variable": "error",
+ "wire_dangling": "error"
+ }
+ },
+ "libraries": {
+ "pinned_footprint_libs": [],
+ "pinned_symbol_libs": []
+ },
+ "meta": {
+ "filename": "corner.kicad_pro",
+ "version": 1
+ },
+ "net_settings": {
+ "classes": [
+ {
+ "bus_width": 12.0,
+ "clearance": 0.2,
+ "diff_pair_gap": 0.25,
+ "diff_pair_via_gap": 0.25,
+ "diff_pair_width": 0.2,
+ "line_style": 0,
+ "microvia_diameter": 0.3,
+ "microvia_drill": 0.1,
+ "name": "Default",
+ "pcb_color": "rgba(0, 0, 0, 0.000)",
+ "schematic_color": "rgba(0, 0, 0, 0.000)",
+ "track_width": 0.25,
+ "via_diameter": 0.8,
+ "via_drill": 0.4,
+ "wire_width": 6.0
+ }
+ ],
+ "meta": {
+ "version": 2
+ },
+ "net_colors": null
+ },
+ "pcbnew": {
+ "last_paths": {
+ "gencad": "",
+ "idf": "",
+ "netlist": "",
+ "specctra_dsn": "",
+ "step": "",
+ "vrml": ""
+ },
+ "page_layout_descr_file": ""
+ },
+ "schematic": {
+ "annotate_start_num": 0,
+ "drawing": {
+ "dashed_lines_dash_length_ratio": 12.0,
+ "dashed_lines_gap_length_ratio": 3.0,
+ "default_line_thickness": 6.0,
+ "default_text_size": 50.0,
+ "field_names": [],
+ "intersheets_ref_own_page": false,
+ "intersheets_ref_prefix": "",
+ "intersheets_ref_short": false,
+ "intersheets_ref_show": false,
+ "intersheets_ref_suffix": "",
+ "junction_size_choice": 3,
+ "label_size_ratio": 0.25,
+ "pin_symbol_size": 0.0,
+ "text_offset_ratio": 0.08
+ },
+ "legacy_lib_dir": "",
+ "legacy_lib_list": [],
+ "meta": {
+ "version": 1
+ },
+ "net_format_name": "",
+ "ngspice": {
+ "fix_include_paths": true,
+ "fix_passive_vals": false,
+ "meta": {
+ "version": 0
+ },
+ "model_mode": 0,
+ "workbook_filename": ""
+ },
+ "page_layout_descr_file": "",
+ "plot_directory": "",
+ "spice_adjust_passive_values": false,
+ "spice_external_command": "spice \"%I\"",
+ "subpart_first_id": 65,
+ "subpart_id_separator": 0
+ },
+ "sheets": [
+ [
+ "cba8ab87-9ec5-427f-9ee1-b115ba7b0bb4",
+ ""
+ ]
+ ],
+ "text_variables": {}
+}
diff --git a/corner/corner.kicad_sch b/corner/corner.kicad_sch
new file mode 100644
index 0000000..e22a5c6
--- /dev/null
+++ b/corner/corner.kicad_sch
@@ -0,0 +1,282 @@
+(kicad_sch (version 20220404) (generator eeschema)
+
+ (uuid cba8ab87-9ec5-427f-9ee1-b115ba7b0bb4)
+
+ (paper "A4")
+
+ (lib_symbols
+ (symbol "Connector_Generic:Conn_01x01" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at 0 2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Conn_01x01" (id 1) (at 0 -2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "connector" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Conn_01x01_1_1"
+ (rectangle (start -1.27 0.127) (end 0 -0.127)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 1.27) (end 1.27 -1.27)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (pin passive line (at -5.08 0 0) (length 3.81)
+ (name "Pin_1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Connector_Generic:Conn_01x02" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at 0 2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Conn_01x02" (id 1) (at 0 -5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "connector" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Conn_01x02_1_1"
+ (rectangle (start -1.27 -2.413) (end 0 -2.667)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 0.127) (end 0 -0.127)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 1.27) (end 1.27 -3.81)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (pin passive line (at -5.08 0 0) (length 3.81)
+ (name "Pin_1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -2.54 0) (length 3.81)
+ (name "Pin_2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ )
+
+ (junction (at 72.39 66.04) (diameter 0) (color 0 0 0 0)
+ (uuid 2fba87ca-21bc-4cdb-b034-fa312ba78b4c)
+ )
+
+ (wire (pts (xy 73.66 57.15) (xy 63.5 57.15))
+ (stroke (width 0) (type default))
+ (uuid 0394df9e-87bb-4cb9-ada5-04cbbf16dd0a)
+ )
+ (wire (pts (xy 76.2 72.39) (xy 77.47 72.39))
+ (stroke (width 0) (type default))
+ (uuid 152f388c-77d8-40cf-88a7-9358dd66122c)
+ )
+ (wire (pts (xy 73.66 59.69) (xy 73.66 57.15))
+ (stroke (width 0) (type default))
+ (uuid 327fb3fe-3d04-4009-8dd1-674f22df5ed2)
+ )
+ (wire (pts (xy 63.5 59.69) (xy 72.39 59.69))
+ (stroke (width 0) (type default))
+ (uuid 49f50d8c-4842-42b8-8e60-85122208a2eb)
+ )
+ (wire (pts (xy 72.39 69.85) (xy 77.47 69.85))
+ (stroke (width 0) (type default))
+ (uuid 64be8793-d195-480c-8f98-e62cb06eebad)
+ )
+ (wire (pts (xy 77.47 63.5) (xy 74.93 63.5))
+ (stroke (width 0) (type default))
+ (uuid 7ae6f05e-d9ce-44fc-b805-b02abf692f0a)
+ )
+ (wire (pts (xy 74.93 63.5) (xy 74.93 57.15))
+ (stroke (width 0) (type default))
+ (uuid 8f676b1c-d95d-4ccb-8825-876158c90d66)
+ )
+ (wire (pts (xy 77.47 59.69) (xy 73.66 59.69))
+ (stroke (width 0) (type default))
+ (uuid 9e4718fe-b65a-4ea1-bcb0-236b177ab842)
+ )
+ (wire (pts (xy 74.93 57.15) (xy 77.47 57.15))
+ (stroke (width 0) (type default))
+ (uuid a33f5e2c-ffe3-41bd-93f0-22c7a7a6db13)
+ )
+ (wire (pts (xy 77.47 66.04) (xy 72.39 66.04))
+ (stroke (width 0) (type default))
+ (uuid b5af4ea1-9604-4917-aec3-93a3ba6ba950)
+ )
+ (wire (pts (xy 72.39 59.69) (xy 72.39 66.04))
+ (stroke (width 0) (type default))
+ (uuid cd9b4ab5-84f7-4db3-9bda-deb749b394d3)
+ )
+ (wire (pts (xy 72.39 66.04) (xy 72.39 69.85))
+ (stroke (width 0) (type default))
+ (uuid e7f35699-dd76-4045-b1a8-729fd754e55b)
+ )
+
+ (label "C" (at 76.2 59.69 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 2bfda7cd-7448-48b4-90e9-5b60e24b05c8)
+ )
+ (label "A" (at 76.2 66.04 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 6e908b4b-05ed-4233-90d6-272e2548ccae)
+ )
+ (label "D" (at 76.2 72.39 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid b9f28db7-5904-43d6-af73-82ed344f68d1)
+ )
+ (label "B" (at 76.2 63.5 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid e0d2da1e-e31e-4842-bf69-f6df8d62c373)
+ )
+
+ (symbol (lib_id "Connector_Generic:Conn_01x02") (at 82.55 59.69 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c19123a)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 86.36 58.42 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 80.4926 53.7464 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Footprint" "" (id 2) (at 82.55 59.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 82.55 59.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 776d66fe-c9ad-44b4-b108-b2471269a326))
+ (pin "2" (uuid 0722e6cc-90a6-492d-927d-3c6e2d020309))
+ )
+
+ (symbol (lib_id "Connector_Generic:Conn_01x02") (at 82.55 66.04 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c191348)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 86.36 64.77 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 80.4926 60.1218 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Footprint" "" (id 2) (at 82.55 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 82.55 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 7561e722-7816-40dd-8826-b3e746ce798e))
+ (pin "2" (uuid 4ccea6e4-347a-4ead-9086-fff9a87d2467))
+ )
+
+ (symbol (lib_id "Connector_Generic:Conn_01x02") (at 82.55 72.39 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c1913c4)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 86.36 71.12 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 80.4926 66.4464 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Footprint" "" (id 2) (at 82.55 72.39 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 82.55 72.39 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 37052942-e2fa-47c6-9e2e-fbd664dd55be))
+ (pin "2" (uuid 44cf36f1-2879-44b5-83e2-f3ca9aadfbe2))
+ )
+
+ (symbol (lib_id "Connector_Generic:Conn_01x02") (at 58.42 57.15 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c19144f)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 53.34 58.42 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 60.452 53.9496 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Footprint" "" (id 2) (at 58.42 57.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 58.42 57.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 71de2f81-bffb-4e6a-b765-c54afa89417b))
+ (pin "2" (uuid 8512bdf2-fed2-4d11-8782-49804911f38f))
+ )
+
+ (symbol (lib_id "Connector_Generic:Conn_01x01") (at 71.12 72.39 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c1956f0)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 66.04 72.39 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 66.04 74.93 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 71.12 72.39 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 71.12 72.39 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 8de24305-0a7b-45f3-91da-8bc9593c5d65))
+ )
+
+ (sheet_instances
+ (path "/" (page "1"))
+ )
+
+ (symbol_instances
+ (path "/00000000-0000-0000-0000-00005c1913c4"
+ (reference "J1") (unit 1) (value "Conn_01x02") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c191348"
+ (reference "J2") (unit 1) (value "Conn_01x02") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c19123a"
+ (reference "J3") (unit 1) (value "Conn_01x02") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c19144f"
+ (reference "J4") (unit 1) (value "Conn_01x02") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c1956f0"
+ (reference "J5") (unit 1) (value "DUMMY") (footprint "")
+ )
+ )
+)
diff --git a/corner/fp-info-cache b/corner/fp-info-cache
new file mode 100644
index 0000000..1874828
--- /dev/null
+++ b/corner/fp-info-cache
@@ -0,0 +1 @@
+0
diff --git a/driver/driver.kicad_prl b/driver/driver.kicad_prl
new file mode 100644
index 0000000..9013c5f
--- /dev/null
+++ b/driver/driver.kicad_prl
@@ -0,0 +1,76 @@
+{
+ "board": {
+ "active_layer": 40,
+ "active_layer_preset": "",
+ "auto_track_width": true,
+ "hidden_nets": [],
+ "high_contrast_mode": 0,
+ "net_color_mode": 1,
+ "opacity": {
+ "pads": 1.0,
+ "tracks": 1.0,
+ "vias": 1.0,
+ "zones": 0.6
+ },
+ "ratsnest_display_mode": 0,
+ "selection_filter": {
+ "dimensions": true,
+ "footprints": true,
+ "graphics": true,
+ "keepouts": true,
+ "lockedItems": true,
+ "otherItems": true,
+ "pads": true,
+ "text": true,
+ "tracks": true,
+ "vias": true,
+ "zones": true
+ },
+ "visible_items": [
+ 0,
+ 1,
+ 2,
+ 3,
+ 4,
+ 5,
+ 6,
+ 8,
+ 9,
+ 10,
+ 11,
+ 12,
+ 13,
+ 14,
+ 15,
+ 16,
+ 18,
+ 19,
+ 20,
+ 21,
+ 22,
+ 23,
+ 24,
+ 25,
+ 26,
+ 27,
+ 28,
+ 29,
+ 30,
+ 32,
+ 33,
+ 34,
+ 35,
+ 36,
+ 39
+ ],
+ "visible_layers": "003ffef_80000001",
+ "zone_display_mode": 0
+ },
+ "meta": {
+ "filename": "driver.kicad_prl",
+ "version": 3
+ },
+ "project": {
+ "files": []
+ }
+}
diff --git a/driver/driver.kicad_pro b/driver/driver.kicad_pro
new file mode 100644
index 0000000..f7743fb
--- /dev/null
+++ b/driver/driver.kicad_pro
@@ -0,0 +1,572 @@
+{
+ "board": {
+ "design_settings": {
+ "defaults": {
+ "board_outline_line_width": 0.049999999999999996,
+ "copper_line_width": 0.19999999999999998,
+ "copper_text_italic": false,
+ "copper_text_size_h": 1.5,
+ "copper_text_size_v": 1.5,
+ "copper_text_thickness": 0.3,
+ "copper_text_upright": false,
+ "courtyard_line_width": 0.049999999999999996,
+ "dimension_precision": 4,
+ "dimension_units": 3,
+ "dimensions": {
+ "arrow_length": 1270000,
+ "extension_offset": 500000,
+ "keep_text_aligned": true,
+ "suppress_zeroes": false,
+ "text_position": 0,
+ "units_format": 1
+ },
+ "fab_line_width": 0.09999999999999999,
+ "fab_text_italic": false,
+ "fab_text_size_h": 1.0,
+ "fab_text_size_v": 1.0,
+ "fab_text_thickness": 0.15,
+ "fab_text_upright": false,
+ "other_line_width": 0.09999999999999999,
+ "other_text_italic": false,
+ "other_text_size_h": 1.0,
+ "other_text_size_v": 1.0,
+ "other_text_thickness": 0.15,
+ "other_text_upright": false,
+ "pads": {
+ "drill": 0.0,
+ "height": 0.45,
+ "width": 1.45
+ },
+ "silk_line_width": 0.12,
+ "silk_text_italic": false,
+ "silk_text_size_h": 1.0,
+ "silk_text_size_v": 1.0,
+ "silk_text_thickness": 0.15,
+ "silk_text_upright": false,
+ "zones": {
+ "45_degree_only": false,
+ "min_clearance": 0.25
+ }
+ },
+ "diff_pair_dimensions": [
+ {
+ "gap": 0.0,
+ "via_gap": 0.0,
+ "width": 0.0
+ }
+ ],
+ "drc_exclusions": [
+ "clearance|281469039|45813300|c3f71ee5-fa18-43ba-b9a6-7407345b2b58|9de1e896-f8e3-44dd-9ffe-cebdcbc3def2",
+ "clearance|281469039|59146700|e20c0db1-0786-44c3-8039-1f07cde1f8ed|a2f0c0fe-22b1-4b3c-86d3-ad24c9eb552c",
+ "clearance|282944039|45393300|65b92b06-b3d4-4265-8c38-a0f132de7fb5|9de1e896-f8e3-44dd-9ffe-cebdcbc3def2",
+ "clearance|282944039|58726700|790f4ef6-3f55-4fa4-81c6-33003849f50c|a2f0c0fe-22b1-4b3c-86d3-ad24c9eb552c",
+ "clearance|288469039|29520000|9425723d-0dad-4e92-9ffa-75b3606da12c|20224eba-6b29-432e-afcb-ea331a43c8fd",
+ "clearance|288469039|69520000|0eb0a593-9ea3-4404-925c-fe357e9fef85|06e33bfd-0e9a-456e-9f58-5c35518e28b9",
+ "clearance|289944039|69940000|67f0ed67-a577-4470-8aad-1cbee5900911|06e33bfd-0e9a-456e-9f58-5c35518e28b9",
+ "courtyards_overlap|273365342|7270001|c4a82479-2bda-4cd4-99b9-204c19f32b07|dbec98c5-ca5b-42e6-ab6d-61d6925880f6",
+ "courtyards_overlap|274350001|7776443|c4a82479-2bda-4cd4-99b9-204c19f32b07|dbec98c5-ca5b-42e6-ab6d-61d6925880f6",
+ "courtyards_overlap|325250001|16270001|4f976688-880b-471a-b950-4b4855215a7e|a92d58c2-a950-4743-ba5e-207b46fed029",
+ "isolated_copper|281272014|15014394|6d2fbe69-ebde-4b6a-97a6-7fd3477aea20|00000000-0000-0000-0000-000000000000"
+ ],
+ "meta": {
+ "filename": "board_design_settings.json",
+ "version": 2
+ },
+ "rule_severities": {
+ "annular_width": "error",
+ "clearance": "error",
+ "copper_edge_clearance": "error",
+ "copper_sliver": "warning",
+ "courtyards_overlap": "error",
+ "diff_pair_gap_out_of_range": "error",
+ "diff_pair_uncoupled_length_too_long": "error",
+ "drill_out_of_range": "error",
+ "duplicate_footprints": "warning",
+ "extra_footprint": "warning",
+ "footprint_type_mismatch": "ignore",
+ "hole_clearance": "error",
+ "hole_near_hole": "error",
+ "invalid_outline": "error",
+ "isolated_copper": "warning",
+ "item_on_disabled_layer": "error",
+ "items_not_allowed": "error",
+ "length_out_of_range": "error",
+ "lib_footprint_issues": "ignore",
+ "lib_footprint_mismatch": "ignore",
+ "malformed_courtyard": "error",
+ "microvia_drill_out_of_range": "error",
+ "missing_courtyard": "ignore",
+ "missing_footprint": "warning",
+ "net_conflict": "warning",
+ "npth_inside_courtyard": "ignore",
+ "overlapping_pads": "warning",
+ "padstack": "warning",
+ "pth_inside_courtyard": "ignore",
+ "shorting_items": "error",
+ "silk_edge_clearance": "ignore",
+ "silk_over_copper": "warning",
+ "silk_overlap": "ignore",
+ "skew_out_of_range": "error",
+ "solder_mask_bridge": "ignore",
+ "starved_thermal": "error",
+ "text_height": "warning",
+ "text_thickness": "warning",
+ "through_hole_pad_without_hole": "error",
+ "too_many_vias": "error",
+ "track_dangling": "ignore",
+ "track_width": "error",
+ "tracks_crossing": "error",
+ "unconnected_items": "error",
+ "unresolved_variable": "ignore",
+ "via_dangling": "warning",
+ "zones_intersect": "ignore"
+ },
+ "rule_severitieslegacy_courtyards_overlap": true,
+ "rule_severitieslegacy_no_courtyard_defined": false,
+ "rules": {
+ "allow_blind_buried_vias": false,
+ "allow_microvias": false,
+ "max_error": 0.005,
+ "min_clearance": 0.0,
+ "min_copper_edge_clearance": 0.075,
+ "min_hole_clearance": 0.25,
+ "min_hole_to_hole": 0.25,
+ "min_microvia_diameter": 0.19999999999999998,
+ "min_microvia_drill": 0.09999999999999999,
+ "min_resolved_spokes": 2,
+ "min_silk_clearance": 0.0,
+ "min_text_height": 0.7999999999999999,
+ "min_text_thickness": 0.12,
+ "min_through_hole_diameter": 0.3,
+ "min_track_width": 0.15,
+ "min_via_annular_width": 0.049999999999999996,
+ "min_via_diameter": 0.39999999999999997,
+ "solder_mask_to_copper_clearance": 0.0,
+ "use_height_for_length_calcs": true
+ },
+ "teardrop_options": [
+ {
+ "td_allow_use_two_tracks": true,
+ "td_curve_segcount": 5,
+ "td_on_pad_in_zone": false,
+ "td_onpadsmd": true,
+ "td_onroundshapesonly": false,
+ "td_ontrackend": false,
+ "td_onviapad": true
+ }
+ ],
+ "teardrop_parameters": [
+ {
+ "td_curve_segcount": 0,
+ "td_height_ratio": 1.0,
+ "td_length_ratio": 0.5,
+ "td_maxheight": 2.0,
+ "td_maxlen": 1.0,
+ "td_target_name": "td_round_shape",
+ "td_width_to_size_filter_ratio": 0.9
+ },
+ {
+ "td_curve_segcount": 0,
+ "td_height_ratio": 1.0,
+ "td_length_ratio": 0.5,
+ "td_maxheight": 2.0,
+ "td_maxlen": 1.0,
+ "td_target_name": "td_rect_shape",
+ "td_width_to_size_filter_ratio": 0.9
+ },
+ {
+ "td_curve_segcount": 0,
+ "td_height_ratio": 1.0,
+ "td_length_ratio": 0.5,
+ "td_maxheight": 2.0,
+ "td_maxlen": 1.0,
+ "td_target_name": "td_track_end",
+ "td_width_to_size_filter_ratio": 0.9
+ }
+ ],
+ "track_widths": [
+ 0.0,
+ 0.15,
+ 0.25,
+ 0.5,
+ 0.8,
+ 1.2,
+ 1.8,
+ 2.5,
+ 3.2
+ ],
+ "via_dimensions": [
+ {
+ "diameter": 0.0,
+ "drill": 0.0
+ },
+ {
+ "diameter": 0.8,
+ "drill": 0.4
+ },
+ {
+ "diameter": 1.0,
+ "drill": 0.5
+ },
+ {
+ "diameter": 1.2,
+ "drill": 0.6
+ },
+ {
+ "diameter": 1.6,
+ "drill": 0.8
+ },
+ {
+ "diameter": 2.0,
+ "drill": 1.0
+ },
+ {
+ "diameter": 2.4,
+ "drill": 1.2
+ },
+ {
+ "diameter": 3.0,
+ "drill": 1.5
+ }
+ ],
+ "zones_allow_external_fillets": false
+ },
+ "layer_presets": [],
+ "viewports": []
+ },
+ "boards": [],
+ "cvpcb": {
+ "equivalence_files": []
+ },
+ "erc": {
+ "erc_exclusions": [],
+ "meta": {
+ "version": 0
+ },
+ "pin_map": [
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 0,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 2,
+ 2,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 1,
+ 0,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 1,
+ 2,
+ 1,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 0,
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 1,
+ 2,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 2,
+ 2,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 0,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 1,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2
+ ]
+ ],
+ "rule_severities": {
+ "bus_definition_conflict": "error",
+ "bus_entry_needed": "error",
+ "bus_label_syntax": "error",
+ "bus_to_bus_conflict": "error",
+ "bus_to_net_conflict": "error",
+ "different_unit_footprint": "error",
+ "different_unit_net": "error",
+ "duplicate_reference": "error",
+ "duplicate_sheet_names": "error",
+ "extra_units": "error",
+ "global_label_dangling": "warning",
+ "hier_label_mismatch": "error",
+ "label_dangling": "error",
+ "lib_symbol_issues": "warning",
+ "multiple_net_names": "warning",
+ "net_not_bus_member": "warning",
+ "no_connect_connected": "warning",
+ "no_connect_dangling": "warning",
+ "pin_not_connected": "error",
+ "pin_not_driven": "error",
+ "pin_to_pin": "warning",
+ "power_pin_not_driven": "error",
+ "similar_labels": "warning",
+ "unannotated": "error",
+ "unit_value_mismatch": "error",
+ "unresolved_variable": "error",
+ "wire_dangling": "error"
+ }
+ },
+ "libraries": {
+ "pinned_footprint_libs": [],
+ "pinned_symbol_libs": []
+ },
+ "meta": {
+ "filename": "driver.kicad_pro",
+ "version": 1
+ },
+ "net_settings": {
+ "classes": [
+ {
+ "bus_width": 12.0,
+ "clearance": 0.2,
+ "diff_pair_gap": 0.25,
+ "diff_pair_via_gap": 0.25,
+ "diff_pair_width": 0.2,
+ "line_style": 0,
+ "microvia_diameter": 0.3,
+ "microvia_drill": 0.1,
+ "name": "Default",
+ "pcb_color": "rgba(0, 0, 0, 0.000)",
+ "schematic_color": "rgba(0, 0, 0, 0.000)",
+ "track_width": 0.25,
+ "via_diameter": 0.8,
+ "via_drill": 0.4,
+ "wire_width": 6.0
+ },
+ {
+ "bus_width": 12.0,
+ "clearance": 0.6,
+ "diff_pair_gap": 0.25,
+ "diff_pair_via_gap": 0.25,
+ "diff_pair_width": 0.2,
+ "line_style": 0,
+ "microvia_diameter": 0.3,
+ "microvia_drill": 0.1,
+ "name": "HV",
+ "nets": [
+ "/DRV1",
+ "/DRV2",
+ "/DRV3",
+ "/DRV4",
+ "/DRV_A",
+ "/DRV_B",
+ "/OUT_A",
+ "/OUT_B",
+ "/VB1",
+ "/VB2",
+ "/VIN",
+ "/VIN_INPUT",
+ "/VIN_MEAS"
+ ],
+ "pcb_color": "rgba(0, 0, 0, 0.000)",
+ "schematic_color": "rgba(0, 0, 0, 0.000)",
+ "track_width": 0.25,
+ "via_diameter": 0.8,
+ "via_drill": 0.4,
+ "wire_width": 6.0
+ }
+ ],
+ "meta": {
+ "version": 2
+ },
+ "net_colors": null
+ },
+ "pcbnew": {
+ "last_paths": {
+ "gencad": "",
+ "idf": "",
+ "netlist": "",
+ "specctra_dsn": "",
+ "step": "",
+ "vrml": ""
+ },
+ "page_layout_descr_file": ""
+ },
+ "schematic": {
+ "annotate_start_num": 0,
+ "drawing": {
+ "dashed_lines_dash_length_ratio": 12.0,
+ "dashed_lines_gap_length_ratio": 3.0,
+ "default_bus_thickness": 12.0,
+ "default_junction_size": 40.0,
+ "default_line_thickness": 6.0,
+ "default_text_size": 50.0,
+ "default_wire_thickness": 6.0,
+ "field_names": [],
+ "intersheets_ref_own_page": false,
+ "intersheets_ref_prefix": "",
+ "intersheets_ref_short": false,
+ "intersheets_ref_show": false,
+ "intersheets_ref_suffix": "",
+ "junction_size_choice": 3,
+ "label_size_ratio": 0.3,
+ "pin_symbol_size": 25.0,
+ "text_offset_ratio": 0.3
+ },
+ "legacy_lib_dir": "",
+ "legacy_lib_list": [],
+ "meta": {
+ "version": 1
+ },
+ "net_format_name": "",
+ "ngspice": {
+ "fix_include_paths": true,
+ "fix_passive_vals": false,
+ "meta": {
+ "version": 0
+ },
+ "model_mode": 0,
+ "workbook_filename": ""
+ },
+ "page_layout_descr_file": "",
+ "plot_directory": "",
+ "spice_adjust_passive_values": false,
+ "spice_external_command": "spice \"%I\"",
+ "subpart_first_id": 65,
+ "subpart_id_separator": 0
+ },
+ "sheets": [
+ [
+ "a4b014d8-050b-4a7a-9077-d2799def17e5",
+ ""
+ ]
+ ],
+ "text_variables": {}
+}
diff --git a/driver/driver.kicad_sch b/driver/driver.kicad_sch
new file mode 100644
index 0000000..bcc94ed
--- /dev/null
+++ b/driver/driver.kicad_sch
@@ -0,0 +1,12186 @@
+(kicad_sch (version 20220404) (generator eeschema)
+
+ (uuid a4b014d8-050b-4a7a-9077-d2799def17e5)
+
+ (paper "A2")
+
+ (lib_symbols
+ (symbol "Amplifier_Current:INA138" (pin_names (offset 0.127)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at 3.81 5.08 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "INA138" (id 1) (at 3.81 2.54 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.ti.com/lit/ds/symlink/ina138.pdf" (id 3) (at 0 0.127 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "current sense shunt monitor" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "High-Side Measurement Current Shunt Monitor, 36V, SOT-23-5" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "INA138_0_1"
+ (polyline
+ (pts
+ (xy -5.08 5.08)
+ (xy 5.08 0)
+ (xy -5.08 -5.08)
+ (xy -5.08 5.08)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "INA138_1_1"
+ (pin output line (at 7.62 0 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -2.54 -7.62 90) (length 3.81)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -7.62 2.54 0) (length 2.54)
+ (name "+" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -7.62 -2.54 0) (length 2.54)
+ (name "-" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -2.54 7.62 270) (length 3.81)
+ (name "V+" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Connector:Screw_Terminal_01x02" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at 0 2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Screw_Terminal_01x02" (id 1) (at 0 -5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "screw terminal" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Generic screw terminal, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "TerminalBlock*:*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Screw_Terminal_01x02_1_1"
+ (rectangle (start -1.27 1.27) (end 1.27 -3.81)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (circle (center 0 -2.54) (radius 0.635)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.5334 -2.2098)
+ (xy 0.3302 -3.048)
+ )
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.5334 0.3302)
+ (xy 0.3302 -0.508)
+ )
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.3556 -2.032)
+ (xy 0.508 -2.8702)
+ )
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.3556 0.508)
+ (xy 0.508 -0.3302)
+ )
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (circle (center 0 0) (radius 0.635)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (pin passive line (at -5.08 0 0) (length 3.81)
+ (name "Pin_1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -2.54 0) (length 3.81)
+ (name "Pin_2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Connector_Generic:Conn_02x04_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at 1.27 5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Conn_02x04_Odd_Even" (id 1) (at 1.27 -7.62 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "connector" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Generic connector, double row, 02x04, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Connector*:*_2x??_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Conn_02x04_Odd_Even_1_1"
+ (rectangle (start -1.27 -4.953) (end 0 -5.207)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 -2.413) (end 0 -2.667)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 0.127) (end 0 -0.127)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 2.667) (end 0 2.413)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 3.81) (end 3.81 -6.35)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (rectangle (start 3.81 -4.953) (end 2.54 -5.207)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start 3.81 -2.413) (end 2.54 -2.667)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start 3.81 0.127) (end 2.54 -0.127)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start 3.81 2.667) (end 2.54 2.413)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (pin passive line (at -5.08 2.54 0) (length 3.81)
+ (name "Pin_1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 2.54 180) (length 3.81)
+ (name "Pin_2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 0 0) (length 3.81)
+ (name "Pin_3" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 0 180) (length 3.81)
+ (name "Pin_4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -2.54 0) (length 3.81)
+ (name "Pin_5" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 -2.54 180) (length 3.81)
+ (name "Pin_6" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -5.08 0) (length 3.81)
+ (name "Pin_7" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 -5.08 180) (length 3.81)
+ (name "Pin_8" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Converter_DCDC:MEE1S1205SC" (in_bom yes) (on_board yes)
+ (property "Reference" "PS" (id 0) (at -7.62 6.35 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "MEE1S1205SC" (id 1) (at 1.27 6.35 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Converter_DCDC:Converter_DCDC_Murata_MEE1SxxxxSC_THT" (id 2) (at -26.67 -6.35 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Datasheet" "https://power.murata.com/pub/data/power/ncl/kdc_mee1.pdf" (id 3) (at 26.67 -7.62 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "ki_keywords" "murata DC/DC isolated converter" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "1W, 1000 VDC isolated DC/DC converter, 12V input, 5V output, SIP" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Converter*DCDC*Murata*MEE1SxxxxSC*THT*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "MEE1S1205SC_0_0"
+ (pin power_in line (at -10.16 -2.54 0) (length 2.54)
+ (name "-Vin" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -10.16 2.54 0) (length 2.54)
+ (name "+Vin" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_out line (at 10.16 -2.54 180) (length 2.54)
+ (name "-Vout" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_out line (at 10.16 2.54 180) (length 2.54)
+ (name "+Vout" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ )
+ (symbol "MEE1S1205SC_0_1"
+ (rectangle (start -7.62 5.08) (end 7.62 -5.08)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (polyline
+ (pts
+ (xy 0 -2.54)
+ (xy 0 -3.81)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 2.54)
+ (xy 0 1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 5.08)
+ (xy 0 3.81)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ )
+ (symbol "Device:C_Polarized" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
+ (property "Reference" "C" (id 0) (at 0.635 2.54 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "C_Polarized" (id 1) (at 0.635 -2.54 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0.9652 -3.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Polarized capacitor" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "CP_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "C_Polarized_0_1"
+ (rectangle (start -2.286 0.508) (end 2.286 1.016)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.778 2.286)
+ (xy -0.762 2.286)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 2.794)
+ (xy -1.27 1.778)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (rectangle (start 2.286 -0.508) (end -2.286 -1.016)
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ )
+ (symbol "C_Polarized_1_1"
+ (pin passive line (at 0 3.81 270) (length 2.794)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -3.81 90) (length 2.794)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Device:L_Ferrite" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "L" (id 0) (at -1.27 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "L_Ferrite" (id 1) (at 2.794 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "inductor choke coil reactor magnetic" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Inductor with ferrite core" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Choke_* *Coil* Inductor_* L_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "L_Ferrite_0_1"
+ (arc (start 0 -2.54) (mid 0.635 -1.905) (end 0 -1.27)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (arc (start 0 -1.27) (mid 0.635 -0.635) (end 0 0)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 -2.794)
+ (xy 1.016 -2.286)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 -1.778)
+ (xy 1.016 -1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 -0.762)
+ (xy 1.016 -0.254)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 0.254)
+ (xy 1.016 0.762)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 1.27)
+ (xy 1.016 1.778)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 2.286)
+ (xy 1.016 2.794)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.524 -2.286)
+ (xy 1.524 -2.794)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.524 -1.27)
+ (xy 1.524 -1.778)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.524 -0.254)
+ (xy 1.524 -0.762)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.524 0.762)
+ (xy 1.524 0.254)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.524 1.778)
+ (xy 1.524 1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.524 2.794)
+ (xy 1.524 2.286)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (arc (start 0 0) (mid 0.635 0.635) (end 0 1.27)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (arc (start 0 1.27) (mid 0.635 1.905) (end 0 2.54)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "L_Ferrite_1_1"
+ (pin passive line (at 0 3.81 270) (length 1.27)
+ (name "1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -3.81 90) (length 1.27)
+ (name "2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "R" (id 0) (at 2.032 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "R" (id 1) (at 0 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at -1.778 0 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "R res resistor" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Resistor" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "R_0_1"
+ (rectangle (start -1.016 -2.54) (end 1.016 2.54)
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "R_1_1"
+ (pin passive line (at 0 3.81 270) (length 1.27)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -3.81 90) (length 1.27)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Diode:C4D30120D" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "D8" (id 0) (at -1.2065 5.3721 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "C4D30120D" (id 1) (at 0.0635 -5.2801 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "Package_TO_SOT_THT:TO-247-3_Vertical" (id 2) (at 0 -4.445 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "https://www.wolfspeed.com/media/downloads/108/C4D30120D.pdf" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "sic diode" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "1200V, 30A, SiC Schottky Diode, TO-247-3" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "TO?247*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "C4D30120D_0_1"
+ (polyline
+ (pts
+ (xy -2.54 -2.54)
+ (xy 0 -2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -2.54 -2.54)
+ (xy 0 -2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -2.54 2.54)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -2.54 -3.81)
+ (xy -2.54 -1.27)
+ (xy 0 -2.54)
+ (xy -2.54 -3.81)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -2.54 -3.81)
+ (xy -2.54 -1.27)
+ (xy 0 -2.54)
+ (xy -2.54 -3.81)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -2.54 1.27)
+ (xy -2.54 3.81)
+ (xy 0 2.54)
+ (xy -2.54 1.27)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 2.54)
+ (xy 2.54 2.54)
+ (xy 2.54 -2.54)
+ (xy 0 -2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.635 -3.175)
+ (xy 0.635 -3.81)
+ (xy 0 -3.81)
+ (xy 0 -1.27)
+ (xy -0.635 -1.27)
+ (xy -0.635 -1.905)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.635 -3.175)
+ (xy 0.635 -3.81)
+ (xy 0 -3.81)
+ (xy 0 -1.27)
+ (xy -0.635 -1.27)
+ (xy -0.635 -1.905)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.635 1.905)
+ (xy 0.635 1.27)
+ (xy 0 1.27)
+ (xy 0 3.81)
+ (xy -0.635 3.81)
+ (xy -0.635 3.175)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (circle (center 2.54 0) (radius 0.254)
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ )
+ (symbol "C4D30120D_1_1"
+ (pin passive line (at -5.08 2.54 0) (length 2.54)
+ (name "A1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -2.54 0) (length 2.54)
+ (name "A2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 5.08 0 180) (length 2.54)
+ (name "K" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Isolator:ADuM1201AR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at -10.16 8.89 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "ADuM1201AR" (id 1) (at -2.032 8.89 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 0 -10.16 0)
+ (effects (font (size 1.27 1.27) italic) hide)
+ )
+ (property "Datasheet" "https://www.analog.com/media/en/technical-documentation/data-sheets/ADuM1200_1201.pdf" (id 3) (at 0 -2.54 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "Dual-channel digital isolator" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Dual-channel digital isolator, bidirectional communication, 3V/5V level translation, SOIC-8" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "SOIC*3.9x4.9mm*P1.27mm*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "ADuM1201AR_0_1"
+ (rectangle (start -10.16 7.62) (end 10.16 -7.62)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (polyline
+ (pts
+ (xy -1.27 -4.445)
+ (xy -1.27 -6.985)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 -0.635)
+ (xy -1.27 -3.175)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 3.175)
+ (xy -1.27 0.635)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 6.985)
+ (xy -1.27 4.445)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 -4.445)
+ (xy 1.27 -6.985)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 -0.635)
+ (xy 1.27 -3.175)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 3.175)
+ (xy 1.27 0.635)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 6.985)
+ (xy 1.27 4.445)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.635 -1.27)
+ (xy -0.635 -2.54)
+ (xy 0.635 -1.905)
+ (xy -0.635 -1.27)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.635 2.54)
+ (xy 0.635 1.27)
+ (xy -0.635 1.905)
+ (xy 0.635 2.54)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "ADuM1201AR_1_1"
+ (pin power_in line (at -12.7 5.08 0) (length 2.54)
+ (name "VDD1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin output line (at -12.7 2.54 0) (length 2.54)
+ (name "VOA" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -12.7 -2.54 0) (length 2.54)
+ (name "VIB" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -12.7 -5.08 0) (length 2.54)
+ (name "GND1" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 12.7 -5.08 180) (length 2.54)
+ (name "GND2" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin output line (at 12.7 -2.54 180) (length 2.54)
+ (name "VOB" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at 12.7 2.54 180) (length 2.54)
+ (name "VIA" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 12.7 5.08 180) (length 2.54)
+ (name "VDD2" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "Isolator:LTV-847S" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at -3.81 5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "LTV-847S" (id 1) (at 0 -5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "Package_DIP:SMDIP-16_W9.53mm" (id 2) (at 0 -7.62 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.us.liteon.com/downloads/LTV-817-827-847.PDF" (id 3) (at -15.24 11.43 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "NPN DC Optocoupler" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "DC Optocoupler, Vce 35V, CTR 50%, SMDIP-16" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "SMDIP*W9.53mm*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "LTV-847S_0_1"
+ (rectangle (start -5.08 3.81) (end 5.08 -3.81)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (polyline
+ (pts
+ (xy -3.175 -0.635)
+ (xy -1.905 -0.635)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.54 0.635)
+ (xy 4.445 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 4.445 -2.54)
+ (xy 2.54 -0.635)
+ )
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ (polyline
+ (pts
+ (xy 4.445 -2.54)
+ (xy 5.08 -2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 4.445 2.54)
+ (xy 5.08 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -2.54 -0.635)
+ (xy -2.54 -2.54)
+ (xy -5.08 -2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.54 1.905)
+ (xy 2.54 -1.905)
+ (xy 2.54 -1.905)
+ )
+ (stroke (width 0.508) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.08 2.54)
+ (xy -2.54 2.54)
+ (xy -2.54 -1.27)
+ (xy -2.54 0.635)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -2.54 -0.635)
+ (xy -3.175 0.635)
+ (xy -1.905 0.635)
+ (xy -2.54 -0.635)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.508 -0.508)
+ (xy 0.762 -0.508)
+ (xy 0.381 -0.635)
+ (xy 0.381 -0.381)
+ (xy 0.762 -0.508)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.508 0.508)
+ (xy 0.762 0.508)
+ (xy 0.381 0.381)
+ (xy 0.381 0.635)
+ (xy 0.762 0.508)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 3.048 -1.651)
+ (xy 3.556 -1.143)
+ (xy 4.064 -2.159)
+ (xy 3.048 -1.651)
+ (xy 3.048 -1.651)
+ )
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ )
+ (symbol "LTV-847S_1_1"
+ (pin passive line (at -7.62 2.54 0) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 -2.54 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 2.54 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -7.62 -2.54 0) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ (symbol "LTV-847S_2_1"
+ (pin passive line (at 7.62 -2.54 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 2.54 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -7.62 2.54 0) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -7.62 -2.54 0) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ )
+ (symbol "LTV-847S_3_1"
+ (pin passive line (at 7.62 -2.54 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 2.54 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -7.62 2.54 0) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -7.62 -2.54 0) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ )
+ (symbol "LTV-847S_4_1"
+ (pin passive line (at 7.62 2.54 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -7.62 2.54 0) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -7.62 -2.54 0) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 -2.54 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:+12V-power-center-rescue" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+12V-power-center-rescue" (id 1) (at 0 3.556 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "+12V-power-center-rescue_0_1"
+ (polyline
+ (pts
+ (xy -0.762 1.27)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 2.54)
+ (xy 0.762 1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "+12V-power-center-rescue_1_1"
+ (pin power_in line (at 0 0 90) (length 0) hide
+ (name "+12V" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:+3.3V-power" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3.3V-power" (id 1) (at 0 3.556 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "+3.3V-power_0_1"
+ (polyline
+ (pts
+ (xy -0.762 1.27)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 2.54)
+ (xy 0.762 1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "+3.3V-power_1_1"
+ (pin power_in line (at 0 0 90) (length 0) hide
+ (name "+3V3" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:+3.3V-power-center-rescue" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3.3V-power-center-rescue" (id 1) (at 0 3.556 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "+3.3V-power-center-rescue_0_1"
+ (polyline
+ (pts
+ (xy -0.762 1.27)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 2.54)
+ (xy 0.762 1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "+3.3V-power-center-rescue_1_1"
+ (pin power_in line (at 0 0 90) (length 0) hide
+ (name "+3V3" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:+3V3-power" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+3V3-power" (id 1) (at 0 3.556 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "+3V3-power_0_1"
+ (polyline
+ (pts
+ (xy -0.762 1.27)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 2.54)
+ (xy 0.762 1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "+3V3-power_1_1"
+ (pin power_in line (at 0 0 90) (length 0) hide
+ (name "+3V3" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:AP1117-33-Regulator_Linear-center-rescue" (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at -3.81 3.175 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "AP1117-33-Regulator_Linear-center-rescue" (id 1) (at 0 3.175 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.diodes.com/datasheets/AP1117.pdf" (id 3) (at 2.54 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "SOT?223*TabPin2*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "AP1117-33-Regulator_Linear-center-rescue_0_1"
+ (rectangle (start -5.08 -5.08) (end 5.08 1.905)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "AP1117-33-Regulator_Linear-center-rescue_1_1"
+ (pin power_in line (at 0 -7.62 90) (length 2.54)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_out line (at 7.62 0 180) (length 2.54)
+ (name "VO" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -7.62 0 0) (length 2.54)
+ (name "VI" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_out line (at 7.62 -2.54 180) (length 2.54)
+ (name "PAD" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:CP_Small-Device-center-rescue" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "C" (id 0) (at 0.254 1.778 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "CP_Small-Device-center-rescue" (id 1) (at 0.254 -2.032 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "CP_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "CP_Small-Device-center-rescue_0_1"
+ (rectangle (start -1.524 -0.3048) (end 1.524 -0.6858)
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ (rectangle (start -1.524 0.6858) (end 1.524 0.3048)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 1.524)
+ (xy -0.762 1.524)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.016 1.27)
+ (xy -1.016 1.778)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "CP_Small-Device-center-rescue_1_1"
+ (pin passive line (at 0 2.54 270) (length 1.8542)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -2.54 90) (length 1.8542)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:C_Small-Device" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "C" (id 0) (at 0.254 1.778 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "C_Small-Device" (id 1) (at 0.254 -2.032 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "C_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "C_Small-Device_0_1"
+ (polyline
+ (pts
+ (xy -1.524 -0.508)
+ (xy 1.524 -0.508)
+ )
+ (stroke (width 0.3302) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.524 0.508)
+ (xy 1.524 0.508)
+ )
+ (stroke (width 0.3048) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "C_Small-Device_1_1"
+ (pin passive line (at 0 2.54 270) (length 2.032)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -2.54 90) (length 2.032)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:C_Small-Device-center-rescue" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "C" (id 0) (at 0.254 1.778 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "C_Small-Device-center-rescue" (id 1) (at 0.254 -2.032 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "C_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "C_Small-Device-center-rescue_0_1"
+ (polyline
+ (pts
+ (xy -1.524 -0.508)
+ (xy 1.524 -0.508)
+ )
+ (stroke (width 0.3302) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.524 0.508)
+ (xy 1.524 0.508)
+ )
+ (stroke (width 0.3048) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "C_Small-Device-center-rescue_1_1"
+ (pin passive line (at 0 2.54 270) (length 2.032)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -2.54 90) (length 2.032)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:Conn_01x02-Connector_Generic" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at 0 2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Conn_01x02-Connector_Generic" (id 1) (at 0 -5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Connector*:*_1x??_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Conn_01x02-Connector_Generic_1_1"
+ (rectangle (start -1.27 -2.413) (end 0 -2.667)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 0.127) (end 0 -0.127)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 1.27) (end 1.27 -3.81)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (pin passive line (at -5.08 0 0) (length 3.81)
+ (name "Pin_1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -2.54 0) (length 3.81)
+ (name "Pin_2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:Conn_01x04-Connector_Generic" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Conn_01x04-Connector_Generic" (id 1) (at 0 -7.62 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Connector*:*_1x??_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Conn_01x04-Connector_Generic_1_1"
+ (rectangle (start -1.27 -4.953) (end 0 -5.207)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 -2.413) (end 0 -2.667)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 0.127) (end 0 -0.127)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 2.667) (end 0 2.413)
+ (stroke (width 0.1524) (type default))
+ (fill (type none))
+ )
+ (rectangle (start -1.27 3.81) (end 1.27 -6.35)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (pin passive line (at -5.08 2.54 0) (length 3.81)
+ (name "Pin_1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 0 0) (length 3.81)
+ (name "Pin_2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -2.54 0) (length 3.81)
+ (name "Pin_3" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -5.08 -5.08 0) (length 3.81)
+ (name "Pin_4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:Crystal_GND24-Device" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "Y" (id 0) (at 3.175 5.08 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "Crystal_GND24-Device" (id 1) (at 3.175 3.175 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Crystal*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "Crystal_GND24-Device_0_1"
+ (rectangle (start -1.143 2.54) (end 1.143 -2.54)
+ (stroke (width 0.3048) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -2.54 0)
+ (xy -2.032 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -2.032 -1.27)
+ (xy -2.032 1.27)
+ )
+ (stroke (width 0.508) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 -3.81)
+ (xy 0 -3.556)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 3.556)
+ (xy 0 3.81)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.032 -1.27)
+ (xy 2.032 1.27)
+ )
+ (stroke (width 0.508) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.032 0)
+ (xy 2.54 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -2.54 -2.286)
+ (xy -2.54 -3.556)
+ (xy 2.54 -3.556)
+ (xy 2.54 -2.286)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -2.54 2.286)
+ (xy -2.54 3.556)
+ (xy 2.54 3.556)
+ (xy 2.54 2.286)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "Crystal_GND24-Device_1_1"
+ (pin passive line (at -3.81 0 0) (length 1.27)
+ (name "1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 5.08 270) (length 1.27)
+ (name "2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 3.81 0 180) (length 1.27)
+ (name "3" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -5.08 90) (length 1.27)
+ (name "4" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:D_Schottky_ALT-Device-center-rescue" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "D" (id 0) (at 0 2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "D_Schottky_ALT-Device-center-rescue" (id 1) (at 0 -2.54 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "TO-???* *_Diode_* *SingleDiode* D_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "D_Schottky_ALT-Device-center-rescue_0_1"
+ (polyline
+ (pts
+ (xy 1.27 0)
+ (xy -1.27 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 1.27)
+ (xy 1.27 -1.27)
+ (xy -1.27 0)
+ (xy 1.27 1.27)
+ )
+ (stroke (width 0.2032) (type default))
+ (fill (type outline))
+ )
+ (polyline
+ (pts
+ (xy -1.905 0.635)
+ (xy -1.905 1.27)
+ (xy -1.27 1.27)
+ (xy -1.27 -1.27)
+ (xy -0.635 -1.27)
+ (xy -0.635 -0.635)
+ )
+ (stroke (width 0.2032) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "D_Schottky_ALT-Device-center-rescue_1_1"
+ (pin passive line (at -3.81 0 0) (length 2.54)
+ (name "K" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 3.81 0 180) (length 2.54)
+ (name "A" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:D_Schottky_Small_ALT-Device" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "D" (id 0) (at -1.27 2.032 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "D_Schottky_Small_ALT-Device" (id 1) (at -7.112 -2.032 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "TO-???* *_Diode_* *SingleDiode* D_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "D_Schottky_Small_ALT-Device_0_1"
+ (polyline
+ (pts
+ (xy -0.762 -1.016)
+ (xy -0.762 1.016)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.762 0)
+ (xy 0.762 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.762 -1.016)
+ (xy -0.508 -1.016)
+ (xy -0.508 -0.762)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.762 1.016)
+ (xy -1.016 1.016)
+ (xy -1.016 0.762)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 -1.016)
+ (xy -0.762 0)
+ (xy 0.762 1.016)
+ (xy 0.762 -1.016)
+ )
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ )
+ (symbol "D_Schottky_Small_ALT-Device_1_1"
+ (pin passive line (at -2.54 0 0) (length 1.778)
+ (name "K" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 2.54 0 180) (length 1.778)
+ (name "A" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:GND-power" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND-power" (id 1) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "GND-power_0_1"
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -1.27)
+ (xy 1.27 -1.27)
+ (xy 0 -2.54)
+ (xy -1.27 -1.27)
+ (xy 0 -1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "GND-power_1_1"
+ (pin power_in line (at 0 0 270) (length 0) hide
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:GND-power-center-rescue" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND-power-center-rescue" (id 1) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "GND-power-center-rescue_0_1"
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -1.27)
+ (xy 1.27 -1.27)
+ (xy 0 -2.54)
+ (xy -1.27 -1.27)
+ (xy 0 -1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "GND-power-center-rescue_1_1"
+ (pin power_in line (at 0 0 270) (length 0) hide
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:IRF7480M-Transistor_FET" (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "Q" (id 0) (at 5.08 1.905 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "IRF7480M-Transistor_FET" (id 1) (at 5.08 0 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_DirectFET:DirectFET_ME" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27) italic) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "ki_fp_filters" "DirectFET*ME*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "IRF7480M-Transistor_FET_0_1"
+ (polyline
+ (pts
+ (xy -2.54 0)
+ (xy 0.254 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 -1.778)
+ (xy 2.54 -1.778)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 -1.27)
+ (xy 0.762 -2.286)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 0)
+ (xy 2.54 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 0.508)
+ (xy 0.762 -0.508)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 1.778)
+ (xy 2.54 1.778)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 2.286)
+ (xy 0.762 1.27)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.54 -1.778)
+ (xy 2.54 -2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.54 -1.778)
+ (xy 2.54 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.54 2.54)
+ (xy 2.54 1.778)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.254 1.905)
+ (xy 0.254 -1.905)
+ (xy 0.254 -1.905)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 0)
+ (xy 2.032 0.381)
+ (xy 2.032 -0.381)
+ (xy 1.016 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ (polyline
+ (pts
+ (xy 2.54 -1.778)
+ (xy 3.302 -1.778)
+ (xy 3.302 1.778)
+ (xy 2.54 1.778)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.794 0.508)
+ (xy 2.921 0.381)
+ (xy 3.683 0.381)
+ (xy 3.81 0.254)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 3.302 0.381)
+ (xy 2.921 -0.254)
+ (xy 3.683 -0.254)
+ (xy 3.302 0.381)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (circle (center 1.651 0) (radius 2.8194)
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (circle (center 2.54 -1.778) (radius 0.2794)
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ (circle (center 2.54 1.778) (radius 0.2794)
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ )
+ (symbol "IRF7480M-Transistor_FET_1_1"
+ (pin passive line (at 2.54 5.08 270) (length 2.54)
+ (name "D" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -5.08 0 0) (length 2.54)
+ (name "G" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 2.54 -5.08 90) (length 2.54)
+ (name "S" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:IRS21867S-Driver_FET" (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at 1.27 13.335 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "IRS21867S-Driver_FET" (id 1) (at 1.27 11.43 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27) italic) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "SOIC*3.9x4.9mm*P1.27mm*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "IRS21867S-Driver_FET_0_1"
+ (rectangle (start -5.08 -10.16) (end 5.08 10.16)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "IRS21867S-Driver_FET_1_1"
+ (pin power_in line (at 0 12.7 270) (length 2.54)
+ (name "VCC" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -7.62 0 0) (length 2.54)
+ (name "HIN" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -7.62 -2.54 0) (length 2.54)
+ (name "LIN" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 0 -12.7 90) (length 2.54)
+ (name "COM" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin output line (at 7.62 -7.62 180) (length 2.54)
+ (name "LO" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 -5.08 180) (length 2.54)
+ (name "VS" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin output line (at 7.62 5.08 180) (length 2.54)
+ (name "HO" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 7.62 7.62 180) (length 2.54)
+ (name "VB" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:L-Device-center-rescue" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "L" (id 0) (at -1.27 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "L-Device-center-rescue" (id 1) (at 1.905 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Choke_* *Coil* Inductor_* L_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "L-Device-center-rescue_0_1"
+ (arc (start 0 -2.54) (mid 0.6323 -1.905) (end 0 -1.27)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (arc (start 0 -1.27) (mid 0.6323 -0.635) (end 0 0)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (arc (start 0 0) (mid 0.6323 0.635) (end 0 1.27)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (arc (start 0 1.27) (mid 0.6323 1.905) (end 0 2.54)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "L-Device-center-rescue_1_1"
+ (pin passive line (at 0 3.81 270) (length 1.27)
+ (name "1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -3.81 90) (length 1.27)
+ (name "2" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:LED_Small_ALT-Device" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "D" (id 0) (at -1.27 3.175 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "LED_Small_ALT-Device" (id 1) (at -4.445 -2.54 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "LED_Small_ALT-Device_0_1"
+ (polyline
+ (pts
+ (xy -0.762 -1.016)
+ (xy -0.762 1.016)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.016 0)
+ (xy -0.762 0)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.762 -1.016)
+ (xy -0.762 0)
+ (xy 0.762 1.016)
+ (xy 0.762 -1.016)
+ )
+ (stroke (width 0) (type default))
+ (fill (type outline))
+ )
+ (polyline
+ (pts
+ (xy 0 0.762)
+ (xy -0.508 1.27)
+ (xy -0.254 1.27)
+ (xy -0.508 1.27)
+ (xy -0.508 1.016)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0.508 1.27)
+ (xy 0 1.778)
+ (xy 0.254 1.778)
+ (xy 0 1.778)
+ (xy 0 1.524)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "LED_Small_ALT-Device_1_1"
+ (pin passive line (at -2.54 0 0) (length 1.778)
+ (name "K" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 2.54 0 180) (length 1.778)
+ (name "A" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:MAX485E-Interface_UART" (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at -6.096 11.43 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "MAX485E-Interface_UART" (id 1) (at 0.762 11.43 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 -17.78 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 1.27 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "DIP*W7.62mm* SOIC*3.9x4.9mm*P1.27mm*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "MAX485E-Interface_UART_0_1"
+ (rectangle (start -7.62 10.16) (end 7.62 -12.7)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (circle (center -0.3048 -3.683) (radius 0.3556)
+ (stroke (width 0.254) (type default))
+ (fill (type outline))
+ )
+ (circle (center -0.0254 1.4986) (radius 0.3556)
+ (stroke (width 0.254) (type default))
+ (fill (type outline))
+ )
+ (polyline
+ (pts
+ (xy -4.064 -5.08)
+ (xy -1.905 -5.08)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -4.064 2.54)
+ (xy -1.27 2.54)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 -3.2004)
+ (xy -1.27 -3.4544)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -0.635 -5.08)
+ (xy 5.334 -5.08)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -4.064 -2.54)
+ (xy -1.27 -2.54)
+ (xy -1.27 -3.175)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 1.27)
+ (xy 0 0)
+ (xy -4.064 0)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.27 3.175)
+ (xy 3.81 3.175)
+ (xy 3.81 -5.08)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 2.54 1.905)
+ (xy 2.54 -3.81)
+ (xy 0 -3.81)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.905 -3.175)
+ (xy -1.905 -5.715)
+ (xy 0.635 -4.445)
+ (xy -1.905 -3.175)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -1.27 2.54)
+ (xy 1.27 3.81)
+ (xy 1.27 1.27)
+ (xy -1.27 2.54)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 1.905 1.905)
+ (xy 4.445 1.905)
+ (xy 4.445 2.54)
+ (xy 5.334 2.54)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ (rectangle (start 1.27 3.175) (end 1.27 3.175)
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (circle (center 1.651 1.905) (radius 0.3556)
+ (stroke (width 0.254) (type default))
+ (fill (type outline))
+ )
+ )
+ (symbol "MAX485E-Interface_UART_1_1"
+ (pin output line (at -10.16 2.54 0) (length 2.54)
+ (name "RO" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -10.16 0 0) (length 2.54)
+ (name "~{RE}" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -10.16 -2.54 0) (length 2.54)
+ (name "DE" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -10.16 -5.08 0) (length 2.54)
+ (name "DI" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 0 -15.24 90) (length 2.54)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 10.16 -5.08 180) (length 2.54)
+ (name "A" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 10.16 2.54 180) (length 2.54)
+ (name "B" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 0 12.7 270) (length 2.54)
+ (name "VCC" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:MCP9804_MSOP-Sensor_Temperature" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at -6.35 11.43 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "MCP9804_MSOP-Sensor_Temperature" (id 1) (at 10.16 11.43 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "Package_SO:MSOP-8_3x3mm_P0.65mm" (id 2) (at -25.4 -12.7 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at -6.35 11.43 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "MSOP*3x3mm*P0.65mm*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "MCP9804_MSOP-Sensor_Temperature_0_1"
+ (rectangle (start -7.62 10.16) (end 7.62 -10.16)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "MCP9804_MSOP-Sensor_Temperature_1_1"
+ (pin bidirectional line (at -10.16 7.62 0) (length 2.54)
+ (name "SDA" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -10.16 5.08 0) (length 2.54)
+ (name "SCL" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin output line (at 10.16 0 180) (length 2.54)
+ (name "Alert" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 0 -12.7 90) (length 2.54)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -10.16 -7.62 0) (length 2.54)
+ (name "A2" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -10.16 -5.08 0) (length 2.54)
+ (name "A1" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -10.16 -2.54 0) (length 2.54)
+ (name "A0" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 0 12.7 270) (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:MountingHole-Mechanical" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+ (property "Reference" "H" (id 0) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "MountingHole-Mechanical" (id 1) (at 0 3.175 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "MountingHole*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "MountingHole-Mechanical_0_1"
+ (circle (center 0 0) (radius 1.27)
+ (stroke (width 1.27) (type default))
+ (fill (type none))
+ )
+ )
+ )
+ (symbol "driver-rescue:R-Device-center-rescue" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "R" (id 0) (at 2.032 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "R-Device-center-rescue" (id 1) (at 0 0 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at -1.778 0 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "R_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "R-Device-center-rescue_0_1"
+ (rectangle (start -1.016 -2.54) (end 1.016 2.54)
+ (stroke (width 0.254) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "R-Device-center-rescue_1_1"
+ (pin passive line (at 0 3.81 270) (length 1.27)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -3.81 90) (length 1.27)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:RJ45_LED_Shielded-Connector" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+ (property "Reference" "J" (id 0) (at -5.08 13.97 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "RJ45_LED_Shielded-Connector" (id 1) (at 1.27 13.97 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0.635 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0.635 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "8P8C* RJ45*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "RJ45_LED_Shielded-Connector_0_1"
+ (polyline
+ (pts
+ (xy -7.62 -7.62)
+ (xy -6.35 -7.62)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -7.62 -5.08)
+ (xy -6.35 -5.08)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -7.62 7.62)
+ (xy -6.35 7.62)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -7.62 10.16)
+ (xy -6.35 10.16)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.858 -5.842)
+ (xy -5.842 -5.842)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.858 9.398)
+ (xy -5.842 9.398)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.35 -7.62)
+ (xy -6.35 -6.858)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.35 -5.08)
+ (xy -6.35 -5.842)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.35 7.62)
+ (xy -6.35 8.382)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.35 10.16)
+ (xy -6.35 9.398)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.08 -6.223)
+ (xy -5.207 -6.604)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.08 -5.588)
+ (xy -5.207 -5.969)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.08 4.445)
+ (xy -6.35 4.445)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.08 5.715)
+ (xy -6.35 5.715)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.08 9.017)
+ (xy -5.207 8.636)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.08 9.652)
+ (xy -5.207 9.271)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.35 -3.175)
+ (xy -5.08 -3.175)
+ (xy -5.08 -3.175)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.35 -1.905)
+ (xy -5.08 -1.905)
+ (xy -5.08 -1.905)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.35 -0.635)
+ (xy -5.08 -0.635)
+ (xy -5.08 -0.635)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.35 0.635)
+ (xy -5.08 0.635)
+ (xy -5.08 0.635)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.35 1.905)
+ (xy -5.08 1.905)
+ (xy -5.08 1.905)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.588 -6.731)
+ (xy -5.08 -6.223)
+ (xy -5.461 -6.35)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.588 -6.096)
+ (xy -5.08 -5.588)
+ (xy -5.461 -5.715)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.588 8.509)
+ (xy -5.08 9.017)
+ (xy -5.461 8.89)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.588 9.144)
+ (xy -5.08 9.652)
+ (xy -5.461 9.525)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -5.08 3.175)
+ (xy -6.35 3.175)
+ (xy -6.35 3.175)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.35 -5.842)
+ (xy -6.858 -6.858)
+ (xy -5.842 -6.858)
+ (xy -6.35 -5.842)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.35 9.398)
+ (xy -6.858 8.382)
+ (xy -5.842 8.382)
+ (xy -6.35 9.398)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy -6.35 -4.445)
+ (xy -6.35 6.985)
+ (xy 3.81 6.985)
+ (xy 3.81 4.445)
+ (xy 5.08 4.445)
+ (xy 5.08 3.175)
+ (xy 6.35 3.175)
+ (xy 6.35 -0.635)
+ (xy 5.08 -0.635)
+ (xy 5.08 -1.905)
+ (xy 3.81 -1.905)
+ (xy 3.81 -4.445)
+ (xy -6.35 -4.445)
+ (xy -6.35 -4.445)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (rectangle (start 7.62 12.7) (end -7.62 -10.16)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "RJ45_LED_Shielded-Connector_1_1"
+ (pin passive line (at 10.16 -7.62 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -10.16 7.62 0) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -10.16 -5.08 0) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -10.16 -7.62 0) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 10.16 -5.08 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 10.16 -2.54 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 10.16 0 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 10.16 2.54 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 10.16 5.08 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 10.16 7.62 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 10.16 10.16 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at -10.16 10.16 0) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -12.7 90) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "SH" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:R_Small-Device" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "R" (id 0) (at 0.762 0.508 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "R_Small-Device" (id 1) (at 0.762 -1.016 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "R_*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "R_Small-Device_0_1"
+ (rectangle (start -0.762 1.778) (end 0.762 -1.778)
+ (stroke (width 0.2032) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "R_Small-Device_1_1"
+ (pin passive line (at 0 2.54 270) (length 0.762)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin passive line (at 0 -2.54 90) (length 0.762)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "driver-rescue:TestPoint_Alt-Connector" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes)
+ (property "Reference" "TP" (id 0) (at 0 6.858 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "TestPoint_Alt-Connector" (id 1) (at 0 5.08 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 5.08 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 5.08 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "Pin* Test*" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "TestPoint_Alt-Connector_0_1"
+ (polyline
+ (pts
+ (xy 0 2.54)
+ (xy -0.762 3.302)
+ (xy 0 4.064)
+ (xy 0.762 3.302)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "TestPoint_Alt-Connector_1_1"
+ (pin passive line (at 0 0 90) (length 2.54)
+ (name "1" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "kicad_sucks:STM32G070RxT" (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at -20.32 62.23 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "STM32G070RxT" (id 1) (at 12.7 62.23 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm" (id 2) (at -15.24 -40.64 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00086815.pdf" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "ARM Cortex-M4 STM32F4 STM32F401" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "ARM Cortex-M4 MCU, 128KB flash, 64KB RAM, 84MHz, 1.7-3.6V, 50 GPIO, LQFP-64" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "STM32G070RxT_0_1"
+ (rectangle (start -20.32 60.96) (end 17.78 -43.18)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "STM32G070RxT_1_1"
+ (pin bidirectional line (at -22.86 -27.94 0) (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -22.86 43.18 0) (length 2.54)
+ (name "PF0/OSC_IN" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -22.86 40.64 0) (length 2.54)
+ (name "PF1/OSC_OUT" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -22.86 58.42 0) (length 2.54)
+ (name "NRST" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 0 0) (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -2.54 0) (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -5.08 0) (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -7.62 0) (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 55.88 180) (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 53.34 180) (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 50.8 180) (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -30.48 0) (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 48.26 180) (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 45.72 180) (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 43.18 180) (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 40.64 180) (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 38.1 180) (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -10.16 0) (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -12.7 0) (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 0 180) (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -2.54 180) (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -5.08 180) (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -33.02 0) (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -25.4 180) (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -27.94 180) (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -30.48 180) (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -33.02 180) (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -35.56 180) (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -38.1 180) (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 35.56 180) (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 33.02 180) (length 2.54)
+ (name "PA9" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -15.24 0) (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -17.78 0) (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -35.56 0) (length 2.54)
+ (name "PC14/OSC32_IN" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 10.16 0) (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 7.62 0) (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 30.48 180) (length 2.54)
+ (name "PA10" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 27.94 180) (length 2.54)
+ (name "PA11" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 25.4 180) (length 2.54)
+ (name "PA12" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 22.86 180) (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 20.32 180) (length 2.54)
+ (name "PA14/BOOT0" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 17.78 180) (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -20.32 0) (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -22.86 0) (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -38.1 0) (length 2.54)
+ (name "PC15/OSC32_OUT" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 27.94 0) (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 25.4 0) (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 22.86 0) (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 20.32 0) (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 17.78 0) (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 15.24 0) (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 12.7 0) (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -7.62 180) (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -10.16 180) (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -12.7 180) (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -5.08 63.5 270) (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -15.24 180) (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -17.78 180) (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -20.32 180) (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -22.86 180) (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -25.4 0) (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -22.86 50.8 0) (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 0 63.5 270) (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 0 -45.72 90) (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "kicad_sucks:ZXCT1080" (pin_names (offset 0.127)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at 3.81 5.08 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "ZXCT1080" (id 1) (at 3.81 2.54 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.ti.com/lit/ds/symlink/ina138.pdf" (id 3) (at 0 0.127 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "current sense shunt monitor" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "High-Side Measurement Current Shunt Monitor, 36V, SOT-23-5" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "ZXCT1080_0_1"
+ (polyline
+ (pts
+ (xy -5.08 5.08)
+ (xy 5.08 0)
+ (xy -5.08 -5.08)
+ (xy -5.08 5.08)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "ZXCT1080_1_1"
+ (pin power_in line (at -2.54 7.62 270) (length 3.81)
+ (name "V+" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -2.54 -7.62 90) (length 3.81)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin output line (at 7.62 0 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -7.62 2.54 0) (length 2.54)
+ (name "+" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -7.62 -2.54 0) (length 2.54)
+ (name "-" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "power:+5VD" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+5VD" (id 1) (at 0 3.556 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Power symbol creates a global label with name \"+5VD\"" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "+5VD_0_1"
+ (polyline
+ (pts
+ (xy -0.762 1.27)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 2.54)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ (polyline
+ (pts
+ (xy 0 2.54)
+ (xy 0.762 1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "+5VD_1_1"
+ (pin power_in line (at 0 0 90) (length 0) hide
+ (name "+5VD" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "GND_0_1"
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -1.27)
+ (xy 1.27 -1.27)
+ (xy 0 -2.54)
+ (xy -1.27 -1.27)
+ (xy 0 -1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "GND_1_1"
+ (pin power_in line (at 0 0 270) (length 0) hide
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "power:GND2" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+ (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND2" (id 1) (at 0 -3.81 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Power symbol creates a global label with name \"GND2\" , ground" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "GND2_0_1"
+ (polyline
+ (pts
+ (xy 0 0)
+ (xy 0 -1.27)
+ (xy 1.27 -1.27)
+ (xy 0 -2.54)
+ (xy -1.27 -1.27)
+ (xy 0 -1.27)
+ )
+ (stroke (width 0) (type default))
+ (fill (type none))
+ )
+ )
+ (symbol "GND2_1_1"
+ (pin power_in line (at 0 0 270) (length 0) hide
+ (name "GND2" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "tx4138:TX4138" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at 0 0 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "TX4138" (id 1) (at 0 7.62 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "TX4138_0_1"
+ (rectangle (start -7.62 -10.16) (end 7.62 15.24)
+ (stroke (width 0) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "TX4138_1_1"
+ (pin input line (at 12.7 7.62 180) (length 5.08)
+ (name "SW" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -12.7 7.62 0) (length 5.08)
+ (name "ILIM" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -12.7 12.7 0) (length 5.08)
+ (name "VIN" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at 0 -15.24 90) (length 5.08)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at 12.7 2.54 180) (length 5.08)
+ (name "FB" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -12.7 -2.54 0) (length 5.08)
+ (name "EN" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at 12.7 -2.54 180) (length 5.08)
+ (name "POK" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at 12.7 12.7 180) (length 5.08)
+ (name "BS" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -12.7 5.08 0) (length 5.08)
+ (name "ILIM" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ )
+
+ (junction (at 345.44 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid 00f422f1-f7f8-45df-931d-5010ff4fc931)
+ )
+ (junction (at 248.92 160.02) (diameter 1.016) (color 0 0 0 0)
+ (uuid 0320d5ba-1f2a-4dbb-90ec-b8337f7c0078)
+ )
+ (junction (at 311.15 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid 035e3df6-a887-424c-8db8-3025c19db82a)
+ )
+ (junction (at 381 289.56) (diameter 0) (color 0 0 0 0)
+ (uuid 08ee1e7a-8d3a-4298-bf77-657ae0db370b)
+ )
+ (junction (at 165.1 22.86) (diameter 1.016) (color 0 0 0 0)
+ (uuid 0903abc9-8580-46a5-a0e4-5ce6a661e2ab)
+ )
+ (junction (at 381 299.72) (diameter 0) (color 0 0 0 0)
+ (uuid 09412149-c3d7-42cc-b02a-e8a0a7d26ad1)
+ )
+ (junction (at 340.36 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid 0a067b79-8dc4-41ec-aa3e-4cf0bb5d840d)
+ )
+ (junction (at 496.57 191.77) (diameter 0) (color 0 0 0 0)
+ (uuid 0a0d5359-1d79-44ae-bbc1-b3db6ece17a2)
+ )
+ (junction (at 175.26 334.01) (diameter 1.016) (color 0 0 0 0)
+ (uuid 0ca53f50-b13d-43cb-88af-bf26352ab851)
+ )
+ (junction (at 259.08 44.45) (diameter 1.016) (color 0 0 0 0)
+ (uuid 0e013a49-ea28-4317-91d8-c846afcf1446)
+ )
+ (junction (at 339.09 299.72) (diameter 0) (color 0 0 0 0)
+ (uuid 0e8c626b-bb94-41ba-bd62-878b934553b1)
+ )
+ (junction (at 345.44 36.83) (diameter 1.016) (color 0 0 0 0)
+ (uuid 0ecb41a9-edcd-4167-851b-0a409316af20)
+ )
+ (junction (at 227.33 220.98) (diameter 0) (color 0 0 0 0)
+ (uuid 117b1c6d-cd05-4165-95be-8cc26682d4e6)
+ )
+ (junction (at 120.65 118.11) (diameter 0) (color 0 0 0 0)
+ (uuid 12481141-735e-4613-bc2c-ed1fbae616af)
+ )
+ (junction (at 267.97 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid 17438b62-92c5-4c16-8372-5519e17644ba)
+ )
+ (junction (at 288.29 204.47) (diameter 0) (color 0 0 0 0)
+ (uuid 176d78f8-ee33-48e8-8626-c5f4a9ac9253)
+ )
+ (junction (at 364.49 151.13) (diameter 1.016) (color 0 0 0 0)
+ (uuid 197eb893-ab50-430d-9190-6ad4ef716463)
+ )
+ (junction (at 496.57 179.07) (diameter 0) (color 0 0 0 0)
+ (uuid 19e14406-ba74-4917-a048-f5dbe4e6afab)
+ )
+ (junction (at 139.7 22.86) (diameter 1.016) (color 0 0 0 0)
+ (uuid 1d5da22d-f43f-4a8c-b79f-b6a201ab433d)
+ )
+ (junction (at 157.48 309.88) (diameter 1.016) (color 0 0 0 0)
+ (uuid 1eeb059b-acfc-46ea-bb64-a81bc92bb3a3)
+ )
+ (junction (at 476.25 179.07) (diameter 0) (color 0 0 0 0)
+ (uuid 211d2edf-7005-449f-bc5d-8eca38d8bb6e)
+ )
+ (junction (at 506.73 312.42) (diameter 1.016) (color 0 0 0 0)
+ (uuid 2177752a-ab07-4db4-a753-15c95f4ea0ea)
+ )
+ (junction (at 218.44 259.08) (diameter 0) (color 0 0 0 0)
+ (uuid 26c973fa-96f3-42b2-a211-ca3d321e1041)
+ )
+ (junction (at 492.76 252.73) (diameter 1.016) (color 0 0 0 0)
+ (uuid 27fcf822-a402-4867-858f-a19ea5819b84)
+ )
+ (junction (at 148.59 48.26) (diameter 1.016) (color 0 0 0 0)
+ (uuid 29d932ac-35b1-4719-b024-fb16ea3d7d64)
+ )
+ (junction (at 295.91 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid 2bbf58a4-4126-478e-b296-04cae21d1e49)
+ )
+ (junction (at 180.34 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid 2ef7a379-fc96-409e-86be-72ad614f5c77)
+ )
+ (junction (at 201.93 167.64) (diameter 0) (color 0 0 0 0)
+ (uuid 2f1fd85b-b79f-42cb-927e-795fff873ca3)
+ )
+ (junction (at 187.96 160.02) (diameter 1.016) (color 0 0 0 0)
+ (uuid 33c966db-50f5-43db-9455-0a2a2c65d44b)
+ )
+ (junction (at 210.82 223.52) (diameter 0) (color 0 0 0 0)
+ (uuid 34a8df00-dce4-4145-858c-4ee04793e310)
+ )
+ (junction (at 259.08 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid 377b7b69-af5e-4cc7-8770-03636f199c92)
+ )
+ (junction (at 495.3 252.73) (diameter 1.016) (color 0 0 0 0)
+ (uuid 3a1e84d6-a87a-4bfb-a2a4-3c6397a7592a)
+ )
+ (junction (at 500.38 125.73) (diameter 0) (color 0 0 0 0)
+ (uuid 3b5623e9-46f1-4b36-9457-0c0b1018d010)
+ )
+ (junction (at 353.06 189.23) (diameter 1.016) (color 0 0 0 0)
+ (uuid 3ba4cc3b-ceb4-4b38-8b98-08a2715df3eb)
+ )
+ (junction (at 187.96 241.3) (diameter 0) (color 0 0 0 0)
+ (uuid 3cf83d27-64e8-4f02-8293-9208ee79a516)
+ )
+ (junction (at 500.38 252.73) (diameter 0) (color 0 0 0 0)
+ (uuid 3eff19e4-fd72-476a-87b1-b08e7931cbe4)
+ )
+ (junction (at 325.12 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid 41ab825f-1308-4d4a-91de-7aaf5c5b747f)
+ )
+ (junction (at 242.57 39.37) (diameter 1.016) (color 0 0 0 0)
+ (uuid 423257a9-1cf1-4a26-a368-ae40c8616c22)
+ )
+ (junction (at 358.14 218.44) (diameter 1.016) (color 0 0 0 0)
+ (uuid 442eceee-b445-4cfa-9521-bb7fb466462a)
+ )
+ (junction (at 288.29 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid 4467c9c4-0f8b-4288-b7af-6bb921025486)
+ )
+ (junction (at 288.29 36.83) (diameter 1.016) (color 0 0 0 0)
+ (uuid 4a47447e-b34c-4e4b-b428-2f135e8a14ea)
+ )
+ (junction (at 201.93 160.02) (diameter 0) (color 0 0 0 0)
+ (uuid 4afd4cc3-d76a-4469-9751-e1f35f1f09be)
+ )
+ (junction (at 153.67 318.77) (diameter 1.016) (color 0 0 0 0)
+ (uuid 4d19e9f6-2b27-4560-a8a4-871f4ba21385)
+ )
+ (junction (at 205.74 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid 4d403375-e327-48a2-a6fd-056a25e40431)
+ )
+ (junction (at 234.95 167.64) (diameter 0) (color 0 0 0 0)
+ (uuid 4d69a103-8949-481b-8018-2b31fb7b60b2)
+ )
+ (junction (at 297.18 36.83) (diameter 1.016) (color 0 0 0 0)
+ (uuid 4d7cf408-1fc7-4553-9038-f9c22b416fa2)
+ )
+ (junction (at 171.45 321.31) (diameter 1.016) (color 0 0 0 0)
+ (uuid 510b37f1-3e19-48e5-8568-52d428cbdd61)
+ )
+ (junction (at 336.55 36.83) (diameter 1.016) (color 0 0 0 0)
+ (uuid 526dfc45-c0d3-4891-8542-76be0de6756f)
+ )
+ (junction (at 160.02 160.02) (diameter 0) (color 0 0 0 0)
+ (uuid 52f7564e-abff-467f-bf4c-1375dc3072a9)
+ )
+ (junction (at 276.86 22.86) (diameter 1.016) (color 0 0 0 0)
+ (uuid 5358b62b-76e6-4793-ac7f-61586e0c2ec1)
+ )
+ (junction (at 374.65 151.13) (diameter 1.016) (color 0 0 0 0)
+ (uuid 546dfa7f-4b59-408f-b383-761304e2055d)
+ )
+ (junction (at 160.02 147.32) (diameter 1.016) (color 0 0 0 0)
+ (uuid 5924bd5c-a4a5-4953-94e3-24f4618f17dc)
+ )
+ (junction (at 234.95 156.21) (diameter 0) (color 0 0 0 0)
+ (uuid 5a597426-dcd2-4ae1-9a74-aab26e1fd4bb)
+ )
+ (junction (at 180.34 39.37) (diameter 1.016) (color 0 0 0 0)
+ (uuid 5b091244-19d1-4b58-aaf6-db793657a6a4)
+ )
+ (junction (at 496.57 166.37) (diameter 0) (color 0 0 0 0)
+ (uuid 5c9d06b1-c0cb-4b76-95d2-6ba7983a9bc7)
+ )
+ (junction (at 218.44 241.3) (diameter 0) (color 0 0 0 0)
+ (uuid 5e3d3ba1-9852-4139-b92b-4df2f2492174)
+ )
+ (junction (at 356.87 340.36) (diameter 0) (color 0 0 0 0)
+ (uuid 5ef12dd1-8374-4bec-8a76-bfc5aa1498e7)
+ )
+ (junction (at 218.44 135.89) (diameter 0) (color 0 0 0 0)
+ (uuid 5fdb59f3-416a-47f0-8757-7fc89a377871)
+ )
+ (junction (at 187.96 184.15) (diameter 0) (color 0 0 0 0)
+ (uuid 5ffc360b-17f9-4288-b7d7-f6939e9bb824)
+ )
+ (junction (at 345.44 22.86) (diameter 1.016) (color 0 0 0 0)
+ (uuid 6112e5e3-5333-4eec-b6e2-b535ee37a2f6)
+ )
+ (junction (at 140.97 129.54) (diameter 1.016) (color 0 0 0 0)
+ (uuid 62499af8-dd25-46ec-90b9-62e3a1422b55)
+ )
+ (junction (at 297.18 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid 66c337d2-b5e0-493e-aac8-3c7c16bef129)
+ )
+ (junction (at 175.26 340.36) (diameter 1.016) (color 0 0 0 0)
+ (uuid 67df6e4b-aea8-4c3c-b09a-0bfc42ca01ba)
+ )
+ (junction (at 500.38 140.97) (diameter 0) (color 0 0 0 0)
+ (uuid 6b26881f-f251-4a0d-afad-8a6a4c5835b2)
+ )
+ (junction (at 393.7 53.34) (diameter 1.016) (color 0 0 0 0)
+ (uuid 6f0907ee-51ac-4830-99ce-6f122169ebd5)
+ )
+ (junction (at 476.25 191.77) (diameter 0) (color 0 0 0 0)
+ (uuid 70afe2ac-da0b-4481-b3dc-104bb2f2cf5c)
+ )
+ (junction (at 364.49 144.78) (diameter 1.016) (color 0 0 0 0)
+ (uuid 71b23dd2-c4ff-48b0-b045-1cef4afdd0ba)
+ )
+ (junction (at 398.78 53.34) (diameter 1.016) (color 0 0 0 0)
+ (uuid 726abf46-4c68-4de0-b28d-c64c6f1363ba)
+ )
+ (junction (at 210.82 195.58) (diameter 0) (color 0 0 0 0)
+ (uuid 742ae2b3-db2a-47dc-b1f4-64bee423a2d9)
+ )
+ (junction (at 295.91 204.47) (diameter 0) (color 0 0 0 0)
+ (uuid 744397c9-ff7b-47f8-be09-bfe41352da8a)
+ )
+ (junction (at 242.57 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid 74a91f23-026b-488a-8683-941ade4f8419)
+ )
+ (junction (at 193.04 104.14) (diameter 0) (color 0 0 0 0)
+ (uuid 75441a35-7b8c-409e-9ac9-a06169866556)
+ )
+ (junction (at 248.92 184.15) (diameter 0) (color 0 0 0 0)
+ (uuid 7790582f-4aa9-4c7d-9b50-29e85d31af39)
+ )
+ (junction (at 168.91 318.77) (diameter 1.016) (color 0 0 0 0)
+ (uuid 7a96add3-47eb-4e25-94c5-098c8c67bd25)
+ )
+ (junction (at 227.33 213.36) (diameter 0) (color 0 0 0 0)
+ (uuid 7f65b2b3-f98c-4d87-89d2-7a20a5033125)
+ )
+ (junction (at 353.06 215.9) (diameter 1.016) (color 0 0 0 0)
+ (uuid 8590b800-13d7-4325-b887-26ccf4d18c5a)
+ )
+ (junction (at 374.65 158.75) (diameter 1.016) (color 0 0 0 0)
+ (uuid 869ab7b5-94ce-4ba4-ac06-f87b4d6a081e)
+ )
+ (junction (at 505.46 252.73) (diameter 1.016) (color 0 0 0 0)
+ (uuid 86c38215-051f-4de4-9483-d96bedd86a9e)
+ )
+ (junction (at 161.29 321.31) (diameter 1.016) (color 0 0 0 0)
+ (uuid 874d7e1c-e18e-482b-9de1-baa9c0166694)
+ )
+ (junction (at 180.34 34.29) (diameter 1.016) (color 0 0 0 0)
+ (uuid 892cf1a6-708d-475a-a57e-f3ba0d9faa64)
+ )
+ (junction (at 276.86 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid 894a30af-e630-490e-b8fd-be6ea13ab67c)
+ )
+ (junction (at 120.65 147.32) (diameter 0) (color 0 0 0 0)
+ (uuid 8bdbfe33-cc57-4b3d-a4f0-5eaf267628d3)
+ )
+ (junction (at 285.75 147.32) (diameter 1.016) (color 0 0 0 0)
+ (uuid 8f2a842c-a024-4a46-bace-f8363576d461)
+ )
+ (junction (at 267.97 22.86) (diameter 1.016) (color 0 0 0 0)
+ (uuid 90df0114-0305-498a-b67b-e25624694405)
+ )
+ (junction (at 285.75 160.02) (diameter 1.016) (color 0 0 0 0)
+ (uuid 910d03ea-b724-4332-8f3b-1c8ecf717b86)
+ )
+ (junction (at 224.79 223.52) (diameter 0) (color 0 0 0 0)
+ (uuid 95993be6-9f0d-4cba-91c3-9c93492f6429)
+ )
+ (junction (at 429.26 330.2) (diameter 1.016) (color 0 0 0 0)
+ (uuid 97530217-d9c2-4032-8a95-548dd7ec914d)
+ )
+ (junction (at 248.92 156.21) (diameter 0) (color 0 0 0 0)
+ (uuid 9766ca31-408b-4d95-9bad-173ca2b52508)
+ )
+ (junction (at 490.22 252.73) (diameter 1.016) (color 0 0 0 0)
+ (uuid 9948e0a1-7b24-4486-a82f-1d91da28a292)
+ )
+ (junction (at 184.15 129.54) (diameter 0) (color 0 0 0 0)
+ (uuid 9b4baf89-104f-4286-b4e5-ae37eebed429)
+ )
+ (junction (at 149.86 129.54) (diameter 1.016) (color 0 0 0 0)
+ (uuid 9b74b1b8-a079-4f26-86cb-e2d6b81bdb59)
+ )
+ (junction (at 203.2 104.14) (diameter 0) (color 0 0 0 0)
+ (uuid 9c9e7b9a-3512-4b00-a4b3-3ba5e0af1faa)
+ )
+ (junction (at 218.44 269.24) (diameter 0) (color 0 0 0 0)
+ (uuid 9e7cc61a-56b6-453e-8aa6-893d4ef70806)
+ )
+ (junction (at 139.7 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid 9ea2e9ea-6b59-4473-90c7-59b29455eba8)
+ )
+ (junction (at 398.78 48.26) (diameter 1.016) (color 0 0 0 0)
+ (uuid a0dccd9a-c66a-4903-bce0-ac1049d29474)
+ )
+ (junction (at 227.33 168.91) (diameter 0) (color 0 0 0 0)
+ (uuid a71bedc0-e2ee-4367-9ed6-754760e6ef44)
+ )
+ (junction (at 325.12 36.83) (diameter 1.016) (color 0 0 0 0)
+ (uuid a71f8055-7cc3-4ed1-937c-85a0c4bc488e)
+ )
+ (junction (at 120.65 128.27) (diameter 0) (color 0 0 0 0)
+ (uuid a90c89ab-51df-4a17-9990-10a79d1ae44b)
+ )
+ (junction (at 267.97 44.45) (diameter 1.016) (color 0 0 0 0)
+ (uuid ad0b028b-81c5-43f9-a187-cfc93887d452)
+ )
+ (junction (at 393.7 48.26) (diameter 1.016) (color 0 0 0 0)
+ (uuid ad2bb25f-d622-4d87-947a-dc4d94a393ae)
+ )
+ (junction (at 218.44 271.78) (diameter 1.016) (color 0 0 0 0)
+ (uuid af50ecc3-8bf3-4d38-9727-ca14d09557ea)
+ )
+ (junction (at 400.05 345.44) (diameter 1.016) (color 0 0 0 0)
+ (uuid af9650c7-e985-4bd4-891a-54a81329d534)
+ )
+ (junction (at 236.22 39.37) (diameter 1.016) (color 0 0 0 0)
+ (uuid afb2050b-873a-4057-a6f5-2f8efbd48ac6)
+ )
+ (junction (at 165.1 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid b458bd04-5ee4-4069-8fb9-5f1984ee02a1)
+ )
+ (junction (at 139.7 48.26) (diameter 1.016) (color 0 0 0 0)
+ (uuid ba2201e2-6ab3-43df-bed9-9ac88aec6ed9)
+ )
+ (junction (at 388.62 48.26) (diameter 1.016) (color 0 0 0 0)
+ (uuid bfb6e205-4940-46f0-9df4-153f8e1aaa7f)
+ )
+ (junction (at 339.09 289.56) (diameter 0) (color 0 0 0 0)
+ (uuid bfbd8467-f886-4530-ab09-cae4d2a8aa60)
+ )
+ (junction (at 431.8 327.66) (diameter 1.016) (color 0 0 0 0)
+ (uuid c06fdadb-8ddb-412d-af41-69083e917112)
+ )
+ (junction (at 264.16 195.58) (diameter 0) (color 0 0 0 0)
+ (uuid c2bf86a7-2544-42d3-abe9-f753e4ee5a4c)
+ )
+ (junction (at 358.14 191.77) (diameter 1.016) (color 0 0 0 0)
+ (uuid c3127fc8-ab5b-4477-a3d7-4ba0b9c49089)
+ )
+ (junction (at 264.16 213.36) (diameter 0) (color 0 0 0 0)
+ (uuid c66bed68-af8e-477e-800f-414019c70f5b)
+ )
+ (junction (at 229.87 39.37) (diameter 1.016) (color 0 0 0 0)
+ (uuid c66f7e17-4c9b-49ec-a9d9-a26d8d08f351)
+ )
+ (junction (at 387.35 327.66) (diameter 1.016) (color 0 0 0 0)
+ (uuid cec8ba27-616e-40a4-90cc-2b3e8fe9ee8b)
+ )
+ (junction (at 193.04 39.37) (diameter 1.016) (color 0 0 0 0)
+ (uuid d2f0248d-b920-4443-96ee-1df87eceaf08)
+ )
+ (junction (at 184.15 104.14) (diameter 0) (color 0 0 0 0)
+ (uuid d41d247c-161e-4204-a709-b270344d03c3)
+ )
+ (junction (at 213.36 220.98) (diameter 0) (color 0 0 0 0)
+ (uuid d8ff182d-4bb3-4605-8157-ca2e787152c6)
+ )
+ (junction (at 476.25 166.37) (diameter 0) (color 0 0 0 0)
+ (uuid db9f3bd0-8457-4418-afa3-a97048d16f26)
+ )
+ (junction (at 336.55 66.04) (diameter 1.016) (color 0 0 0 0)
+ (uuid e00e7a69-7876-4f7f-84a6-70f9097f197d)
+ )
+ (junction (at 306.07 128.27) (diameter 1.016) (color 0 0 0 0)
+ (uuid e0f69eb1-4709-4657-9f74-fb5d17a06ed4)
+ )
+ (junction (at 175.26 331.47) (diameter 1.016) (color 0 0 0 0)
+ (uuid e3e41faa-8169-4615-a9c9-213c0d620a5e)
+ )
+ (junction (at 166.37 355.6) (diameter 1.016) (color 0 0 0 0)
+ (uuid e48f7b35-32c2-4f21-8f16-b643e635e96e)
+ )
+ (junction (at 387.35 330.2) (diameter 0) (color 0 0 0 0)
+ (uuid e60a82f4-29b9-480c-8c6f-082dc0ab7f1f)
+ )
+ (junction (at 166.37 358.14) (diameter 1.016) (color 0 0 0 0)
+ (uuid e62ec827-61f3-4a66-8872-be2c46aecf07)
+ )
+ (junction (at 242.57 22.86) (diameter 1.016) (color 0 0 0 0)
+ (uuid e65fb0cc-5624-4d1f-8b10-47d46fc3067e)
+ )
+ (junction (at 201.93 156.21) (diameter 0) (color 0 0 0 0)
+ (uuid e6932ae2-dc0c-4f61-95b9-7af407aa076c)
+ )
+ (junction (at 374.65 138.43) (diameter 1.016) (color 0 0 0 0)
+ (uuid e9036d12-438e-4734-99a1-0bb5aaef2eec)
+ )
+ (junction (at 288.29 22.86) (diameter 1.016) (color 0 0 0 0)
+ (uuid ea126893-85e1-47f7-ab86-95880f835131)
+ )
+ (junction (at 210.82 168.91) (diameter 0) (color 0 0 0 0)
+ (uuid eade7e85-16df-4223-b3a9-b1508e758abd)
+ )
+ (junction (at 234.95 160.02) (diameter 0) (color 0 0 0 0)
+ (uuid eb780cfd-bc58-499e-a3f1-542942c05032)
+ )
+ (junction (at 490.22 133.35) (diameter 0) (color 0 0 0 0)
+ (uuid f1eaa29b-d971-40a2-b1d4-15c9ba8bd275)
+ )
+ (junction (at 187.96 156.21) (diameter 0) (color 0 0 0 0)
+ (uuid f25eed45-9cff-4bcf-8f75-f4bb2d064bf1)
+ )
+ (junction (at 193.04 129.54) (diameter 0) (color 0 0 0 0)
+ (uuid f46551b8-c188-4699-8077-80afdd7181cf)
+ )
+ (junction (at 388.62 53.34) (diameter 1.016) (color 0 0 0 0)
+ (uuid f4a903a9-fcfe-48ab-8d0a-abc0c3179b30)
+ )
+ (junction (at 139.7 57.15) (diameter 1.016) (color 0 0 0 0)
+ (uuid fd27718e-14d1-4dfa-96ae-77db64da4003)
+ )
+ (junction (at 218.44 104.14) (diameter 0) (color 0 0 0 0)
+ (uuid fdd18836-d862-47dc-890b-f20f98cf0ea3)
+ )
+
+ (no_connect (at 450.85 322.58) (uuid 0525c98b-0dec-46f0-b699-cf9475eeba19))
+ (no_connect (at 198.12 326.39) (uuid 46ca69a9-5d31-4bc1-9ced-3c7b116a3036))
+ (no_connect (at 496.57 337.82) (uuid 48946b18-b753-4e71-9ee3-e5230a2a902b))
+ (no_connect (at 450.85 335.28) (uuid 8c58f85d-95d4-4f58-b4a4-ac4fac049f55))
+ (no_connect (at 450.85 337.82) (uuid 9f54a902-0b18-46b9-98ad-c6792cdae6e5))
+ (no_connect (at 496.57 325.12) (uuid a46b7c0d-b4fa-47dc-98a5-d5c593c702e2))
+ (no_connect (at 450.85 332.74) (uuid afa60920-e327-4b32-a46c-fdf8291c7430))
+ (no_connect (at 496.57 320.04) (uuid b13cb89e-237e-45c6-91a5-897be29331f8))
+ (no_connect (at 450.85 325.12) (uuid b1f38491-4652-422c-b0da-514a2293ea97))
+ (no_connect (at 450.85 320.04) (uuid c53ede21-0cf3-4111-ae02-3c1756ee5063))
+ (no_connect (at 496.57 332.74) (uuid ed34b661-daf0-4e2b-bcde-b8cd1cfc0f39))
+ (no_connect (at 496.57 322.58) (uuid ee45a50c-ebfd-40d3-9b4b-c2b9bf90055d))
+ (no_connect (at 496.57 335.28) (uuid eee60b3c-25b9-475e-a949-38aec09eec65))
+ (no_connect (at 481.33 105.41) (uuid ef77bb6b-94ba-436b-ad9f-5fc5cbc010bc))
+ (no_connect (at 481.33 102.87) (uuid ef77bb6b-94ba-436b-ad9f-5fc5cbc010bd))
+
+ (wire (pts (xy 398.78 48.26) (xy 408.94 48.26))
+ (stroke (width 0) (type solid))
+ (uuid 0125158a-83af-46c8-9c53-40abe6b401a2)
+ )
+ (wire (pts (xy 241.3 227.33) (xy 241.3 220.98))
+ (stroke (width 0) (type default))
+ (uuid 012f18f1-01a3-4f6a-8891-1b5cccee24bd)
+ )
+ (wire (pts (xy 259.08 66.04) (xy 267.97 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 02ad3fbc-4f36-4004-b97c-1262e0af1410)
+ )
+ (wire (pts (xy 461.01 194.31) (xy 466.09 194.31))
+ (stroke (width 0) (type default))
+ (uuid 02fcc3cd-80ac-45d8-a2d0-00636f65cc92)
+ )
+ (wire (pts (xy 223.52 269.24) (xy 223.52 266.7))
+ (stroke (width 0) (type default))
+ (uuid 031fba2e-dd93-4900-afa3-6c360b1d9363)
+ )
+ (wire (pts (xy 318.77 39.37) (xy 322.58 39.37))
+ (stroke (width 0) (type solid))
+ (uuid 033d91b2-7700-47d4-9813-cae9e301651e)
+ )
+ (wire (pts (xy 182.88 39.37) (xy 180.34 39.37))
+ (stroke (width 0) (type solid))
+ (uuid 039718b7-fa03-431f-b93b-f6449ecff0cf)
+ )
+ (wire (pts (xy 492.76 130.81) (xy 490.22 130.81))
+ (stroke (width 0) (type default))
+ (uuid 04026016-3474-447c-9724-0e9128046132)
+ )
+ (wire (pts (xy 120.65 104.14) (xy 168.91 104.14))
+ (stroke (width 0) (type default))
+ (uuid 04a1efd4-a0e2-438c-b874-dc5523f4a82f)
+ )
+ (wire (pts (xy 171.45 321.31) (xy 161.29 321.31))
+ (stroke (width 0) (type solid))
+ (uuid 0532c6b3-06b8-49eb-8576-10b05a190a90)
+ )
+ (wire (pts (xy 321.31 340.36) (xy 328.93 340.36))
+ (stroke (width 0) (type default))
+ (uuid 057c0cbc-dbc9-4526-835b-46cf3c41bc85)
+ )
+ (wire (pts (xy 166.37 355.6) (xy 189.23 355.6))
+ (stroke (width 0) (type solid))
+ (uuid 05d38674-844f-4d26-96a8-fbe915d8031c)
+ )
+ (wire (pts (xy 114.3 128.27) (xy 120.65 128.27))
+ (stroke (width 0) (type default))
+ (uuid 067b5c43-4fd9-4094-9167-89dc0c02bfaa)
+ )
+ (wire (pts (xy 248.92 184.15) (xy 248.92 179.07))
+ (stroke (width 0) (type solid))
+ (uuid 070aefd7-d02d-48d1-a2d5-d2f761a52ecb)
+ )
+ (wire (pts (xy 443.23 168.91) (xy 458.47 168.91))
+ (stroke (width 0) (type default))
+ (uuid 076b2c7b-42d9-4290-8e12-be7c6687b4b1)
+ )
+ (wire (pts (xy 326.39 320.04) (xy 328.93 320.04))
+ (stroke (width 0) (type default))
+ (uuid 07f70b5e-d3e2-473c-82b1-5b6a3d28b50b)
+ )
+ (wire (pts (xy 234.95 167.64) (xy 234.95 168.91))
+ (stroke (width 0) (type default))
+ (uuid 08ffe6e2-0ac9-406c-8d52-cca891785da6)
+ )
+ (wire (pts (xy 187.96 148.59) (xy 187.96 156.21))
+ (stroke (width 0) (type solid))
+ (uuid 0908971d-0a3b-4817-9803-29a53fd07749)
+ )
+ (wire (pts (xy 276.86 34.29) (xy 276.86 22.86))
+ (stroke (width 0) (type solid))
+ (uuid 093dd22f-3d54-4f90-b915-835042bcb84a)
+ )
+ (wire (pts (xy 370.84 299.72) (xy 381 299.72))
+ (stroke (width 0) (type default))
+ (uuid 0ac29e11-12ab-4c46-96db-db8276d5eda5)
+ )
+ (wire (pts (xy 473.71 171.45) (xy 478.79 171.45))
+ (stroke (width 0) (type default))
+ (uuid 0acd6478-5b08-4a01-b857-900f30f26ca8)
+ )
+ (wire (pts (xy 353.06 220.98) (xy 353.06 215.9))
+ (stroke (width 0) (type solid))
+ (uuid 0b93ea2e-0566-43ca-bf2a-622432378311)
+ )
+ (wire (pts (xy 443.23 140.97) (xy 480.06 140.97))
+ (stroke (width 0) (type default))
+ (uuid 0c13295e-99db-4533-baa8-d2e52bbceced)
+ )
+ (wire (pts (xy 316.23 322.58) (xy 328.93 322.58))
+ (stroke (width 0) (type default))
+ (uuid 0c8275b9-ba39-4689-ac9d-deae68307a32)
+ )
+ (wire (pts (xy 495.3 252.73) (xy 500.38 252.73))
+ (stroke (width 0) (type solid))
+ (uuid 0cebc144-945e-4a32-ab17-9a31b1d9ae3c)
+ )
+ (wire (pts (xy 153.67 309.88) (xy 157.48 309.88))
+ (stroke (width 0) (type solid))
+ (uuid 0cf786f4-9ec9-4931-a516-37b088a3d637)
+ )
+ (wire (pts (xy 443.23 158.75) (xy 458.47 158.75))
+ (stroke (width 0) (type default))
+ (uuid 0d454349-0d0f-427c-9368-fa144754f232)
+ )
+ (wire (pts (xy 234.95 156.21) (xy 234.95 160.02))
+ (stroke (width 0) (type default))
+ (uuid 0d966b91-f69c-45d4-ad45-ea3561a69345)
+ )
+ (wire (pts (xy 114.3 118.11) (xy 120.65 118.11))
+ (stroke (width 0) (type default))
+ (uuid 0e335656-eaa1-4e4a-816a-0461f0ebf055)
+ )
+ (wire (pts (xy 160.02 152.4) (xy 160.02 147.32))
+ (stroke (width 0) (type solid))
+ (uuid 0ecd8ca8-2bc2-45c5-ad7b-6c09666d3f74)
+ )
+ (wire (pts (xy 378.46 53.34) (xy 388.62 53.34))
+ (stroke (width 0) (type solid))
+ (uuid 0f2f0a3c-fba8-4afe-b065-5fc5eab9928a)
+ )
+ (wire (pts (xy 387.35 330.2) (xy 389.89 330.2))
+ (stroke (width 0) (type solid))
+ (uuid 0f4cb350-8717-478f-b37e-0f0d5e99ba26)
+ )
+ (wire (pts (xy 497.84 130.81) (xy 500.38 130.81))
+ (stroke (width 0) (type default))
+ (uuid 0fa163ac-a571-47b9-a950-875acfe924fb)
+ )
+ (wire (pts (xy 223.52 261.62) (xy 223.52 259.08))
+ (stroke (width 0) (type default))
+ (uuid 1032fd79-9290-40e0-986c-46e5ba31d9a4)
+ )
+ (wire (pts (xy 285.75 140.97) (xy 285.75 147.32))
+ (stroke (width 0) (type solid))
+ (uuid 108118cd-b53d-45e4-bc19-661872f51c56)
+ )
+ (wire (pts (xy 353.06 199.39) (xy 353.06 201.93))
+ (stroke (width 0) (type solid))
+ (uuid 108dffa3-ee94-4f6c-8c9b-8fcc612789ed)
+ )
+ (wire (pts (xy 443.23 214.63) (xy 458.47 214.63))
+ (stroke (width 0) (type default))
+ (uuid 113d6b28-daaa-4396-921f-d5474b6fc7e9)
+ )
+ (wire (pts (xy 210.82 156.21) (xy 210.82 168.91))
+ (stroke (width 0) (type default))
+ (uuid 114da88a-20fc-4bf7-a411-8ec88f6f74e9)
+ )
+ (wire (pts (xy 466.09 133.35) (xy 490.22 133.35))
+ (stroke (width 0) (type default))
+ (uuid 1190c65c-a56a-4813-86bb-b73c9b38e863)
+ )
+ (wire (pts (xy 490.22 252.73) (xy 492.76 252.73))
+ (stroke (width 0) (type solid))
+ (uuid 12694466-e0eb-4952-a56a-f3bd573ff09d)
+ )
+ (wire (pts (xy 478.79 320.04) (xy 471.17 320.04))
+ (stroke (width 0) (type solid))
+ (uuid 126f7227-746c-448e-9226-2736d76e960a)
+ )
+ (wire (pts (xy 218.44 241.3) (xy 248.92 241.3))
+ (stroke (width 0) (type solid))
+ (uuid 129dd7d3-7b19-4873-9400-1909dfe49e0e)
+ )
+ (wire (pts (xy 340.36 66.04) (xy 336.55 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 133ecb11-56bc-4794-937f-1abf634b7f58)
+ )
+ (wire (pts (xy 339.09 289.56) (xy 339.09 292.1))
+ (stroke (width 0) (type default))
+ (uuid 134e4681-2f22-4bfb-bcc5-b0774ba181df)
+ )
+ (wire (pts (xy 267.97 41.91) (xy 267.97 44.45))
+ (stroke (width 0) (type solid))
+ (uuid 14d4c963-d162-4ab2-ab1d-1406c8d3fdd3)
+ )
+ (wire (pts (xy 223.52 266.7) (xy 227.33 266.7))
+ (stroke (width 0) (type default))
+ (uuid 155fb880-cd5e-4e1a-aab2-46c761e6ded9)
+ )
+ (wire (pts (xy 443.23 138.43) (xy 466.09 138.43))
+ (stroke (width 0) (type default))
+ (uuid 158effc7-5f56-4c6b-a693-ee1e345d88b6)
+ )
+ (wire (pts (xy 227.33 220.98) (xy 227.33 227.33))
+ (stroke (width 0) (type default))
+ (uuid 15f4df8b-3ef1-49a2-8272-8ff64c18f32d)
+ )
+ (wire (pts (xy 443.23 219.71) (xy 458.47 219.71))
+ (stroke (width 0) (type default))
+ (uuid 161ab9e2-8a7a-4ce3-9ef5-674fb688eee0)
+ )
+ (wire (pts (xy 496.57 163.83) (xy 496.57 166.37))
+ (stroke (width 0) (type default))
+ (uuid 18431b79-a1c9-4ac7-afbd-7458b6318c4f)
+ )
+ (wire (pts (xy 393.7 48.26) (xy 398.78 48.26))
+ (stroke (width 0) (type solid))
+ (uuid 19386036-f8af-4364-9139-d83f9a7cfeca)
+ )
+ (wire (pts (xy 494.03 191.77) (xy 496.57 191.77))
+ (stroke (width 0) (type default))
+ (uuid 1a3b004e-caf0-4311-aad6-aee615184492)
+ )
+ (wire (pts (xy 203.2 314.96) (xy 203.2 325.12))
+ (stroke (width 0) (type solid))
+ (uuid 1a50ad5c-6205-4160-ba9c-1bec89ce3fdc)
+ )
+ (wire (pts (xy 214.63 168.91) (xy 210.82 168.91))
+ (stroke (width 0) (type default))
+ (uuid 1bf37ead-99a0-49da-886e-a0b42aad1c99)
+ )
+ (wire (pts (xy 199.39 220.98) (xy 213.36 220.98))
+ (stroke (width 0) (type default))
+ (uuid 1c6141af-40e2-4d07-b6cb-90344f4ce7b1)
+ )
+ (wire (pts (xy 384.81 204.47) (xy 400.05 204.47))
+ (stroke (width 0) (type default))
+ (uuid 1d0e6c24-529d-4e09-8bf9-0c88f569d3e0)
+ )
+ (wire (pts (xy 345.44 299.72) (xy 345.44 294.64))
+ (stroke (width 0) (type default))
+ (uuid 1d47adbf-4e2c-48c3-bea2-54815367415e)
+ )
+ (wire (pts (xy 473.71 209.55) (xy 478.79 209.55))
+ (stroke (width 0) (type default))
+ (uuid 1f41c816-d3bd-4464-a124-0bf91987ecfc)
+ )
+ (wire (pts (xy 364.49 138.43) (xy 364.49 144.78))
+ (stroke (width 0) (type solid))
+ (uuid 1f9a47d5-2c2c-4476-9ac0-f08877814dc3)
+ )
+ (wire (pts (xy 175.26 331.47) (xy 175.26 328.93))
+ (stroke (width 0) (type solid))
+ (uuid 1fdcf579-1f56-4cfe-a366-e3065e5ae496)
+ )
+ (wire (pts (xy 494.03 179.07) (xy 496.57 179.07))
+ (stroke (width 0) (type default))
+ (uuid 202a59c1-0230-440b-8af5-14a5e9489c1c)
+ )
+ (wire (pts (xy 267.97 44.45) (xy 259.08 44.45))
+ (stroke (width 0) (type solid))
+ (uuid 203836eb-57ed-4622-8ae4-a4649274b56a)
+ )
+ (wire (pts (xy 336.55 66.04) (xy 325.12 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 20722d8e-166e-42fc-9fb8-d4ba5dfcce4e)
+ )
+ (wire (pts (xy 500.38 229.87) (xy 500.38 241.3))
+ (stroke (width 0) (type default))
+ (uuid 2089f42a-5ae5-4c20-8cf9-7d9d5e318235)
+ )
+ (wire (pts (xy 168.91 318.77) (xy 177.8 318.77))
+ (stroke (width 0) (type solid))
+ (uuid 20bb2acd-0728-4eea-aa61-1cd1137853f9)
+ )
+ (wire (pts (xy 303.53 157.48) (xy 317.5 157.48))
+ (stroke (width 0) (type solid))
+ (uuid 2119f8cf-1bfd-4efb-bae6-0673b989e953)
+ )
+ (wire (pts (xy 345.44 36.83) (xy 345.44 48.26))
+ (stroke (width 0) (type solid))
+ (uuid 21234ddc-d704-4bcc-b93b-72912cb07486)
+ )
+ (wire (pts (xy 381 299.72) (xy 381 297.18))
+ (stroke (width 0) (type default))
+ (uuid 2222f77a-7b72-4194-bf47-b52926721d08)
+ )
+ (wire (pts (xy 276.86 204.47) (xy 288.29 204.47))
+ (stroke (width 0) (type default))
+ (uuid 2256f4bd-5e38-404c-8a36-674b4792e662)
+ )
+ (wire (pts (xy 157.48 149.86) (xy 167.64 149.86))
+ (stroke (width 0) (type solid))
+ (uuid 22d539b1-aa2d-4126-8313-647b14df815d)
+ )
+ (wire (pts (xy 295.91 214.63) (xy 295.91 215.9))
+ (stroke (width 0) (type default))
+ (uuid 235ed7f4-2b5f-44e3-953f-6642955b5cfe)
+ )
+ (wire (pts (xy 210.82 168.91) (xy 210.82 195.58))
+ (stroke (width 0) (type default))
+ (uuid 23a9f09f-ea3e-4d37-b406-5ab6250c03d0)
+ )
+ (wire (pts (xy 114.3 125.73) (xy 114.3 128.27))
+ (stroke (width 0) (type default))
+ (uuid 240349e5-3476-40a1-bd4f-1dad2aafeba5)
+ )
+ (wire (pts (xy 476.25 163.83) (xy 476.25 166.37))
+ (stroke (width 0) (type default))
+ (uuid 247b8d44-cdcf-4fd6-ba0c-863ff2a03dbc)
+ )
+ (wire (pts (xy 232.41 254) (xy 232.41 256.54))
+ (stroke (width 0) (type default))
+ (uuid 24b1eb53-b867-4f2b-a5e9-960bed28e909)
+ )
+ (wire (pts (xy 466.09 209.55) (xy 466.09 199.39))
+ (stroke (width 0) (type default))
+ (uuid 24e2aeef-5269-4897-97b5-e3bf0d896f56)
+ )
+ (wire (pts (xy 412.75 330.2) (xy 429.26 330.2))
+ (stroke (width 0) (type solid))
+ (uuid 2548ced5-e30e-4479-861a-b5ae6f5856db)
+ )
+ (wire (pts (xy 234.95 184.15) (xy 248.92 184.15))
+ (stroke (width 0) (type default))
+ (uuid 2569dd80-11ca-4ad3-a257-faf320252e2b)
+ )
+ (wire (pts (xy 285.75 160.02) (xy 285.75 157.48))
+ (stroke (width 0) (type solid))
+ (uuid 28545e89-5996-44f7-a7c2-435506710854)
+ )
+ (wire (pts (xy 167.64 143.51) (xy 180.34 143.51))
+ (stroke (width 0) (type solid))
+ (uuid 2883da6b-707a-42ff-bc47-667e594bdcee)
+ )
+ (wire (pts (xy 481.33 100.33) (xy 495.3 100.33))
+ (stroke (width 0) (type default))
+ (uuid 299c69df-b066-4288-8746-a45f7bb6d49e)
+ )
+ (wire (pts (xy 267.97 34.29) (xy 267.97 22.86))
+ (stroke (width 0) (type solid))
+ (uuid 2a8dea25-7f62-46a5-b965-c0339cfba17b)
+ )
+ (wire (pts (xy 496.57 327.66) (xy 481.33 327.66))
+ (stroke (width 0) (type solid))
+ (uuid 2afdc978-63bd-448c-9795-189944a599f7)
+ )
+ (wire (pts (xy 354.33 342.9) (xy 356.87 342.9))
+ (stroke (width 0) (type default))
+ (uuid 2c221c10-95c0-40fa-84c5-759f376f0ca2)
+ )
+ (wire (pts (xy 443.23 151.13) (xy 458.47 151.13))
+ (stroke (width 0) (type default))
+ (uuid 2c22ee4c-7946-4994-bbea-e823d5a4341f)
+ )
+ (wire (pts (xy 412.75 325.12) (xy 410.21 325.12))
+ (stroke (width 0) (type solid))
+ (uuid 2cb2100c-65c6-416d-ab46-a251ba444449)
+ )
+ (wire (pts (xy 288.29 22.86) (xy 345.44 22.86))
+ (stroke (width 0) (type solid))
+ (uuid 2d736b78-52e8-4c9f-b4a7-1d6cd6402359)
+ )
+ (wire (pts (xy 490.22 229.87) (xy 490.22 241.3))
+ (stroke (width 0) (type default))
+ (uuid 2f398f3e-bacb-459a-8096-a0841c6cb4d0)
+ )
+ (wire (pts (xy 66.04 86.36) (xy 66.04 147.32))
+ (stroke (width 0) (type solid))
+ (uuid 2f772b15-75aa-4e10-bd84-d50607db1a9c)
+ )
+ (wire (pts (xy 387.35 330.2) (xy 387.35 337.82))
+ (stroke (width 0) (type solid))
+ (uuid 2fe4250b-e951-4b1c-b3a8-74a8f91f7d13)
+ )
+ (wire (pts (xy 120.65 162.56) (xy 120.65 271.78))
+ (stroke (width 0) (type solid))
+ (uuid 305451c3-68da-492f-bc13-ddab883eb01e)
+ )
+ (wire (pts (xy 139.7 57.15) (xy 139.7 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 30b01222-0d73-453c-90e6-99610fc12481)
+ )
+ (wire (pts (xy 478.79 335.28) (xy 471.17 335.28))
+ (stroke (width 0) (type solid))
+ (uuid 30ecdb28-3d59-4a4b-a00d-f051e07c60be)
+ )
+ (wire (pts (xy 354.33 36.83) (xy 345.44 36.83))
+ (stroke (width 0) (type solid))
+ (uuid 31030719-2911-426f-9475-12446519a3ab)
+ )
+ (wire (pts (xy 210.82 223.52) (xy 224.79 223.52))
+ (stroke (width 0) (type default))
+ (uuid 313205e3-6cdb-41d6-8229-3866e9dd70c6)
+ )
+ (wire (pts (xy 139.7 22.86) (xy 165.1 22.86))
+ (stroke (width 0) (type solid))
+ (uuid 3224ee25-6500-407a-b1a9-1825dc32e1b8)
+ )
+ (wire (pts (xy 476.25 166.37) (xy 476.25 179.07))
+ (stroke (width 0) (type default))
+ (uuid 324b7988-4451-45b9-8d0c-20e43704809e)
+ )
+ (wire (pts (xy 218.44 241.3) (xy 218.44 259.08))
+ (stroke (width 0) (type solid))
+ (uuid 324bb16a-2c1f-4db3-8777-711ad4ad0c1f)
+ )
+ (wire (pts (xy 490.22 130.81) (xy 490.22 133.35))
+ (stroke (width 0) (type default))
+ (uuid 32d43f26-f375-4e1c-a74b-41d93e6c4add)
+ )
+ (wire (pts (xy 184.15 107.95) (xy 184.15 104.14))
+ (stroke (width 0) (type default))
+ (uuid 3305672c-b742-4b5b-939b-580b8e448824)
+ )
+ (wire (pts (xy 180.34 66.04) (xy 205.74 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 335c1541-c41a-4865-8038-f9a99210b665)
+ )
+ (wire (pts (xy 180.34 50.8) (xy 180.34 39.37))
+ (stroke (width 0) (type solid))
+ (uuid 335f9465-b0a0-4936-8470-00837cf0e156)
+ )
+ (wire (pts (xy 213.36 220.98) (xy 227.33 220.98))
+ (stroke (width 0) (type default))
+ (uuid 3381f258-ee86-45d6-878b-fd1055ef74db)
+ )
+ (wire (pts (xy 494.03 184.15) (xy 505.46 184.15))
+ (stroke (width 0) (type default))
+ (uuid 343298ee-3d3e-4c2c-ab30-a92a7a05d9e0)
+ )
+ (wire (pts (xy 218.44 39.37) (xy 229.87 39.37))
+ (stroke (width 0) (type solid))
+ (uuid 3596e6ec-75e7-4e17-b806-7b1bd085d797)
+ )
+ (wire (pts (xy 466.09 138.43) (xy 466.09 133.35))
+ (stroke (width 0) (type default))
+ (uuid 3627548f-8df4-45a1-8704-19fe55aa039e)
+ )
+ (wire (pts (xy 331.47 289.56) (xy 339.09 289.56))
+ (stroke (width 0) (type default))
+ (uuid 367ddf64-490f-4ec2-bea6-5a1ae271a3bf)
+ )
+ (wire (pts (xy 356.87 320.04) (xy 354.33 320.04))
+ (stroke (width 0) (type default))
+ (uuid 36821c9d-f6bb-4185-8691-1f09f12916d2)
+ )
+ (wire (pts (xy 114.3 120.65) (xy 114.3 118.11))
+ (stroke (width 0) (type default))
+ (uuid 369649aa-4c8d-44e4-a22b-f5bdfa899c2b)
+ )
+ (wire (pts (xy 210.82 195.58) (xy 210.82 223.52))
+ (stroke (width 0) (type default))
+ (uuid 37e8e483-bf83-4bed-bf24-b3060ed0d23f)
+ )
+ (wire (pts (xy 264.16 201.93) (xy 264.16 195.58))
+ (stroke (width 0) (type default))
+ (uuid 380842c0-ec15-4e9d-a96e-a4568f93c672)
+ )
+ (wire (pts (xy 234.95 173.99) (xy 234.95 184.15))
+ (stroke (width 0) (type default))
+ (uuid 386d628b-bfda-4d98-bfc4-cf242ee4b528)
+ )
+ (wire (pts (xy 248.92 241.3) (xy 248.92 184.15))
+ (stroke (width 0) (type solid))
+ (uuid 3972df32-7bd0-4013-90ee-0726c2805d3a)
+ )
+ (wire (pts (xy 180.34 55.88) (xy 180.34 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 3acedd28-c5ad-4486-b11e-e26ff286f1be)
+ )
+ (wire (pts (xy 285.75 152.4) (xy 285.75 147.32))
+ (stroke (width 0) (type solid))
+ (uuid 3bf041ca-aa95-4d73-9568-816469a62bdb)
+ )
+ (wire (pts (xy 316.23 327.66) (xy 328.93 327.66))
+ (stroke (width 0) (type default))
+ (uuid 3c19949c-365f-4530-9b80-eae644b4c932)
+ )
+ (wire (pts (xy 496.57 166.37) (xy 496.57 179.07))
+ (stroke (width 0) (type default))
+ (uuid 3c6c47a0-6083-4332-a469-f12bef0680a2)
+ )
+ (wire (pts (xy 243.84 156.21) (xy 248.92 156.21))
+ (stroke (width 0) (type default))
+ (uuid 3cb62ded-034b-4d71-b933-4ee755bbb548)
+ )
+ (wire (pts (xy 336.55 66.04) (xy 336.55 53.34))
+ (stroke (width 0) (type solid))
+ (uuid 3cd2984f-255f-4b46-9e5e-0ab45f87dd65)
+ )
+ (wire (pts (xy 316.23 347.98) (xy 328.93 347.98))
+ (stroke (width 0) (type default))
+ (uuid 3d26cd3d-5cc9-4dcf-b7c6-48ffd8f3689b)
+ )
+ (wire (pts (xy 336.55 36.83) (xy 325.12 36.83))
+ (stroke (width 0) (type solid))
+ (uuid 3d92c617-07ae-4d93-b049-4f502398d919)
+ )
+ (wire (pts (xy 261.62 195.58) (xy 264.16 195.58))
+ (stroke (width 0) (type default))
+ (uuid 3da6ee3f-bb4f-4c60-8362-f35dab82e087)
+ )
+ (wire (pts (xy 331.47 299.72) (xy 339.09 299.72))
+ (stroke (width 0) (type default))
+ (uuid 3de8f65c-82a8-42a0-a9e6-871fc0cdf77d)
+ )
+ (wire (pts (xy 325.12 53.34) (xy 325.12 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 3e46f43e-117b-4201-b55b-60de080c575a)
+ )
+ (wire (pts (xy 311.15 66.04) (xy 297.18 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 3eda573e-c79c-4202-adfa-be1124c8759c)
+ )
+ (wire (pts (xy 149.86 142.24) (xy 149.86 129.54))
+ (stroke (width 0) (type solid))
+ (uuid 3f48c207-eaf8-435a-986c-75ad1b899330)
+ )
+ (wire (pts (xy 285.75 128.27) (xy 285.75 130.81))
+ (stroke (width 0) (type solid))
+ (uuid 3fae7e89-be81-40a3-b77b-650f3c1bc998)
+ )
+ (wire (pts (xy 443.23 201.93) (xy 458.47 201.93))
+ (stroke (width 0) (type default))
+ (uuid 40f6938d-90c5-4927-afbf-149a6f030ac8)
+ )
+ (wire (pts (xy 374.65 151.13) (xy 372.11 151.13))
+ (stroke (width 0) (type solid))
+ (uuid 419ac7b0-bbbd-4608-aa75-5dcc91fe5936)
+ )
+ (wire (pts (xy 139.7 22.86) (xy 139.7 41.91))
+ (stroke (width 0) (type solid))
+ (uuid 41a0ee78-e56e-45ff-af8c-8844b42fe89a)
+ )
+ (wire (pts (xy 218.44 269.24) (xy 218.44 271.78))
+ (stroke (width 0) (type solid))
+ (uuid 41dea260-e753-4100-8795-c9dd7e064746)
+ )
+ (wire (pts (xy 345.44 53.34) (xy 345.44 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 420b18a8-ada6-45aa-b09f-45b52d9f69c2)
+ )
+ (wire (pts (xy 175.26 328.93) (xy 177.8 328.93))
+ (stroke (width 0) (type solid))
+ (uuid 422bce8f-e41c-4ea5-b5dc-bc2265800bd7)
+ )
+ (wire (pts (xy 120.65 118.11) (xy 120.65 104.14))
+ (stroke (width 0) (type default))
+ (uuid 42519df3-7037-4db9-9f29-66f070af23ae)
+ )
+ (wire (pts (xy 443.23 217.17) (xy 458.47 217.17))
+ (stroke (width 0) (type default))
+ (uuid 42a2f024-5474-416e-b9fb-4e0dff1dd6ee)
+ )
+ (wire (pts (xy 524.51 320.04) (xy 516.89 320.04))
+ (stroke (width 0) (type solid))
+ (uuid 42a46df1-ba4b-4e9f-af5e-379ecb5e3abb)
+ )
+ (wire (pts (xy 361.95 330.2) (xy 361.95 332.74))
+ (stroke (width 0) (type default))
+ (uuid 42ea71b9-37ae-4798-a981-e334702fe2cb)
+ )
+ (wire (pts (xy 187.96 184.15) (xy 187.96 241.3))
+ (stroke (width 0) (type solid))
+ (uuid 433a3a35-0cbb-402e-8694-fc9bf02ded60)
+ )
+ (wire (pts (xy 326.39 350.52) (xy 328.93 350.52))
+ (stroke (width 0) (type default))
+ (uuid 4351da50-19eb-4b20-b09d-4b18e7f55286)
+ )
+ (wire (pts (xy 388.62 48.26) (xy 393.7 48.26))
+ (stroke (width 0) (type solid))
+ (uuid 43ccc3fe-40b8-4718-8989-73bd717c4eae)
+ )
+ (wire (pts (xy 184.15 115.57) (xy 184.15 129.54))
+ (stroke (width 0) (type default))
+ (uuid 449690ba-df9f-4967-946a-01e3ff07afac)
+ )
+ (wire (pts (xy 510.54 247.65) (xy 510.54 246.38))
+ (stroke (width 0) (type solid))
+ (uuid 4621d05b-e699-465a-963c-52c5fa199ed3)
+ )
+ (wire (pts (xy 339.09 299.72) (xy 339.09 297.18))
+ (stroke (width 0) (type default))
+ (uuid 4718ca5a-f1e7-4c2a-970c-0672b68d83bc)
+ )
+ (wire (pts (xy 303.53 36.83) (xy 297.18 36.83))
+ (stroke (width 0) (type solid))
+ (uuid 473a27b9-91cb-4fff-8d52-b32221fb2722)
+ )
+ (wire (pts (xy 66.04 147.32) (xy 120.65 147.32))
+ (stroke (width 0) (type solid))
+ (uuid 4777ecf9-0837-45c1-a199-2aa373cd2f47)
+ )
+ (wire (pts (xy 306.07 132.08) (xy 306.07 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 480660a4-2746-49a1-9411-84aff4482dba)
+ )
+ (wire (pts (xy 139.7 66.04) (xy 165.1 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 488724f1-7747-42be-9280-c13f9b0b810d)
+ )
+ (wire (pts (xy 496.57 204.47) (xy 494.03 204.47))
+ (stroke (width 0) (type default))
+ (uuid 48f07919-fd5a-4878-a7ed-45d1dbc9cd86)
+ )
+ (wire (pts (xy 266.7 201.93) (xy 264.16 201.93))
+ (stroke (width 0) (type default))
+ (uuid 4997258d-14c0-4ebd-84b9-ec8f4303d9a9)
+ )
+ (wire (pts (xy 524.51 335.28) (xy 516.89 335.28))
+ (stroke (width 0) (type solid))
+ (uuid 49bb5c48-c8d7-47b0-8baa-1f9fa8b9f30f)
+ )
+ (wire (pts (xy 295.91 142.24) (xy 295.91 128.27))
+ (stroke (width 0) (type solid))
+ (uuid 4adc605c-9511-40c9-a8fb-03af751ad1c2)
+ )
+ (wire (pts (xy 353.06 194.31) (xy 353.06 189.23))
+ (stroke (width 0) (type solid))
+ (uuid 4b31e03b-d27f-40de-be77-82ec1f6dc084)
+ )
+ (wire (pts (xy 196.85 223.52) (xy 196.85 227.33))
+ (stroke (width 0) (type default))
+ (uuid 4c1f1503-48d0-41ba-b4f1-836455bcb738)
+ )
+ (wire (pts (xy 358.14 194.31) (xy 358.14 191.77))
+ (stroke (width 0) (type solid))
+ (uuid 4d34ea60-4636-4910-ab2b-1fae47987134)
+ )
+ (wire (pts (xy 234.95 167.64) (xy 238.76 167.64))
+ (stroke (width 0) (type default))
+ (uuid 4e18d6df-44cc-4580-a3d7-80c48f96fc66)
+ )
+ (wire (pts (xy 180.34 34.29) (xy 193.04 34.29))
+ (stroke (width 0) (type solid))
+ (uuid 4e54f8da-9508-4f47-a344-4b85778edfbe)
+ )
+ (wire (pts (xy 285.75 147.32) (xy 288.29 147.32))
+ (stroke (width 0) (type solid))
+ (uuid 4e7607b1-462d-412e-82c3-96b1acb8f0de)
+ )
+ (wire (pts (xy 248.92 156.21) (xy 248.92 160.02))
+ (stroke (width 0) (type solid))
+ (uuid 4f725388-2cd0-4d8d-b58b-3ac0e515802e)
+ )
+ (wire (pts (xy 218.44 279.4) (xy 218.44 271.78))
+ (stroke (width 0) (type default))
+ (uuid 4f96a350-307b-43ee-bd44-b4f998d6dd57)
+ )
+ (wire (pts (xy 276.86 149.86) (xy 276.86 143.51))
+ (stroke (width 0) (type solid))
+ (uuid 4fe78784-2f46-47ee-8bb5-2504d339c4b3)
+ )
+ (wire (pts (xy 248.92 160.02) (xy 285.75 160.02))
+ (stroke (width 0) (type solid))
+ (uuid 5098a24f-acbf-46a8-acdb-96cc2a5b7938)
+ )
+ (wire (pts (xy 213.36 220.98) (xy 213.36 227.33))
+ (stroke (width 0) (type default))
+ (uuid 50f67bca-26f4-41e5-adc9-f6234dbe306d)
+ )
+ (wire (pts (xy 443.23 166.37) (xy 458.47 166.37))
+ (stroke (width 0) (type default))
+ (uuid 515a30b7-f31e-4efe-9898-c2ff3d3abec5)
+ )
+ (wire (pts (xy 200.66 156.21) (xy 201.93 156.21))
+ (stroke (width 0) (type default))
+ (uuid 5196a3c5-6807-410c-8da0-8893671215b5)
+ )
+ (wire (pts (xy 227.33 168.91) (xy 227.33 156.21))
+ (stroke (width 0) (type default))
+ (uuid 525d0fd2-eb96-49c3-a124-5ae2ef7e1376)
+ )
+ (wire (pts (xy 494.03 209.55) (xy 505.46 209.55))
+ (stroke (width 0) (type default))
+ (uuid 529ace07-f1b6-4596-a78f-1802158fe9ad)
+ )
+ (wire (pts (xy 176.53 241.3) (xy 187.96 241.3))
+ (stroke (width 0) (type default))
+ (uuid 52c28383-bacc-4aea-8951-ea018b8d0814)
+ )
+ (wire (pts (xy 264.16 207.01) (xy 264.16 213.36))
+ (stroke (width 0) (type default))
+ (uuid 53686e8d-81a4-4f64-a23d-0130c957607c)
+ )
+ (wire (pts (xy 358.14 218.44) (xy 367.03 218.44))
+ (stroke (width 0) (type solid))
+ (uuid 539f701e-e47f-4767-a0ce-7c3fadfb5d26)
+ )
+ (wire (pts (xy 476.25 191.77) (xy 476.25 204.47))
+ (stroke (width 0) (type default))
+ (uuid 54144daa-e396-42d7-8c7a-035bebf85d9f)
+ )
+ (wire (pts (xy 153.67 318.77) (xy 153.67 317.5))
+ (stroke (width 0) (type solid))
+ (uuid 54943900-ec6d-47ca-96d9-50d0ca5f335c)
+ )
+ (wire (pts (xy 180.34 309.88) (xy 168.91 309.88))
+ (stroke (width 0) (type solid))
+ (uuid 54fe3780-ccd4-41f3-9381-4487438c4f90)
+ )
+ (wire (pts (xy 236.22 22.86) (xy 242.57 22.86))
+ (stroke (width 0) (type solid))
+ (uuid 553e188c-21f4-4bb4-8382-beb90479fee0)
+ )
+ (wire (pts (xy 485.14 125.73) (xy 500.38 125.73))
+ (stroke (width 0) (type default))
+ (uuid 5590815f-2370-445e-b43b-f4922080e9b3)
+ )
+ (wire (pts (xy 218.44 269.24) (xy 223.52 269.24))
+ (stroke (width 0) (type default))
+ (uuid 560d09e7-7680-4ef8-b4e1-b5c2df52037c)
+ )
+ (wire (pts (xy 256.54 143.51) (xy 276.86 143.51))
+ (stroke (width 0) (type solid))
+ (uuid 5617c6c7-0e60-44d9-af6f-a2029b66e185)
+ )
+ (wire (pts (xy 495.3 229.87) (xy 495.3 241.3))
+ (stroke (width 0) (type default))
+ (uuid 56726d5b-d4a6-4f4b-b565-1eff25aa2a65)
+ )
+ (wire (pts (xy 288.29 66.04) (xy 276.86 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 5696ac7b-375c-486c-8dfc-b80a8223e8ff)
+ )
+ (wire (pts (xy 398.78 53.34) (xy 408.94 53.34))
+ (stroke (width 0) (type solid))
+ (uuid 56d61ce0-3523-472d-845a-4ee8049ac12e)
+ )
+ (wire (pts (xy 187.96 241.3) (xy 218.44 241.3))
+ (stroke (width 0) (type default))
+ (uuid 56eaa467-2d9a-4ec2-beb0-36586b15307b)
+ )
+ (wire (pts (xy 218.44 271.78) (xy 120.65 271.78))
+ (stroke (width 0) (type solid))
+ (uuid 57190626-bca4-4cbd-95d4-4e46a846dae2)
+ )
+ (wire (pts (xy 78.74 123.19) (xy 95.25 123.19))
+ (stroke (width 0) (type default))
+ (uuid 5760a24e-4896-472e-b4b2-da9ca374244a)
+ )
+ (wire (pts (xy 393.7 45.72) (xy 393.7 48.26))
+ (stroke (width 0) (type solid))
+ (uuid 5769aa54-896b-41f8-a848-bc513a8ee3a9)
+ )
+ (wire (pts (xy 368.3 294.64) (xy 370.84 294.64))
+ (stroke (width 0) (type default))
+ (uuid 57bd82ac-5c25-4645-b11f-93f53bc5e9c5)
+ )
+ (wire (pts (xy 148.59 54.61) (xy 148.59 57.15))
+ (stroke (width 0) (type solid))
+ (uuid 57d5e18c-9ee9-45e7-b6c7-ef92b501895a)
+ )
+ (wire (pts (xy 443.23 135.89) (xy 463.55 135.89))
+ (stroke (width 0) (type default))
+ (uuid 581a6a63-36b9-4522-ac25-3c9326d86a95)
+ )
+ (wire (pts (xy 384.81 217.17) (xy 400.05 217.17))
+ (stroke (width 0) (type default))
+ (uuid 58568947-8b0d-4901-9bb1-d87e17384dfa)
+ )
+ (wire (pts (xy 496.57 166.37) (xy 494.03 166.37))
+ (stroke (width 0) (type default))
+ (uuid 58d1993e-3f5b-4285-9425-186934c615a6)
+ )
+ (wire (pts (xy 227.33 168.91) (xy 227.33 213.36))
+ (stroke (width 0) (type default))
+ (uuid 597d987d-e8f4-4c0a-81f6-76134f026f91)
+ )
+ (wire (pts (xy 139.7 48.26) (xy 139.7 49.53))
+ (stroke (width 0) (type solid))
+ (uuid 5b9b0429-10a4-438a-afa4-83f9ba8ad7b2)
+ )
+ (wire (pts (xy 175.26 334.01) (xy 175.26 340.36))
+ (stroke (width 0) (type solid))
+ (uuid 5bb45055-6794-4ee9-94c0-d3d8c49c372a)
+ )
+ (wire (pts (xy 261.62 213.36) (xy 264.16 213.36))
+ (stroke (width 0) (type default))
+ (uuid 5c6d371b-edbc-4d11-a07c-bf3af072072f)
+ )
+ (wire (pts (xy 295.91 207.01) (xy 295.91 204.47))
+ (stroke (width 0) (type default))
+ (uuid 5cb67836-754a-4e61-8612-56ee49df250f)
+ )
+ (wire (pts (xy 354.33 327.66) (xy 387.35 327.66))
+ (stroke (width 0) (type default))
+ (uuid 5d452d6b-5bbd-4b84-8809-994dec2a7140)
+ )
+ (wire (pts (xy 431.8 327.66) (xy 412.75 327.66))
+ (stroke (width 0) (type solid))
+ (uuid 5d548295-3ba3-43c7-b448-92294246b2b0)
+ )
+ (wire (pts (xy 224.79 223.52) (xy 238.76 223.52))
+ (stroke (width 0) (type default))
+ (uuid 5d6447cc-94b6-4ebc-978d-1cc9c4c24ed1)
+ )
+ (wire (pts (xy 167.64 149.86) (xy 167.64 143.51))
+ (stroke (width 0) (type solid))
+ (uuid 5fe4d9a7-f6c8-4d96-87fe-37f8690b14ee)
+ )
+ (wire (pts (xy 171.45 321.31) (xy 177.8 321.31))
+ (stroke (width 0) (type solid))
+ (uuid 602cbd60-fe56-469c-8d10-a58d13638103)
+ )
+ (wire (pts (xy 400.05 347.98) (xy 400.05 345.44))
+ (stroke (width 0) (type solid))
+ (uuid 604c260d-8ef6-4999-840d-2025f6330f57)
+ )
+ (wire (pts (xy 234.95 156.21) (xy 236.22 156.21))
+ (stroke (width 0) (type default))
+ (uuid 60f09df5-b84e-4bdc-8c38-e8312b2ae3c6)
+ )
+ (wire (pts (xy 193.04 104.14) (xy 203.2 104.14))
+ (stroke (width 0) (type default))
+ (uuid 6147b278-2bd0-4323-9269-8127ea301dbe)
+ )
+ (wire (pts (xy 156.21 355.6) (xy 156.21 321.31))
+ (stroke (width 0) (type solid))
+ (uuid 615aba91-87d1-4ec2-92fe-9237c211d62e)
+ )
+ (wire (pts (xy 218.44 259.08) (xy 223.52 259.08))
+ (stroke (width 0) (type default))
+ (uuid 61603a95-e825-46f1-be3b-4ae55f350464)
+ )
+ (wire (pts (xy 443.23 199.39) (xy 458.47 199.39))
+ (stroke (width 0) (type default))
+ (uuid 618dd9a4-d5d3-4177-80a2-05d3cb0c924d)
+ )
+ (wire (pts (xy 506.73 312.42) (xy 461.01 312.42))
+ (stroke (width 0) (type solid))
+ (uuid 620028e3-9997-4ba5-9ccc-0641777ad240)
+ )
+ (wire (pts (xy 267.97 44.45) (xy 267.97 46.99))
+ (stroke (width 0) (type solid))
+ (uuid 638db062-4011-4661-9942-d8881ebd1a33)
+ )
+ (wire (pts (xy 365.76 325.12) (xy 389.89 325.12))
+ (stroke (width 0) (type solid))
+ (uuid 640ac609-bedc-431b-be24-f4e3ea9cac48)
+ )
+ (wire (pts (xy 387.35 299.72) (xy 387.35 302.26))
+ (stroke (width 0) (type default))
+ (uuid 643ec3eb-0b88-4870-b88a-cadf972bcfa1)
+ )
+ (wire (pts (xy 242.57 36.83) (xy 242.57 39.37))
+ (stroke (width 0) (type solid))
+ (uuid 64f3f564-3573-4b44-8984-59b951a71c39)
+ )
+ (wire (pts (xy 326.39 330.2) (xy 328.93 330.2))
+ (stroke (width 0) (type default))
+ (uuid 65091fbd-6f04-470f-a504-f8ca1291b883)
+ )
+ (wire (pts (xy 496.57 191.77) (xy 496.57 204.47))
+ (stroke (width 0) (type default))
+ (uuid 6553675a-01f3-4925-8b91-371c10b8cc3e)
+ )
+ (wire (pts (xy 160.02 160.02) (xy 160.02 157.48))
+ (stroke (width 0) (type solid))
+ (uuid 65708d86-8fd3-4357-b75f-3ab5b597c9b8)
+ )
+ (wire (pts (xy 345.44 22.86) (xy 354.33 22.86))
+ (stroke (width 0) (type solid))
+ (uuid 65ea25d1-adc0-4cf2-9a21-f671cc525e22)
+ )
+ (wire (pts (xy 264.16 213.36) (xy 266.7 213.36))
+ (stroke (width 0) (type default))
+ (uuid 65fa2b32-d43e-49f9-85e5-b00da2b18cf7)
+ )
+ (wire (pts (xy 356.87 350.52) (xy 354.33 350.52))
+ (stroke (width 0) (type default))
+ (uuid 6676daac-3335-4f9c-8ce7-fcf8145c17ad)
+ )
+ (wire (pts (xy 110.49 125.73) (xy 114.3 125.73))
+ (stroke (width 0) (type default))
+ (uuid 671ad546-22f0-4674-88c8-3d9692bdf0ac)
+ )
+ (wire (pts (xy 187.96 156.21) (xy 193.04 156.21))
+ (stroke (width 0) (type default))
+ (uuid 6753f892-0d59-4836-938f-5634f87fd337)
+ )
+ (wire (pts (xy 466.09 184.15) (xy 468.63 184.15))
+ (stroke (width 0) (type default))
+ (uuid 67a83a5a-3cc9-45bc-bcb6-a016e58ee43b)
+ )
+ (wire (pts (xy 157.48 309.88) (xy 157.48 307.34))
+ (stroke (width 0) (type solid))
+ (uuid 68410d8d-098a-43f3-9748-888ad5220e2c)
+ )
+ (wire (pts (xy 153.67 312.42) (xy 153.67 309.88))
+ (stroke (width 0) (type solid))
+ (uuid 68a14fc7-4a95-4a2d-871a-8c9c974966e8)
+ )
+ (wire (pts (xy 242.57 59.69) (xy 242.57 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 693a085e-2bb9-4a49-9bde-c29331af0bd9)
+ )
+ (wire (pts (xy 167.64 162.56) (xy 157.48 162.56))
+ (stroke (width 0) (type solid))
+ (uuid 696556d4-764b-4ada-b818-e7ef2a253483)
+ )
+ (wire (pts (xy 476.25 191.77) (xy 478.79 191.77))
+ (stroke (width 0) (type default))
+ (uuid 6a2b871e-71fd-4fbb-94e5-afa0839c2107)
+ )
+ (wire (pts (xy 476.25 179.07) (xy 476.25 191.77))
+ (stroke (width 0) (type default))
+ (uuid 6b0cee6e-9560-45e9-a078-58d4d025d793)
+ )
+ (wire (pts (xy 354.33 330.2) (xy 361.95 330.2))
+ (stroke (width 0) (type default))
+ (uuid 6b27cf9a-504f-4dfd-9fb6-a5caa4978b99)
+ )
+ (wire (pts (xy 210.82 195.58) (xy 256.54 195.58))
+ (stroke (width 0) (type default))
+ (uuid 6b6d89f5-2305-44b8-a719-6249858e4657)
+ )
+ (wire (pts (xy 506.73 312.42) (xy 506.73 314.96))
+ (stroke (width 0) (type solid))
+ (uuid 6bef4b90-9621-4d1b-b126-141775d9c1ab)
+ )
+ (wire (pts (xy 443.23 194.31) (xy 458.47 194.31))
+ (stroke (width 0) (type default))
+ (uuid 6c011aae-2d50-41a4-bade-e032a7e9274c)
+ )
+ (wire (pts (xy 364.49 151.13) (xy 367.03 151.13))
+ (stroke (width 0) (type solid))
+ (uuid 6cf0a52f-f8ec-4325-9a7c-30010108eeaa)
+ )
+ (wire (pts (xy 463.55 125.73) (xy 463.55 135.89))
+ (stroke (width 0) (type default))
+ (uuid 6d0f6f9b-8322-4328-89ea-e9d60fce054d)
+ )
+ (wire (pts (xy 276.86 213.36) (xy 274.32 213.36))
+ (stroke (width 0) (type default))
+ (uuid 6d2b3bc5-bd27-4776-ab73-a5e0c7db1786)
+ )
+ (wire (pts (xy 377.19 332.74) (xy 389.89 332.74))
+ (stroke (width 0) (type solid))
+ (uuid 6d8b5891-f816-4aac-a3e7-dad2c7976e29)
+ )
+ (wire (pts (xy 381 299.72) (xy 387.35 299.72))
+ (stroke (width 0) (type default))
+ (uuid 6db4e57a-f6fb-445e-93bd-f8da236d2845)
+ )
+ (wire (pts (xy 227.33 213.36) (xy 256.54 213.36))
+ (stroke (width 0) (type default))
+ (uuid 6e8d9631-e526-44f9-b1c6-928dea7b00d7)
+ )
+ (wire (pts (xy 337.82 189.23) (xy 353.06 189.23))
+ (stroke (width 0) (type solid))
+ (uuid 6eb24218-56fe-4b23-9137-9222a20048da)
+ )
+ (wire (pts (xy 374.65 138.43) (xy 391.16 138.43))
+ (stroke (width 0) (type solid))
+ (uuid 6f06913b-2bb1-4b12-9a7e-c1d6948a6ba6)
+ )
+ (wire (pts (xy 288.29 22.86) (xy 288.29 36.83))
+ (stroke (width 0) (type solid))
+ (uuid 6f4b1f1f-3b91-4670-98e8-7613a00a3815)
+ )
+ (wire (pts (xy 415.29 345.44) (xy 400.05 345.44))
+ (stroke (width 0) (type solid))
+ (uuid 6fd1a27e-066f-4775-b6fa-e1d204d2bb91)
+ )
+ (wire (pts (xy 393.7 53.34) (xy 398.78 53.34))
+ (stroke (width 0) (type solid))
+ (uuid 73380f71-1dd6-483a-a686-3cc7df1a062d)
+ )
+ (wire (pts (xy 297.18 48.26) (xy 297.18 36.83))
+ (stroke (width 0) (type solid))
+ (uuid 7368606c-64b6-4cc5-9b60-25f6a96ae738)
+ )
+ (wire (pts (xy 388.62 53.34) (xy 393.7 53.34))
+ (stroke (width 0) (type solid))
+ (uuid 744848c3-4c88-4a1a-8580-a190c8e81d8a)
+ )
+ (wire (pts (xy 356.87 350.52) (xy 356.87 353.06))
+ (stroke (width 0) (type default))
+ (uuid 77d1ce77-1a82-4ff5-b80b-e6f599ed38a3)
+ )
+ (wire (pts (xy 443.23 209.55) (xy 458.47 209.55))
+ (stroke (width 0) (type default))
+ (uuid 78215664-e09c-4195-8a34-d443e4b8271e)
+ )
+ (wire (pts (xy 193.04 115.57) (xy 193.04 129.54))
+ (stroke (width 0) (type default))
+ (uuid 79222971-86f5-4adb-be81-b8406a537068)
+ )
+ (wire (pts (xy 203.2 104.14) (xy 218.44 104.14))
+ (stroke (width 0) (type default))
+ (uuid 79ac641e-47e2-48f4-bfc1-08cc8f71c31a)
+ )
+ (wire (pts (xy 236.22 36.83) (xy 236.22 39.37))
+ (stroke (width 0) (type solid))
+ (uuid 7a59aa26-ceb3-4262-8408-1e7cd0902705)
+ )
+ (wire (pts (xy 165.1 44.45) (xy 165.1 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 7a7df2ba-565f-4163-a04a-a68b34a1a414)
+ )
+ (wire (pts (xy 443.23 143.51) (xy 458.47 143.51))
+ (stroke (width 0) (type default))
+ (uuid 7aa74cce-b246-43f7-9e6d-dfc486628c91)
+ )
+ (wire (pts (xy 232.41 271.78) (xy 232.41 279.4))
+ (stroke (width 0) (type default))
+ (uuid 7b8d8826-872c-4748-95d2-3971cac83b4f)
+ )
+ (wire (pts (xy 184.15 129.54) (xy 176.53 129.54))
+ (stroke (width 0) (type default))
+ (uuid 7c18e292-f89a-4dc5-b167-59265dda5cea)
+ )
+ (wire (pts (xy 205.74 66.04) (xy 242.57 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 7cc018a2-3361-45f1-8aed-20242fa99bd0)
+ )
+ (wire (pts (xy 160.02 160.02) (xy 187.96 160.02))
+ (stroke (width 0) (type solid))
+ (uuid 7f114f9a-30d1-4e3f-b409-4d4f5e5f1bca)
+ )
+ (wire (pts (xy 393.7 53.34) (xy 393.7 55.88))
+ (stroke (width 0) (type solid))
+ (uuid 7f6058ce-5328-419f-b86b-bf41eb7a5c11)
+ )
+ (wire (pts (xy 266.7 207.01) (xy 264.16 207.01))
+ (stroke (width 0) (type default))
+ (uuid 7f828171-3816-4e30-9ab3-23866bd27e2f)
+ )
+ (wire (pts (xy 218.44 135.89) (xy 248.92 135.89))
+ (stroke (width 0) (type solid))
+ (uuid 80efdf29-c07b-4379-9af1-6477842f47f1)
+ )
+ (wire (pts (xy 167.64 172.72) (xy 180.34 172.72))
+ (stroke (width 0) (type solid))
+ (uuid 813e600c-cb40-47af-bb0a-ad28a9cda326)
+ )
+ (wire (pts (xy 481.33 327.66) (xy 481.33 350.52))
+ (stroke (width 0) (type solid))
+ (uuid 81e94b40-a034-4641-a81f-d2cd6a1ae016)
+ )
+ (wire (pts (xy 495.3 246.38) (xy 495.3 247.65))
+ (stroke (width 0) (type solid))
+ (uuid 820d8e17-ee4b-47b8-a62a-23f03b98ea92)
+ )
+ (wire (pts (xy 160.02 160.02) (xy 157.48 160.02))
+ (stroke (width 0) (type solid))
+ (uuid 8210f4a1-43d2-47e9-8826-20fa107585ae)
+ )
+ (wire (pts (xy 476.25 179.07) (xy 478.79 179.07))
+ (stroke (width 0) (type default))
+ (uuid 82831d60-a35d-4052-9174-ee03088dd8ba)
+ )
+ (wire (pts (xy 171.45 312.42) (xy 171.45 321.31))
+ (stroke (width 0) (type solid))
+ (uuid 82abf92f-9088-45d3-8292-fef3a6ff89d1)
+ )
+ (wire (pts (xy 175.26 334.01) (xy 175.26 331.47))
+ (stroke (width 0) (type solid))
+ (uuid 82c05fa1-4c82-479a-9d90-a0b07f41e7fe)
+ )
+ (wire (pts (xy 218.44 261.62) (xy 218.44 259.08))
+ (stroke (width 0) (type solid))
+ (uuid 82ec636c-fd2a-45de-b958-ea93b9fd7838)
+ )
+ (wire (pts (xy 358.14 226.06) (xy 358.14 228.6))
+ (stroke (width 0) (type solid))
+ (uuid 83982137-9c5e-4695-850f-a993cc5295a1)
+ )
+ (wire (pts (xy 156.21 355.6) (xy 166.37 355.6))
+ (stroke (width 0) (type solid))
+ (uuid 839c1f92-7ac9-456d-b1b3-28b908f0e8e9)
+ )
+ (wire (pts (xy 201.93 184.15) (xy 187.96 184.15))
+ (stroke (width 0) (type default))
+ (uuid 844a8335-c226-4913-81ec-e639f7d0ef52)
+ )
+ (wire (pts (xy 160.02 142.24) (xy 160.02 147.32))
+ (stroke (width 0) (type solid))
+ (uuid 84773e31-dcdf-4df2-bcaa-382f4f162e6c)
+ )
+ (wire (pts (xy 226.06 34.29) (xy 229.87 34.29))
+ (stroke (width 0) (type solid))
+ (uuid 857a9162-4a5e-421d-9a58-cc12e26827e5)
+ )
+ (wire (pts (xy 379.73 144.78) (xy 379.73 158.75))
+ (stroke (width 0) (type solid))
+ (uuid 85c42f69-7c95-42be-a556-49b9a7316909)
+ )
+ (wire (pts (xy 160.02 147.32) (xy 157.48 147.32))
+ (stroke (width 0) (type solid))
+ (uuid 85f5f52b-60f7-4424-91ef-e5e31758fd87)
+ )
+ (wire (pts (xy 473.71 184.15) (xy 478.79 184.15))
+ (stroke (width 0) (type default))
+ (uuid 867e16fa-ce77-4937-84e1-a060ed3d5b70)
+ )
+ (wire (pts (xy 354.33 340.36) (xy 356.87 340.36))
+ (stroke (width 0) (type default))
+ (uuid 876e5400-cbe2-4efb-8e69-98fb88932e2d)
+ )
+ (wire (pts (xy 234.95 160.02) (xy 238.76 160.02))
+ (stroke (width 0) (type default))
+ (uuid 879210bc-52d2-4191-9225-a94d900df81f)
+ )
+ (wire (pts (xy 497.84 135.89) (xy 500.38 135.89))
+ (stroke (width 0) (type default))
+ (uuid 885e0d2c-e00c-4792-aaa0-fa9160710ed2)
+ )
+ (wire (pts (xy 288.29 53.34) (xy 288.29 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 8986a235-dd1f-4eed-8901-8bc1f1ccd799)
+ )
+ (wire (pts (xy 483.87 353.06) (xy 483.87 330.2))
+ (stroke (width 0) (type solid))
+ (uuid 89a0b6ce-2734-4f88-8f66-d2f07c67bcc5)
+ )
+ (wire (pts (xy 201.93 173.99) (xy 201.93 184.15))
+ (stroke (width 0) (type default))
+ (uuid 89c68a6a-901e-48af-8183-afd359ce7436)
+ )
+ (wire (pts (xy 276.86 66.04) (xy 276.86 39.37))
+ (stroke (width 0) (type solid))
+ (uuid 89c77b6a-df7d-499b-9a2c-eb0783e5ff85)
+ )
+ (wire (pts (xy 400.05 342.9) (xy 400.05 345.44))
+ (stroke (width 0) (type solid))
+ (uuid 8a365b7e-31fa-483a-8614-79c6259d8f67)
+ )
+ (wire (pts (xy 193.04 129.54) (xy 203.2 129.54))
+ (stroke (width 0) (type default))
+ (uuid 8a522bd4-f652-4c8c-b89e-c6ee6d4d360c)
+ )
+ (wire (pts (xy 339.09 299.72) (xy 345.44 299.72))
+ (stroke (width 0) (type default))
+ (uuid 8ab7d2b4-aada-4fce-9569-6baa80861c1c)
+ )
+ (wire (pts (xy 156.21 321.31) (xy 161.29 321.31))
+ (stroke (width 0) (type solid))
+ (uuid 8ad4fd34-ff42-41c5-8a96-6eb677737c8b)
+ )
+ (wire (pts (xy 485.14 246.38) (xy 485.14 247.65))
+ (stroke (width 0) (type solid))
+ (uuid 8ae86afc-29af-46ad-bf4f-ed14933e8ad6)
+ )
+ (wire (pts (xy 120.65 125.73) (xy 120.65 128.27))
+ (stroke (width 0) (type default))
+ (uuid 8b5f1c9a-b38f-4381-babf-cc2f87a1b308)
+ )
+ (wire (pts (xy 187.96 339.09) (xy 187.96 340.36))
+ (stroke (width 0) (type solid))
+ (uuid 8b70859c-f55f-4bf2-9066-894abaaf27e3)
+ )
+ (wire (pts (xy 476.25 204.47) (xy 478.79 204.47))
+ (stroke (width 0) (type default))
+ (uuid 8c74c5ee-5f57-484d-b94f-5cf8a7107ca9)
+ )
+ (wire (pts (xy 354.33 66.04) (xy 345.44 66.04))
+ (stroke (width 0) (type solid))
+ (uuid 8cb6a2c9-5e04-41f2-b080-494f56dd8b48)
+ )
+ (wire (pts (xy 259.08 44.45) (xy 218.44 44.45))
+ (stroke (width 0) (type solid))
+ (uuid 8d10f85a-6ea9-4f3c-8a24-53fa904df418)
+ )
+ (wire (pts (xy 354.33 347.98) (xy 377.19 347.98))
+ (stroke (width 0) (type default))
+ (uuid 8d3cb079-6280-41cf-8bb9-a711b113ca2f)
+ )
+ (wire (pts (xy 496.57 179.07) (xy 496.57 191.77))
+ (stroke (width 0) (type default))
+ (uuid 8d764983-830c-4c4e-81b6-45804c6da2b3)
+ )
+ (wire (pts (xy 506.73 312.42) (xy 533.4 312.42))
+ (stroke (width 0) (type solid))
+ (uuid 8e1e3b91-4244-4581-aaa9-2be4968c2524)
+ )
+ (wire (pts (xy 180.34 34.29) (xy 180.34 22.86))
+ (stroke (width 0) (type solid))
+ (uuid 8f726b75-b442-49fd-89df-98f7bd54a3d8)
+ )
+ (wire (pts (xy 321.31 337.82) (xy 321.31 340.36))
+ (stroke (width 0) (type default))
+ (uuid 8f9b5641-1195-4da0-a4a2-247e91499ca8)
+ )
+ (wire (pts (xy 160.02 129.54) (xy 160.02 132.08))
+ (stroke (width 0) (type solid))
+ (uuid 905c50f8-d578-4ea9-a3fe-9221d872cc10)
+ )
+ (wire (pts (xy 201.93 156.21) (xy 210.82 156.21))
+ (stroke (width 0) (type default))
+ (uuid 90985e33-2ac5-44ba-acbd-fe6feca93b15)
+ )
+ (wire (pts (xy 374.65 158.75) (xy 364.49 158.75))
+ (stroke (width 0) (type solid))
+ (uuid 90ea7be1-242e-4e11-a6de-b27d07b9750e)
+ )
+ (wire (pts (xy 494.03 171.45) (xy 505.46 171.45))
+ (stroke (width 0) (type default))
+ (uuid 9182c162-e1b3-4198-909b-3644607003b4)
+ )
+ (wire (pts (xy 326.39 353.06) (xy 326.39 350.52))
+ (stroke (width 0) (type default))
+ (uuid 923348d1-8ee7-4ad8-b1d9-07358bc346df)
+ )
+ (wire (pts (xy 389.89 327.66) (xy 387.35 327.66))
+ (stroke (width 0) (type solid))
+ (uuid 926ff938-e53e-45ef-bc0a-0cf45f461dcc)
+ )
+ (wire (pts (xy 420.37 345.44) (xy 533.4 345.44))
+ (stroke (width 0) (type solid))
+ (uuid 92b76faf-3d60-4ac7-b2ad-b43d67265ac9)
+ )
+ (wire (pts (xy 461.01 199.39) (xy 466.09 199.39))
+ (stroke (width 0) (type default))
+ (uuid 930fadb0-d10a-4d67-9e85-d929636957ae)
+ )
+ (wire (pts (xy 326.39 332.74) (xy 326.39 330.2))
+ (stroke (width 0) (type default))
+ (uuid 934a4616-7500-4e12-a9e2-29556aba58f9)
+ )
+ (wire (pts (xy 422.91 237.49) (xy 422.91 240.03))
+ (stroke (width 0) (type default))
+ (uuid 93b11b2f-39ff-4828-881b-9dc76608110b)
+ )
+ (wire (pts (xy 400.05 345.44) (xy 387.35 345.44))
+ (stroke (width 0) (type solid))
+ (uuid 942a5345-a154-41b6-97ff-53a2d45e53dc)
+ )
+ (wire (pts (xy 500.38 140.97) (xy 508 140.97))
+ (stroke (width 0) (type default))
+ (uuid 946eaf9b-87d6-4212-823b-6a64f9daf5d0)
+ )
+ (wire (pts (xy 120.65 22.86) (xy 120.65 86.36))
+ (stroke (width 0) (type solid))
+ (uuid 94acae04-86cd-4612-921c-7184263dc414)
+ )
+ (wire (pts (xy 325.12 36.83) (xy 318.77 36.83))
+ (stroke (width 0) (type solid))
+ (uuid 94d51bea-2d15-4585-a191-6d3fa9db8f8f)
+ )
+ (wire (pts (xy 241.3 220.98) (xy 227.33 220.98))
+ (stroke (width 0) (type default))
+ (uuid 954b2e88-db21-40d3-b81a-8b9017940a9a)
+ )
+ (wire (pts (xy 412.75 327.66) (xy 412.75 325.12))
+ (stroke (width 0) (type solid))
+ (uuid 96e03805-d99e-465b-b1b7-4d894805a388)
+ )
+ (wire (pts (xy 201.93 167.64) (xy 201.93 168.91))
+ (stroke (width 0) (type default))
+ (uuid 97229b28-0ac6-495e-937b-8547ecfaeff6)
+ )
+ (wire (pts (xy 353.06 226.06) (xy 353.06 228.6))
+ (stroke (width 0) (type solid))
+ (uuid 98f1048b-84a4-44b6-8f79-020f9de48bc9)
+ )
+ (wire (pts (xy 365.76 322.58) (xy 354.33 322.58))
+ (stroke (width 0) (type default))
+ (uuid 9919ca19-b22f-4bcb-b276-0baa6e964084)
+ )
+ (wire (pts (xy 372.11 138.43) (xy 374.65 138.43))
+ (stroke (width 0) (type solid))
+ (uuid 9951c8b0-dd5b-405b-b139-25b3d1360dd7)
+ )
+ (wire (pts (xy 463.55 171.45) (xy 463.55 191.77))
+ (stroke (width 0) (type default))
+ (uuid 99d0d82c-ea88-4190-a25e-a70e9bd0bbec)
+ )
+ (wire (pts (xy 505.46 229.87) (xy 505.46 241.3))
+ (stroke (width 0) (type default))
+ (uuid 9aeefb97-b4cb-43ca-86d8-c62dd5d7a467)
+ )
+ (wire (pts (xy 429.26 353.06) (xy 483.87 353.06))
+ (stroke (width 0) (type solid))
+ (uuid 9b1a33c7-f427-40b3-9ee3-a4d25f1a0e8f)
+ )
+ (wire (pts (xy 238.76 227.33) (xy 238.76 223.52))
+ (stroke (width 0) (type default))
+ (uuid 9b2eed75-0ec7-48ea-a06f-4bb01a9c719a)
+ )
+ (wire (pts (xy 461.01 314.96) (xy 461.01 312.42))
+ (stroke (width 0) (type solid))
+ (uuid 9b41799f-2acd-4be5-9580-3f87f6f82cfd)
+ )
+ (wire (pts (xy 345.44 294.64) (xy 347.98 294.64))
+ (stroke (width 0) (type default))
+ (uuid 9bbbd05f-8b9f-4473-8c70-a0e8f645db54)
+ )
+ (wire (pts (xy 429.26 330.2) (xy 429.26 353.06))
+ (stroke (width 0) (type solid))
+ (uuid 9bd7deea-d2c3-4685-8628-e50dacfebd1b)
+ )
+ (wire (pts (xy 358.14 191.77) (xy 367.03 191.77))
+ (stroke (width 0) (type solid))
+ (uuid 9c80f97a-9bf8-4622-862b-e8378d25dbf2)
+ )
+ (wire (pts (xy 242.57 29.21) (xy 242.57 22.86))
+ (stroke (width 0) (type solid))
+ (uuid 9c87198e-4b49-406c-a9c2-319273cd8cc8)
+ )
+ (wire (pts (xy 153.67 358.14) (xy 166.37 358.14))
+ (stroke (width 0) (type solid))
+ (uuid 9c936865-6dc0-4bf5-b605-b05373b21930)
+ )
+ (wire (pts (xy 364.49 144.78) (xy 369.57 144.78))
+ (stroke (width 0) (type solid))
+ (uuid 9cf1db8f-0b1b-4390-b6ad-f3efda5065bb)
+ )
+ (wire (pts (xy 297.18 36.83) (xy 288.29 36.83))
+ (stroke (width 0) (type solid))
+ (uuid 9e40ec7d-0c6f-43d6-8006-b85c5c7f864e)
+ )
+ (wire (pts (xy 153.67 358.14) (xy 153.67 318.77))
+ (stroke (width 0) (type solid))
+ (uuid 9e9caa76-71e2-4813-9fd5-ab8fe71377ab)
+ )
+ (wire (pts (xy 367.03 138.43) (xy 364.49 138.43))
+ (stroke (width 0) (type solid))
+ (uuid 9eac8e17-ee77-4987-be53-8b5093f3e7e8)
+ )
+ (wire (pts (xy 353.06 189.23) (xy 367.03 189.23))
+ (stroke (width 0) (type solid))
+ (uuid 9eb40e56-2b1d-4fbe-8469-68cdfad6590e)
+ )
+ (wire (pts (xy 443.23 212.09) (xy 458.47 212.09))
+ (stroke (width 0) (type default))
+ (uuid 9eb52da1-d4ad-4a66-a3d5-11824e5e27c3)
+ )
+ (wire (pts (xy 483.87 96.52) (xy 483.87 107.95))
+ (stroke (width 0) (type default))
+ (uuid 9ef05ab3-f0e5-464a-b89f-77225073bac4)
+ )
+ (wire (pts (xy 110.49 120.65) (xy 114.3 120.65))
+ (stroke (width 0) (type default))
+ (uuid 9f154533-c5cd-4b9d-8de8-e5a9f8dd9667)
+ )
+ (wire (pts (xy 492.76 252.73) (xy 495.3 252.73))
+ (stroke (width 0) (type solid))
+ (uuid 9f679e2a-9648-4b81-9312-1cab872a50a8)
+ )
+ (wire (pts (xy 161.29 309.88) (xy 161.29 312.42))
+ (stroke (width 0) (type solid))
+ (uuid a105cdca-4795-4d79-9184-a684fc975273)
+ )
+ (wire (pts (xy 193.04 41.91) (xy 193.04 39.37))
+ (stroke (width 0) (type solid))
+ (uuid a2ae5968-f0fc-417c-ad74-34dc7f67fc59)
+ )
+ (wire (pts (xy 500.38 135.89) (xy 500.38 140.97))
+ (stroke (width 0) (type default))
+ (uuid a37fd351-877e-4aa7-9fa1-5c101e37ee6e)
+ )
+ (wire (pts (xy 443.23 207.01) (xy 458.47 207.01))
+ (stroke (width 0) (type default))
+ (uuid a4273287-742b-4ce8-9487-4e861eb95c95)
+ )
+ (wire (pts (xy 149.86 129.54) (xy 160.02 129.54))
+ (stroke (width 0) (type solid))
+ (uuid a4c5639f-645f-4f00-bc0f-29f5a066ea09)
+ )
+ (wire (pts (xy 358.14 199.39) (xy 358.14 201.93))
+ (stroke (width 0) (type solid))
+ (uuid a641bc41-ed6c-4039-810b-651d5a558ce6)
+ )
+ (wire (pts (xy 377.19 347.98) (xy 377.19 332.74))
+ (stroke (width 0) (type default))
+ (uuid a64f3335-d08a-47fb-b355-5defd23d2120)
+ )
+ (wire (pts (xy 153.67 318.77) (xy 168.91 318.77))
+ (stroke (width 0) (type solid))
+ (uuid a6f03c7d-1d14-46db-ad72-536518a684a7)
+ )
+ (wire (pts (xy 384.81 201.93) (xy 400.05 201.93))
+ (stroke (width 0) (type default))
+ (uuid a8d186e2-5395-4450-89a7-d8d5e6617025)
+ )
+ (wire (pts (xy 345.44 36.83) (xy 336.55 36.83))
+ (stroke (width 0) (type solid))
+ (uuid a96b415b-23d1-48b3-8228-86dedd5d5789)
+ )
+ (wire (pts (xy 248.92 160.02) (xy 248.92 168.91))
+ (stroke (width 0) (type solid))
+ (uuid a9e8a3c0-afa3-488a-8191-7c869fa7da89)
+ )
+ (wire (pts (xy 533.4 312.42) (xy 533.4 345.44))
+ (stroke (width 0) (type solid))
+ (uuid aa313347-eefb-4b4f-ad6b-13c477bab548)
+ )
+ (wire (pts (xy 295.91 204.47) (xy 309.88 204.47))
+ (stroke (width 0) (type default))
+ (uuid aa516e50-9f26-4b3a-b6b9-46c360a994e1)
+ )
+ (wire (pts (xy 148.59 57.15) (xy 139.7 57.15))
+ (stroke (width 0) (type solid))
+ (uuid aa7e00a6-cb03-46f8-aefe-f64a8eaaf979)
+ )
+ (wire (pts (xy 276.86 22.86) (xy 288.29 22.86))
+ (stroke (width 0) (type solid))
+ (uuid abff7317-776a-4070-8ae0-ca6a15caf886)
+ )
+ (wire (pts (xy 368.3 289.56) (xy 381 289.56))
+ (stroke (width 0) (type default))
+ (uuid ac41e74a-1ffe-4f43-baea-6d78d9750b24)
+ )
+ (wire (pts (xy 466.09 110.49) (xy 466.09 100.33))
+ (stroke (width 0) (type default))
+ (uuid aca9b541-518e-4cb1-8831-1dfaeddba1aa)
+ )
+ (wire (pts (xy 180.34 34.29) (xy 180.34 39.37))
+ (stroke (width 0) (type solid))
+ (uuid acaa9956-67c8-4038-a727-ab58ee540dfe)
+ )
+ (wire (pts (xy 297.18 66.04) (xy 297.18 53.34))
+ (stroke (width 0) (type solid))
+ (uuid ad1cf218-ddc4-4f01-9329-c1271ea5707f)
+ )
+ (wire (pts (xy 184.15 104.14) (xy 193.04 104.14))
+ (stroke (width 0) (type default))
+ (uuid ad46b997-5de1-4b07-8a94-33f58f7710e6)
+ )
+ (wire (pts (xy 229.87 39.37) (xy 236.22 39.37))
+ (stroke (width 0) (type solid))
+ (uuid ae76b377-4244-42d8-93e8-34b8ecd70d7e)
+ )
+ (wire (pts (xy 454.66 107.95) (xy 468.63 107.95))
+ (stroke (width 0) (type default))
+ (uuid aea6a645-a96e-4451-a60d-f809d138d2cf)
+ )
+ (wire (pts (xy 227.33 156.21) (xy 234.95 156.21))
+ (stroke (width 0) (type default))
+ (uuid aef5a7ea-1a7e-4390-ba86-84cace060c33)
+ )
+ (wire (pts (xy 295.91 128.27) (xy 285.75 128.27))
+ (stroke (width 0) (type solid))
+ (uuid af69bf4b-76bc-4c02-9136-0a196b17a779)
+ )
+ (wire (pts (xy 288.29 160.02) (xy 285.75 160.02))
+ (stroke (width 0) (type solid))
+ (uuid af8f9b8d-81e0-42f7-92fd-1e2910ef1eaf)
+ )
+ (wire (pts (xy 168.91 309.88) (xy 168.91 318.77))
+ (stroke (width 0) (type solid))
+ (uuid afa61c27-081b-4baf-b7af-8a12bad3dea3)
+ )
+ (wire (pts (xy 165.1 39.37) (xy 165.1 22.86))
+ (stroke (width 0) (type solid))
+ (uuid afc03ed3-a5ac-4145-9276-35e674d10980)
+ )
+ (wire (pts (xy 356.87 337.82) (xy 356.87 340.36))
+ (stroke (width 0) (type default))
+ (uuid b008ab02-d3ad-4678-98a1-a0c2ceb331de)
+ )
+ (wire (pts (xy 490.22 247.65) (xy 490.22 246.38))
+ (stroke (width 0) (type solid))
+ (uuid b0338909-a338-4c0f-b179-0b65af6f09d6)
+ )
+ (wire (pts (xy 133.35 66.04) (xy 139.7 66.04))
+ (stroke (width 0) (type solid))
+ (uuid b05dc6af-b093-4992-8b5b-a42ead4d92e1)
+ )
+ (wire (pts (xy 267.97 66.04) (xy 276.86 66.04))
+ (stroke (width 0) (type solid))
+ (uuid b1c4d00b-55ff-4eb5-9767-1885d1e64570)
+ )
+ (wire (pts (xy 100.33 110.49) (xy 105.41 110.49))
+ (stroke (width 0) (type default))
+ (uuid b285d972-2a06-4dc1-97df-c19ed80cd999)
+ )
+ (wire (pts (xy 490.22 135.89) (xy 492.76 135.89))
+ (stroke (width 0) (type default))
+ (uuid b2904718-a56d-408c-baa3-b8c8d6b0d47d)
+ )
+ (wire (pts (xy 105.41 110.49) (xy 105.41 115.57))
+ (stroke (width 0) (type default))
+ (uuid b3565867-12f5-4b5c-ab05-ffcfe7505f06)
+ )
+ (wire (pts (xy 454.66 105.41) (xy 468.63 105.41))
+ (stroke (width 0) (type default))
+ (uuid b3659fc1-b2fa-47f9-b4d5-38f788a0c0c3)
+ )
+ (wire (pts (xy 358.14 220.98) (xy 358.14 218.44))
+ (stroke (width 0) (type solid))
+ (uuid b3789ef2-9874-4d9e-a04e-9c6b0670c7f7)
+ )
+ (wire (pts (xy 242.57 66.04) (xy 259.08 66.04))
+ (stroke (width 0) (type solid))
+ (uuid b38f5dac-a19c-4c0f-a866-9d307381be86)
+ )
+ (wire (pts (xy 165.1 22.86) (xy 180.34 22.86))
+ (stroke (width 0) (type solid))
+ (uuid b398ccda-64ec-4b7b-b6a6-beaec82ad9be)
+ )
+ (wire (pts (xy 236.22 39.37) (xy 242.57 39.37))
+ (stroke (width 0) (type solid))
+ (uuid b3e7db2f-b163-4ec2-a9ff-45caf8cd31d3)
+ )
+ (wire (pts (xy 198.12 160.02) (xy 201.93 160.02))
+ (stroke (width 0) (type default))
+ (uuid b405bedc-1741-49bb-9d9e-18ca39835278)
+ )
+ (wire (pts (xy 187.96 135.89) (xy 218.44 135.89))
+ (stroke (width 0) (type default))
+ (uuid b5a95965-df9a-4f61-bb0c-788f613203a4)
+ )
+ (wire (pts (xy 139.7 46.99) (xy 139.7 48.26))
+ (stroke (width 0) (type solid))
+ (uuid b5ab6ffe-c087-42a9-b1a2-362499870f90)
+ )
+ (wire (pts (xy 337.82 218.44) (xy 358.14 218.44))
+ (stroke (width 0) (type solid))
+ (uuid b65098e2-b356-4130-933c-7891247a191a)
+ )
+ (wire (pts (xy 458.47 222.25) (xy 443.23 222.25))
+ (stroke (width 0) (type solid))
+ (uuid b65655df-eed1-43c5-bdda-cdf6554526a0)
+ )
+ (wire (pts (xy 139.7 54.61) (xy 139.7 57.15))
+ (stroke (width 0) (type solid))
+ (uuid b66bc717-ce4c-4375-9fe6-dd769af57a1a)
+ )
+ (wire (pts (xy 500.38 125.73) (xy 508 125.73))
+ (stroke (width 0) (type default))
+ (uuid b70acbfd-f446-4cfd-9eb2-5d65282e197a)
+ )
+ (wire (pts (xy 276.86 162.56) (xy 288.29 162.56))
+ (stroke (width 0) (type solid))
+ (uuid b7dd089b-a847-4d64-8bc5-2085435b073a)
+ )
+ (wire (pts (xy 176.53 104.14) (xy 184.15 104.14))
+ (stroke (width 0) (type default))
+ (uuid b84a2da5-f766-4863-8124-f6bc60cbfce0)
+ )
+ (wire (pts (xy 259.08 53.34) (xy 259.08 66.04))
+ (stroke (width 0) (type solid))
+ (uuid b8b2d133-3ed4-4d6f-8e4f-0f03a5666139)
+ )
+ (wire (pts (xy 387.35 327.66) (xy 387.35 330.2))
+ (stroke (width 0) (type solid))
+ (uuid b9388695-c5cb-43ae-b6eb-17f7b63bcfd3)
+ )
+ (wire (pts (xy 248.92 148.59) (xy 248.92 156.21))
+ (stroke (width 0) (type solid))
+ (uuid b9844ecf-a5f2-4884-b65c-48182e3f1334)
+ )
+ (wire (pts (xy 148.59 49.53) (xy 148.59 48.26))
+ (stroke (width 0) (type solid))
+ (uuid b9bbfe10-c94a-459c-9ac3-d115778cd0fe)
+ )
+ (wire (pts (xy 429.26 330.2) (xy 450.85 330.2))
+ (stroke (width 0) (type solid))
+ (uuid ba31b312-0a28-4812-88f9-72f4712215a0)
+ )
+ (wire (pts (xy 505.46 246.38) (xy 505.46 247.65))
+ (stroke (width 0) (type solid))
+ (uuid bab98bfc-ab99-4e15-a67d-b4e113fe5a7c)
+ )
+ (wire (pts (xy 120.65 22.86) (xy 139.7 22.86))
+ (stroke (width 0) (type solid))
+ (uuid bc299d5b-c3cc-457c-bf0c-31c60c3b4e5a)
+ )
+ (wire (pts (xy 100.33 113.03) (xy 100.33 110.49))
+ (stroke (width 0) (type default))
+ (uuid bc31562e-7dc4-46b1-93a7-973cfa26f9d1)
+ )
+ (wire (pts (xy 259.08 48.26) (xy 259.08 44.45))
+ (stroke (width 0) (type solid))
+ (uuid bc3d6b30-4f6f-4107-8e39-ea9753e642d8)
+ )
+ (wire (pts (xy 370.84 294.64) (xy 370.84 299.72))
+ (stroke (width 0) (type default))
+ (uuid bc58f07b-4008-4a43-8bae-9640d3acc7c4)
+ )
+ (wire (pts (xy 391.16 138.43) (xy 391.16 148.59))
+ (stroke (width 0) (type solid))
+ (uuid bccef0c0-71d1-49f9-99ba-943d24631e2e)
+ )
+ (wire (pts (xy 306.07 128.27) (xy 295.91 128.27))
+ (stroke (width 0) (type solid))
+ (uuid bced336a-737b-4a36-afdc-961dfca55956)
+ )
+ (wire (pts (xy 120.65 128.27) (xy 120.65 147.32))
+ (stroke (width 0) (type default))
+ (uuid bd2131bc-ac0e-46b8-87e7-547e84b8a026)
+ )
+ (wire (pts (xy 378.46 48.26) (xy 388.62 48.26))
+ (stroke (width 0) (type solid))
+ (uuid bd8362b3-77ea-42f2-9ac5-261d44f68dec)
+ )
+ (wire (pts (xy 345.44 66.04) (xy 340.36 66.04))
+ (stroke (width 0) (type solid))
+ (uuid be4e003f-df4a-4db9-ab25-c51b997669cb)
+ )
+ (wire (pts (xy 248.92 135.89) (xy 248.92 138.43))
+ (stroke (width 0) (type solid))
+ (uuid beaf26ff-6aef-4335-a11f-2635040c219c)
+ )
+ (wire (pts (xy 374.65 138.43) (xy 374.65 140.97))
+ (stroke (width 0) (type solid))
+ (uuid bed82732-a30f-4ec8-a91d-e625cf5e8007)
+ )
+ (wire (pts (xy 339.09 289.56) (xy 347.98 289.56))
+ (stroke (width 0) (type default))
+ (uuid bf091685-f0bc-4573-bda7-a7f554e0c00c)
+ )
+ (wire (pts (xy 190.5 360.68) (xy 189.23 360.68))
+ (stroke (width 0) (type solid))
+ (uuid bf0bdc60-44de-4c09-abf2-93dfc6e38423)
+ )
+ (wire (pts (xy 331.47 287.02) (xy 331.47 289.56))
+ (stroke (width 0) (type default))
+ (uuid c06241a2-08d5-492f-b15f-cf0b1f3fede3)
+ )
+ (wire (pts (xy 443.23 161.29) (xy 458.47 161.29))
+ (stroke (width 0) (type default))
+ (uuid c0c20659-1aa1-42fd-a162-5518867ff073)
+ )
+ (wire (pts (xy 193.04 104.14) (xy 193.04 107.95))
+ (stroke (width 0) (type default))
+ (uuid c0ecadbe-d20c-4270-8024-06b585cd739e)
+ )
+ (wire (pts (xy 374.65 151.13) (xy 400.05 151.13))
+ (stroke (width 0) (type solid))
+ (uuid c1bfb9b6-2476-49b4-8c1a-adacef424b96)
+ )
+ (wire (pts (xy 381 289.56) (xy 381 292.1))
+ (stroke (width 0) (type default))
+ (uuid c1e7d4da-6f24-4916-b122-227731508303)
+ )
+ (wire (pts (xy 140.97 129.54) (xy 149.86 129.54))
+ (stroke (width 0) (type solid))
+ (uuid c23f4bf1-85b2-4c8b-9d71-3c13644ca832)
+ )
+ (wire (pts (xy 276.86 198.12) (xy 276.86 195.58))
+ (stroke (width 0) (type default))
+ (uuid c27736ed-544a-4337-b67a-4ba53466f472)
+ )
+ (wire (pts (xy 476.25 166.37) (xy 478.79 166.37))
+ (stroke (width 0) (type default))
+ (uuid c2916309-c943-4748-b13a-1ed620a66570)
+ )
+ (wire (pts (xy 227.33 261.62) (xy 223.52 261.62))
+ (stroke (width 0) (type default))
+ (uuid c2eb9964-478c-4e83-b4e6-4cc2418d74d9)
+ )
+ (wire (pts (xy 400.05 309.88) (xy 400.05 314.96))
+ (stroke (width 0) (type solid))
+ (uuid c2eba009-b374-4d31-a68e-a9522440a750)
+ )
+ (wire (pts (xy 264.16 195.58) (xy 266.7 195.58))
+ (stroke (width 0) (type default))
+ (uuid c39ec823-1f38-4659-9f04-831b98d20d0e)
+ )
+ (wire (pts (xy 494.03 196.85) (xy 505.46 196.85))
+ (stroke (width 0) (type default))
+ (uuid c3cc77bb-fa71-4c7f-8efd-48b558fc89a2)
+ )
+ (wire (pts (xy 297.18 66.04) (xy 288.29 66.04))
+ (stroke (width 0) (type solid))
+ (uuid c3dfc6ea-6a0c-442c-9758-11befc967d6b)
+ )
+ (wire (pts (xy 490.22 133.35) (xy 490.22 135.89))
+ (stroke (width 0) (type default))
+ (uuid c42c7d98-49bf-4c18-841c-04fe5021aac7)
+ )
+ (wire (pts (xy 374.65 148.59) (xy 374.65 151.13))
+ (stroke (width 0) (type solid))
+ (uuid c506acc5-f832-4ddd-a453-0328486fc308)
+ )
+ (wire (pts (xy 461.01 196.85) (xy 468.63 196.85))
+ (stroke (width 0) (type default))
+ (uuid c55dc617-f0d9-4898-bb9e-22f99aae2220)
+ )
+ (wire (pts (xy 443.23 224.79) (xy 458.47 224.79))
+ (stroke (width 0) (type default))
+ (uuid c57837d2-87b7-4a9d-a5e3-e162c04aa8f3)
+ )
+ (wire (pts (xy 461.01 191.77) (xy 463.55 191.77))
+ (stroke (width 0) (type default))
+ (uuid c59b0e0d-bbe1-4dd5-93aa-ddd06a9736ab)
+ )
+ (wire (pts (xy 256.54 173.99) (xy 276.86 173.99))
+ (stroke (width 0) (type solid))
+ (uuid c66321c9-e33f-4b32-95f8-08b265975e68)
+ )
+ (wire (pts (xy 187.96 138.43) (xy 187.96 135.89))
+ (stroke (width 0) (type solid))
+ (uuid c7acec9d-e88c-4791-bbda-1d18b4df0006)
+ )
+ (wire (pts (xy 175.26 334.01) (xy 177.8 334.01))
+ (stroke (width 0) (type solid))
+ (uuid c7fbec46-bd19-4637-9537-0196017f7270)
+ )
+ (wire (pts (xy 364.49 144.78) (xy 364.49 151.13))
+ (stroke (width 0) (type solid))
+ (uuid c83bdf48-97fe-4a8f-bae6-a30139371923)
+ )
+ (wire (pts (xy 276.86 162.56) (xy 276.86 173.99))
+ (stroke (width 0) (type solid))
+ (uuid c87bdacc-7b5b-4945-9621-b7810eb106f4)
+ )
+ (wire (pts (xy 177.8 331.47) (xy 175.26 331.47))
+ (stroke (width 0) (type solid))
+ (uuid c8c62057-1231-4b24-8948-234512d57a10)
+ )
+ (wire (pts (xy 180.34 312.42) (xy 171.45 312.42))
+ (stroke (width 0) (type solid))
+ (uuid ca4c7a06-e4f8-4834-8f0a-af1d6c45088b)
+ )
+ (wire (pts (xy 381 289.56) (xy 387.35 289.56))
+ (stroke (width 0) (type default))
+ (uuid cb3d0af5-8e67-4595-9cf9-c90d6753fd37)
+ )
+ (wire (pts (xy 227.33 213.36) (xy 227.33 220.98))
+ (stroke (width 0) (type default))
+ (uuid cb970c5a-cd6f-4b9b-8d22-61be22c20588)
+ )
+ (wire (pts (xy 184.15 129.54) (xy 193.04 129.54))
+ (stroke (width 0) (type default))
+ (uuid cbb6cf07-79b4-413f-ae92-4efe3c7a32a2)
+ )
+ (wire (pts (xy 454.66 102.87) (xy 468.63 102.87))
+ (stroke (width 0) (type default))
+ (uuid cbe879f7-71e6-45ee-bbf2-bbe846c3a721)
+ )
+ (wire (pts (xy 485.14 140.97) (xy 500.38 140.97))
+ (stroke (width 0) (type default))
+ (uuid cc7ae238-d15f-4b51-924f-09ad14062d02)
+ )
+ (wire (pts (xy 500.38 247.65) (xy 500.38 246.38))
+ (stroke (width 0) (type solid))
+ (uuid ccf6f61d-adef-4f56-8635-afdde0e3c65a)
+ )
+ (wire (pts (xy 167.64 162.56) (xy 167.64 172.72))
+ (stroke (width 0) (type solid))
+ (uuid ce742466-edd4-46aa-a268-09ca7837aa9e)
+ )
+ (wire (pts (xy 210.82 223.52) (xy 196.85 223.52))
+ (stroke (width 0) (type default))
+ (uuid cff42ad3-9891-41f1-b5a9-26e62c8b6ed6)
+ )
+ (wire (pts (xy 510.54 229.87) (xy 510.54 241.3))
+ (stroke (width 0) (type default))
+ (uuid d03eabb7-603c-4f21-80f0-cd73f2a0e7c6)
+ )
+ (wire (pts (xy 193.04 39.37) (xy 190.5 39.37))
+ (stroke (width 0) (type solid))
+ (uuid d0a0e228-d770-426d-90d0-6d77b2bfacc9)
+ )
+ (wire (pts (xy 483.87 330.2) (xy 496.57 330.2))
+ (stroke (width 0) (type solid))
+ (uuid d0eb08d4-3b57-442c-aaab-3218cd406deb)
+ )
+ (wire (pts (xy 387.35 342.9) (xy 387.35 345.44))
+ (stroke (width 0) (type solid))
+ (uuid d102614b-89e5-4267-bc0d-eb4296a691a8)
+ )
+ (wire (pts (xy 199.39 227.33) (xy 199.39 220.98))
+ (stroke (width 0) (type default))
+ (uuid d184fadb-e070-43a2-9bb7-c6e7c6d6a3b8)
+ )
+ (wire (pts (xy 288.29 204.47) (xy 295.91 204.47))
+ (stroke (width 0) (type default))
+ (uuid d20a4475-a847-40f5-a41e-963a31854dcf)
+ )
+ (wire (pts (xy 120.65 86.36) (xy 66.04 86.36))
+ (stroke (width 0) (type solid))
+ (uuid d31fd8fc-180f-456a-a428-d1931e516c3e)
+ )
+ (wire (pts (xy 175.26 340.36) (xy 158.75 340.36))
+ (stroke (width 0) (type solid))
+ (uuid d42593a6-1653-44eb-b9e4-a3114a2a221d)
+ )
+ (wire (pts (xy 187.96 177.8) (xy 187.96 184.15))
+ (stroke (width 0) (type solid))
+ (uuid d5319d7b-7d5c-430f-9806-8efb07d0e582)
+ )
+ (wire (pts (xy 481.33 350.52) (xy 431.8 350.52))
+ (stroke (width 0) (type solid))
+ (uuid d6dbe887-6c06-437b-b8f5-1269170e9f95)
+ )
+ (wire (pts (xy 140.97 133.35) (xy 140.97 129.54))
+ (stroke (width 0) (type solid))
+ (uuid d7aa63b1-60df-4b38-bf61-f0c3b5f1c3b9)
+ )
+ (wire (pts (xy 203.2 104.14) (xy 203.2 110.49))
+ (stroke (width 0) (type default))
+ (uuid d8533b1e-2348-4356-ad15-35d29892c381)
+ )
+ (wire (pts (xy 353.06 215.9) (xy 367.03 215.9))
+ (stroke (width 0) (type solid))
+ (uuid d871e967-15f4-48d9-8ceb-1a7b2b8328a6)
+ )
+ (wire (pts (xy 500.38 252.73) (xy 505.46 252.73))
+ (stroke (width 0) (type solid))
+ (uuid d8ff61d8-bc2e-4321-8951-22de06182a53)
+ )
+ (wire (pts (xy 468.63 171.45) (xy 463.55 171.45))
+ (stroke (width 0) (type default))
+ (uuid d90cf92a-5156-4545-8a8b-b11e82655a1c)
+ )
+ (wire (pts (xy 410.21 332.74) (xy 412.75 332.74))
+ (stroke (width 0) (type solid))
+ (uuid d90d0f77-dc14-49b8-972a-5e0ceaccd57f)
+ )
+ (wire (pts (xy 473.71 196.85) (xy 478.79 196.85))
+ (stroke (width 0) (type default))
+ (uuid d9a80219-baa7-4199-97fa-26b755716a7e)
+ )
+ (wire (pts (xy 466.09 100.33) (xy 468.63 100.33))
+ (stroke (width 0) (type default))
+ (uuid dab01942-7764-4024-b101-6c689dc98293)
+ )
+ (wire (pts (xy 203.2 115.57) (xy 203.2 129.54))
+ (stroke (width 0) (type default))
+ (uuid db1bf8fb-db9f-420e-b4ca-61033671154b)
+ )
+ (wire (pts (xy 242.57 39.37) (xy 242.57 52.07))
+ (stroke (width 0) (type solid))
+ (uuid db51489f-f8ef-4321-a0dd-704b511d75da)
+ )
+ (wire (pts (xy 443.23 196.85) (xy 458.47 196.85))
+ (stroke (width 0) (type default))
+ (uuid dbf718bb-9440-46cc-8da7-52cce01aef7b)
+ )
+ (wire (pts (xy 422.91 125.73) (xy 422.91 128.27))
+ (stroke (width 0) (type default))
+ (uuid dbfd7d95-c092-467b-97e7-46f79b7fdadb)
+ )
+ (wire (pts (xy 331.47 302.26) (xy 331.47 299.72))
+ (stroke (width 0) (type default))
+ (uuid dc0dd3f7-c89e-4a49-891d-d4c28c7ecf52)
+ )
+ (wire (pts (xy 379.73 158.75) (xy 374.65 158.75))
+ (stroke (width 0) (type solid))
+ (uuid dc65c4a3-ad03-4c3c-8648-8b596acf91ae)
+ )
+ (wire (pts (xy 224.79 227.33) (xy 224.79 223.52))
+ (stroke (width 0) (type default))
+ (uuid dc882a52-3737-4276-a005-29c551ad2b76)
+ )
+ (wire (pts (xy 443.23 153.67) (xy 458.47 153.67))
+ (stroke (width 0) (type default))
+ (uuid dca2615f-ab3f-47a8-bf96-d592e5ff02f1)
+ )
+ (wire (pts (xy 187.96 156.21) (xy 187.96 160.02))
+ (stroke (width 0) (type solid))
+ (uuid dd0c9388-c1e8-4d33-91a8-86115cd64229)
+ )
+ (wire (pts (xy 127 154.94) (xy 142.24 154.94))
+ (stroke (width 0) (type solid))
+ (uuid dd166bed-8760-4232-9d91-9b3b48c1fcee)
+ )
+ (wire (pts (xy 317.5 154.94) (xy 303.53 154.94))
+ (stroke (width 0) (type solid))
+ (uuid ddb663aa-9d4b-479c-b6ad-3c781f5bbbc8)
+ )
+ (wire (pts (xy 443.23 146.05) (xy 458.47 146.05))
+ (stroke (width 0) (type default))
+ (uuid dddf8361-80e0-4f41-8f77-8c6d088511c3)
+ )
+ (wire (pts (xy 105.41 130.81) (xy 105.41 133.35))
+ (stroke (width 0) (type default))
+ (uuid ddf741e4-7007-4ac8-adce-7bed08126fc3)
+ )
+ (wire (pts (xy 267.97 54.61) (xy 267.97 66.04))
+ (stroke (width 0) (type solid))
+ (uuid de998d7f-02ea-485a-8956-392eaa49038f)
+ )
+ (wire (pts (xy 157.48 309.88) (xy 161.29 309.88))
+ (stroke (width 0) (type solid))
+ (uuid dfd05655-37c3-4a8a-b4f6-d83d5a1a1c65)
+ )
+ (wire (pts (xy 276.86 195.58) (xy 274.32 195.58))
+ (stroke (width 0) (type default))
+ (uuid dff4d163-7bad-45dd-acbe-621e7bbd6b59)
+ )
+ (wire (pts (xy 242.57 22.86) (xy 267.97 22.86))
+ (stroke (width 0) (type solid))
+ (uuid e0401cef-9cf6-460c-87f3-ed5636af7e45)
+ )
+ (wire (pts (xy 443.23 229.87) (xy 458.47 229.87))
+ (stroke (width 0) (type default))
+ (uuid e057aa40-5232-40f5-9a09-a234dd77f397)
+ )
+ (wire (pts (xy 458.47 227.33) (xy 443.23 227.33))
+ (stroke (width 0) (type solid))
+ (uuid e1171889-81ad-4016-b837-13b98ab849f7)
+ )
+ (wire (pts (xy 412.75 332.74) (xy 412.75 330.2))
+ (stroke (width 0) (type solid))
+ (uuid e225f318-b520-4da2-864a-4b5adfd9bcda)
+ )
+ (wire (pts (xy 337.82 215.9) (xy 353.06 215.9))
+ (stroke (width 0) (type solid))
+ (uuid e2868f2c-61d5-479b-b25c-817b4f2aa4f0)
+ )
+ (wire (pts (xy 443.23 191.77) (xy 458.47 191.77))
+ (stroke (width 0) (type default))
+ (uuid e2da4984-660a-4cbd-addc-c2e0b0544f88)
+ )
+ (wire (pts (xy 387.35 287.02) (xy 387.35 289.56))
+ (stroke (width 0) (type default))
+ (uuid e378207b-bb69-4788-b98e-5aeb339b5d43)
+ )
+ (wire (pts (xy 276.86 149.86) (xy 288.29 149.86))
+ (stroke (width 0) (type solid))
+ (uuid e4782fb9-3317-4744-8ee8-8f0db9682a61)
+ )
+ (wire (pts (xy 242.57 264.16) (xy 259.08 264.16))
+ (stroke (width 0) (type default))
+ (uuid e479e38e-e394-41b6-b3ad-0f4658292068)
+ )
+ (wire (pts (xy 288.29 204.47) (xy 288.29 208.28))
+ (stroke (width 0) (type default))
+ (uuid e4d58775-1a1b-4c78-9ea1-ebe80baadc75)
+ )
+ (wire (pts (xy 365.76 325.12) (xy 365.76 322.58))
+ (stroke (width 0) (type default))
+ (uuid e5029e23-ebdd-4df5-b46a-78fcbc4cddcc)
+ )
+ (wire (pts (xy 492.76 255.27) (xy 492.76 252.73))
+ (stroke (width 0) (type solid))
+ (uuid e5eb3ff7-3b3c-453a-be43-2fa57a76dc31)
+ )
+ (wire (pts (xy 325.12 66.04) (xy 311.15 66.04))
+ (stroke (width 0) (type solid))
+ (uuid e5f0f53c-6fc2-441a-99d6-b7b2784be99a)
+ )
+ (wire (pts (xy 364.49 158.75) (xy 364.49 151.13))
+ (stroke (width 0) (type solid))
+ (uuid e692d4e2-c267-40fc-97a5-7668b363ccb1)
+ )
+ (wire (pts (xy 431.8 350.52) (xy 431.8 327.66))
+ (stroke (width 0) (type solid))
+ (uuid e6ccb88b-830e-44cf-a62a-d2e61ac99a9e)
+ )
+ (wire (pts (xy 466.09 194.31) (xy 466.09 184.15))
+ (stroke (width 0) (type default))
+ (uuid e76b75d5-b945-46de-8307-70c5f276dcea)
+ )
+ (wire (pts (xy 176.53 129.54) (xy 176.53 241.3))
+ (stroke (width 0) (type default))
+ (uuid e8365609-123a-4141-b874-8d337eb51447)
+ )
+ (wire (pts (xy 391.16 148.59) (xy 400.05 148.59))
+ (stroke (width 0) (type solid))
+ (uuid e8505745-5f9a-489b-83eb-66029502cb67)
+ )
+ (wire (pts (xy 500.38 125.73) (xy 500.38 130.81))
+ (stroke (width 0) (type default))
+ (uuid e9c18492-1e72-4953-9804-7211bd174244)
+ )
+ (wire (pts (xy 127 157.48) (xy 142.24 157.48))
+ (stroke (width 0) (type solid))
+ (uuid e9f7bd8e-8d07-440e-bf08-9ff55265200c)
+ )
+ (wire (pts (xy 139.7 48.26) (xy 148.59 48.26))
+ (stroke (width 0) (type solid))
+ (uuid ea7c3111-1833-4e42-8dee-cb3a28a4ec6d)
+ )
+ (wire (pts (xy 326.39 317.5) (xy 326.39 320.04))
+ (stroke (width 0) (type default))
+ (uuid eac278f6-0a18-49fc-90ff-36fee2ea4535)
+ )
+ (wire (pts (xy 325.12 36.83) (xy 325.12 48.26))
+ (stroke (width 0) (type solid))
+ (uuid eb1e98e7-8b4d-43d1-87d8-e01d2c0aa59d)
+ )
+ (wire (pts (xy 276.86 215.9) (xy 276.86 213.36))
+ (stroke (width 0) (type default))
+ (uuid ec3adcc8-9c82-46ee-8ec9-ea0a9c690814)
+ )
+ (wire (pts (xy 288.29 36.83) (xy 288.29 48.26))
+ (stroke (width 0) (type solid))
+ (uuid ec5b1e3f-c5e0-4b1e-a1d1-7b68c5862c39)
+ )
+ (wire (pts (xy 210.82 223.52) (xy 210.82 227.33))
+ (stroke (width 0) (type default))
+ (uuid eeacbccd-a9b8-423d-be87-cf15abc35a2b)
+ )
+ (wire (pts (xy 288.29 213.36) (xy 288.29 215.9))
+ (stroke (width 0) (type default))
+ (uuid eeb886b1-9ab3-4740-b0b0-bff563d4c847)
+ )
+ (wire (pts (xy 218.44 266.7) (xy 218.44 269.24))
+ (stroke (width 0) (type solid))
+ (uuid ef5305f2-a5d9-48e7-acc6-dd34b80aa4bc)
+ )
+ (wire (pts (xy 205.74 62.23) (xy 205.74 66.04))
+ (stroke (width 0) (type solid))
+ (uuid efaae7ac-2db2-4926-87d8-cf70c253358b)
+ )
+ (wire (pts (xy 201.93 156.21) (xy 201.93 160.02))
+ (stroke (width 0) (type default))
+ (uuid efff40d4-3b40-4bf9-9d6f-1111c5b5d16f)
+ )
+ (wire (pts (xy 198.12 167.64) (xy 201.93 167.64))
+ (stroke (width 0) (type default))
+ (uuid f03237f8-b55c-4be7-b0b4-cbc7bc19a626)
+ )
+ (wire (pts (xy 505.46 252.73) (xy 510.54 252.73))
+ (stroke (width 0) (type solid))
+ (uuid f065be0a-8341-4dd5-83f4-ab1ad1b64f46)
+ )
+ (wire (pts (xy 120.65 147.32) (xy 120.65 160.02))
+ (stroke (width 0) (type solid))
+ (uuid f26b7492-ae01-4e2a-b59a-5a7113883704)
+ )
+ (wire (pts (xy 483.87 107.95) (xy 481.33 107.95))
+ (stroke (width 0) (type default))
+ (uuid f32c3c17-42a6-4b3d-acde-94440b6bf7e1)
+ )
+ (wire (pts (xy 337.82 191.77) (xy 358.14 191.77))
+ (stroke (width 0) (type solid))
+ (uuid f343af37-a263-4b16-9995-9aea1d1e653e)
+ )
+ (wire (pts (xy 218.44 104.14) (xy 218.44 135.89))
+ (stroke (width 0) (type solid))
+ (uuid f4af192f-0070-4b33-a9d3-b9f8f8860ff0)
+ )
+ (wire (pts (xy 166.37 358.14) (xy 189.23 358.14))
+ (stroke (width 0) (type solid))
+ (uuid f550b1c4-fa28-41ea-b939-9c6b031d3176)
+ )
+ (wire (pts (xy 267.97 22.86) (xy 276.86 22.86))
+ (stroke (width 0) (type solid))
+ (uuid f613cffd-d5ef-40c9-b6db-b907ef0d4295)
+ )
+ (wire (pts (xy 187.96 340.36) (xy 175.26 340.36))
+ (stroke (width 0) (type solid))
+ (uuid f636a699-559a-4c68-b5b2-40e29b0cc15c)
+ )
+ (wire (pts (xy 485.14 229.87) (xy 485.14 241.3))
+ (stroke (width 0) (type default))
+ (uuid f6e23568-c33a-4083-ad0b-bc8ba86bc00e)
+ )
+ (wire (pts (xy 463.55 125.73) (xy 480.06 125.73))
+ (stroke (width 0) (type default))
+ (uuid f6fd3c23-e52a-4bcd-bb87-1027e6c203bf)
+ )
+ (wire (pts (xy 311.15 66.04) (xy 311.15 44.45))
+ (stroke (width 0) (type solid))
+ (uuid f7677b17-01bb-4871-b9cc-2c279c6318ca)
+ )
+ (wire (pts (xy 356.87 317.5) (xy 356.87 320.04))
+ (stroke (width 0) (type default))
+ (uuid f7ceb17f-88e3-4077-9182-1c5949faf7ad)
+ )
+ (wire (pts (xy 450.85 327.66) (xy 431.8 327.66))
+ (stroke (width 0) (type solid))
+ (uuid f86287b2-f5ec-4282-b2d9-8cb47a9dc974)
+ )
+ (wire (pts (xy 336.55 36.83) (xy 336.55 48.26))
+ (stroke (width 0) (type solid))
+ (uuid f869faaf-cbc2-40e2-9d89-a87a7b34c4a9)
+ )
+ (wire (pts (xy 161.29 321.31) (xy 161.29 317.5))
+ (stroke (width 0) (type solid))
+ (uuid f8af8d1d-f1fb-4119-b4f0-ddd1d2db05e5)
+ )
+ (wire (pts (xy 187.96 160.02) (xy 187.96 167.64))
+ (stroke (width 0) (type solid))
+ (uuid f921887f-efbc-42a2-91ce-ddc88bf4604e)
+ )
+ (wire (pts (xy 316.23 342.9) (xy 328.93 342.9))
+ (stroke (width 0) (type default))
+ (uuid fa0203b8-4e52-4b82-b7a0-26656131fcd6)
+ )
+ (wire (pts (xy 165.1 66.04) (xy 180.34 66.04))
+ (stroke (width 0) (type solid))
+ (uuid fad3c1bf-7849-4a7d-a6ad-1efc80dab388)
+ )
+ (wire (pts (xy 443.23 171.45) (xy 458.47 171.45))
+ (stroke (width 0) (type default))
+ (uuid fc544a19-b40b-4c7d-a8cc-c8afb47ce462)
+ )
+ (wire (pts (xy 485.14 252.73) (xy 490.22 252.73))
+ (stroke (width 0) (type solid))
+ (uuid fce7ec30-1556-4ed4-bad5-28029d0c4ab2)
+ )
+ (wire (pts (xy 236.22 22.86) (xy 236.22 29.21))
+ (stroke (width 0) (type solid))
+ (uuid fd575c2c-46ef-45c6-ad4b-846e54c298e5)
+ )
+ (wire (pts (xy 466.09 209.55) (xy 468.63 209.55))
+ (stroke (width 0) (type default))
+ (uuid fdfed3aa-f4ff-46a2-a4c6-a42f43295641)
+ )
+ (wire (pts (xy 120.65 120.65) (xy 120.65 118.11))
+ (stroke (width 0) (type default))
+ (uuid fea8c085-8913-4022-88ba-5fbd3fd0c925)
+ )
+ (wire (pts (xy 148.59 48.26) (xy 161.29 48.26))
+ (stroke (width 0) (type solid))
+ (uuid ff38ddc6-0fcd-4785-8cfd-ffcc368c48eb)
+ )
+ (wire (pts (xy 356.87 340.36) (xy 356.87 342.9))
+ (stroke (width 0) (type default))
+ (uuid ffcd49cd-05cd-42c6-8086-7f7dac735887)
+ )
+
+ (text "MOSFET temp monitor" (at 201.93 332.74 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 099abf23-a9c8-4635-a71b-adf31cbb97f5)
+ )
+ (text "<=60V" (at 208.28 104.14 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 36cc291f-11f9-4d49-9983-f50469259923)
+ )
+ (text "8seg driver rev 6" (at 478.79 386.08 0)
+ (effects (font (size 5.0038 5.0038)) (justify left bottom))
+ (uuid 4814599d-ba1e-4bd1-b100-47317bd277d1)
+ )
+ (text "I2C addr=010'0111" (at 201.93 359.41 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 79bdfdb9-80e9-4db1-98fc-dc64bb5df873)
+ )
+ (text "I2C addr=100'1000" (at 203.2 330.2 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 84563ae9-d90a-4ca8-9d7f-213ba73c3d00)
+ )
+ (text "Ilim=2A" (at 182.88 43.18 0)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 935a40b4-ffb6-48ac-b927-c476621807ae)
+ )
+ (text "protection" (at 421.64 349.25 0)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid e1fa9cce-c7ab-4591-9195-b86756d4b254)
+ )
+
+ (label "GND_RS485" (at 445.77 345.44 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 0574fbb1-c632-4d2e-8bc4-9d9ea7e99502)
+ )
+ (label "DRV4" (at 274.32 173.99 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 0755bfdc-f4ea-47c2-bc9a-038f9aa83504)
+ )
+ (label "VB2" (at 160.02 147.32 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 08d84f28-aa18-4887-ad2f-3852c520fb96)
+ )
+ (label "CTRL_AH" (at 458.47 199.39 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 09bee472-85cf-48f0-bd3b-f23173ed0f34)
+ )
+ (label "SDA" (at 458.47 209.55 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 0d449381-cdd2-4e1f-a524-a097f27e0098)
+ )
+ (label "RS485_TX_ISO" (at 359.41 347.98 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 145d6286-fd5c-4c80-943f-144ac74b493a)
+ )
+ (label "RJL3" (at 505.46 196.85 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 156bd788-db98-4dc7-92ba-02e8729c57df)
+ )
+ (label "VIN" (at 203.2 104.14 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 18414773-41fb-4219-adb6-6f945baed8ca)
+ )
+ (label "PULSE_RX" (at 458.47 153.67 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 18841883-316d-4360-a3cf-f2ad6bf3c186)
+ )
+ (label "MON_L" (at 259.08 264.16 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 1912e7ca-f794-42a2-9681-c7a3b47eb33e)
+ )
+ (label "RJL2" (at 478.79 335.28 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 19973a8e-fee1-4890-b45f-298da93f243c)
+ )
+ (label "XT2" (at 384.81 151.13 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 19b101ed-83b3-4466-aaad-1dddf109f646)
+ )
+ (label "CTRL_AL" (at 367.03 191.77 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 1bc80d8c-09b5-4773-ac4a-2618f36259a6)
+ )
+ (label "DRV3" (at 170.18 172.72 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 201fbb5f-700d-4dc0-9c8b-ef5f554ef14f)
+ )
+ (label "RX485_A" (at 490.22 330.2 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 216ec958-7029-440a-a499-6268b5c05259)
+ )
+ (label "CTRL_BL" (at 458.47 194.31 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 225a2108-82b9-4572-b2bc-be48d468255b)
+ )
+ (label "OUT_B" (at 227.33 156.21 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 230d70da-a168-4472-90ed-c56fc7f910da)
+ )
+ (label "RS485_TX" (at 458.47 158.75 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 24960c24-5f18-4f4b-aa84-7833c6301063)
+ )
+ (label "PAD" (at 322.58 39.37 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 26209ced-2241-436a-90a6-c4209ca5436a)
+ )
+ (label "RJL1D" (at 461.01 191.77 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 277f3fc8-5871-41c9-ae27-c3ff8d664b4d)
+ )
+ (label "GND_MEAS" (at 218.44 254 90) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 280d4719-09f2-41ad-8f12-783dfc932a43)
+ )
+ (label "DBG_TX" (at 454.66 107.95 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 2c320ddb-dd90-4104-98c3-f6cf87cd3a0d)
+ )
+ (label "MON_L" (at 508 140.97 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 328882bf-c74b-48c4-81e9-907ae54fe93d)
+ )
+ (label "SWDIO" (at 458.47 168.91 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 33a3ab40-b6fa-4853-a6dd-3127282ca40e)
+ )
+ (label "CTRL_AH" (at 367.03 189.23 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 357586d0-305c-4775-80bd-8303f0349fe3)
+ )
+ (label "RJL1" (at 478.79 320.04 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 3799288e-a997-4aa2-af4c-e46fb7afaa0c)
+ )
+ (label "SLED4" (at 458.47 227.33 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 37f82b09-146a-4c56-9219-3bbe512ea1ac)
+ )
+ (label "RS485_TX" (at 316.23 347.98 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 38ad6723-61e9-4e76-8152-754c245a2a34)
+ )
+ (label "MON_H_ADC" (at 467.36 125.73 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 3c006cd6-28b9-4129-bddb-c1214a0caddf)
+ )
+ (label "CTRL_BH" (at 317.5 154.94 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 400f9c3d-52af-43c5-89b0-569b94ef2442)
+ )
+ (label "SCL" (at 180.34 312.42 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 429625e0-9bd8-499f-bba4-3be5c0883afb)
+ )
+ (label "SLED6" (at 485.14 229.87 90) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 44ae6b10-d2a6-4d8f-9442-98446a5d6e6c)
+ )
+ (label "OUT_A" (at 210.82 156.21 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 47a6f76c-b01b-409e-95ae-45d67425da04)
+ )
+ (label "SWCLK" (at 458.47 171.45 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 496e772b-541c-4fa2-8bfe-e7b4bc4d15d1)
+ )
+ (label "VIN_MEAS" (at 120.65 115.57 90) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 4fe6a543-a6d9-4406-b6fc-980697f51ff0)
+ )
+ (label "RJL3" (at 524.51 320.04 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 53cbdf2c-18e1-4495-9b5a-703b1d641ab0)
+ )
+ (label "RS485_B" (at 490.22 327.66 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 53fe5f06-1d74-4f5a-bb4e-acfcfb7a97f2)
+ )
+ (label "RJL4D" (at 461.01 199.39 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 55af0d4e-a346-4a0c-92ef-8198c90a7d9c)
+ )
+ (label "VB1" (at 285.75 147.32 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 5bd762cf-9d01-4e8b-acbf-fe71affb143c)
+ )
+ (label "SLED1" (at 510.54 229.87 90) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 5c288519-3565-48ff-93c2-87e4418ea533)
+ )
+ (label "RS485_RX_ISO" (at 368.3 325.12 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 5d297fd4-350b-447a-b233-a8ffbe9fbdb6)
+ )
+ (label "SLED5" (at 458.47 219.71 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 61853209-34c7-4845-ad6a-8b4a0a99d0df)
+ )
+ (label "SDA" (at 180.34 309.88 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 629ce805-7e59-4e77-8361-f8bbb2856a94)
+ )
+ (label "RJL4D" (at 384.81 201.93 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 65c9be7e-744d-4f16-9e26-6aaa02c1e90b)
+ )
+ (label "RS485_DE_ISO" (at 359.41 327.66 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 665acaea-4eec-40fb-b3bd-aa1bf9ac0121)
+ )
+ (label "CTRL_BH" (at 367.03 215.9 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 672545da-1e38-4cb7-b60b-c3f167bce2c6)
+ )
+ (label "MON_H" (at 508 125.73 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 67ddd0e3-fe22-4f42-993e-bea9eb40625f)
+ )
+ (label "RS485_RX" (at 458.47 161.29 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 6eff75fd-6b4b-4878-ae9d-ca6d97dda837)
+ )
+ (label "MON_H" (at 78.74 123.19 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 7015ee41-8596-4a05-ae54-93b4f6dadcad)
+ )
+ (label "SWCLK" (at 454.66 102.87 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 7706670a-a27a-4c8a-ba61-08d1aa46b959)
+ )
+ (label "MON_FAULT_CURRENT" (at 467.36 133.35 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 79d49efc-e34b-4695-a766-148eb42cddd6)
+ )
+ (label "DRV_A" (at 187.96 158.75 90) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 7eace46e-c193-40c3-ae9a-137a79e25a5c)
+ )
+ (label "SCL" (at 458.47 207.01 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 80b1460e-460e-4c10-bdb5-8ea63115c325)
+ )
+ (label "RS485_B" (at 426.72 327.66 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 85447fe6-db17-4640-83e3-cf9b2b9149e3)
+ )
+ (label "CTRL_BL" (at 317.5 157.48 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 8d6737f3-b48a-4078-96c6-fcd1989b433c)
+ )
+ (label "RJL4" (at 505.46 209.55 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 8dcc27eb-5f23-4c3b-b678-c6e62e8a524a)
+ )
+ (label "VISO_SENSE" (at 458.47 201.93 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 8eac9c2e-f3b5-4f22-97cb-a652d8665ab4)
+ )
+ (label "SLED3" (at 500.38 229.87 90) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 8effe97a-64b5-4c38-aa28-e4c186b701b7)
+ )
+ (label "RJL4" (at 524.51 335.28 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 8f962979-ee85-49e4-8082-454dd41568c0)
+ )
+ (label "RS485_DE" (at 316.23 327.66 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 91291e02-fc2b-48e4-9dcc-3d0b9654e4cc)
+ )
+ (label "RJL1" (at 505.46 171.45 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 917c729c-b3a9-4bf6-8822-53bc3a139d56)
+ )
+ (label "DRV1" (at 170.18 143.51 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 98d51a89-4a64-40ac-8469-0d32838985d5)
+ )
+ (label "SWDIO" (at 454.66 105.41 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid 9a7d37c6-ee29-4f24-9abd-ab9bc9491241)
+ )
+ (label "RS485_DE" (at 458.47 166.37 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid 9eec38ed-2bb9-483f-b57d-eb2cc05740c0)
+ )
+ (label "GND_MEAS" (at 203.2 325.12 90) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid a3526793-35ce-4d98-b4af-6538d6810937)
+ )
+ (label "SLED2" (at 458.47 229.87 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid a7ae95e1-b645-46c6-94e8-b3234a9f2118)
+ )
+ (label "RJL3D" (at 384.81 204.47 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid a95d564d-40ec-4e3f-b500-d21e98c96582)
+ )
+ (label "RS485_RX" (at 316.23 322.58 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid ab7a45b8-fb36-48c4-82bb-a55a35b0b2ee)
+ )
+ (label "SLED3" (at 458.47 217.17 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid ad986c57-924f-4d25-8f77-f7b3a7338d8e)
+ )
+ (label "RJL1D" (at 458.47 196.85 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid adc9d182-bae3-4fd5-8fcc-65124eb01494)
+ )
+ (label "PULSE_RX" (at 309.88 204.47 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid b0163722-2d04-4d9e-8b8e-c82ab8bc95fc)
+ )
+ (label "SLED2" (at 505.46 229.87 90) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid b707c616-f63b-4bd5-92e0-a39ea84ba878)
+ )
+ (label "SLED4" (at 495.3 229.87 90) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid bb8abb6b-7ab8-4487-81b2-005129acf8b2)
+ )
+ (label "DBG_RX" (at 495.3 100.33 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid bd7b0100-8486-4945-8d64-81060133df87)
+ )
+ (label "VBOOT_MON" (at 458.47 143.51 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid bf62ea3a-0311-4619-9ea8-77b0a2ff5d2a)
+ )
+ (label "CTRL_AH" (at 127 154.94 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid c0c3479b-93e9-4b0d-bdb1-ceb1b5f3a8ee)
+ )
+ (label "SLED6" (at 458.47 224.79 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid c0ef4e83-adff-4d41-a004-9695702fe13c)
+ )
+ (label "CTRL_AL" (at 458.47 191.77 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid c28f2259-e4ff-4205-b636-37b47cb0257c)
+ )
+ (label "RJL3D" (at 461.01 196.85 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid c31f86cd-f2d9-4a6d-b2dd-049d6fde3be8)
+ )
+ (label "CTRL_BH" (at 384.81 217.17 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid c3c97073-e2b3-4110-925f-8fa15f422150)
+ )
+ (label "DRV_B" (at 248.92 162.56 90) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid c5c53f3a-b120-4925-9561-879d5c06fb33)
+ )
+ (label "VIN_INPUT" (at 118.11 147.32 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid c85cc1d5-a1dd-4524-bcfd-dd5f2c99a578)
+ )
+ (label "DBG_RX" (at 458.47 214.63 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid c9a16bb2-0a83-4196-90f8-faf962db592d)
+ )
+ (label "ILIM" (at 191.77 39.37 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid cc9d95d7-9d37-45bf-b026-1a8b5ce726e0)
+ )
+ (label "VIN_MON" (at 161.29 48.26 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid cc9decaf-d4d1-4b6d-b004-bbb42df88933)
+ )
+ (label "CTRL_AL" (at 127 157.48 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid cde98ef1-ca82-4092-a339-bfdc21451844)
+ )
+ (label "MON_L_ADC" (at 467.36 140.97 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid cfde77d1-d03c-4a93-b6db-0165a90aedc1)
+ )
+ (label "DBG_TX" (at 458.47 212.09 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid dc463bbb-59ce-42ad-895f-155f85f2f6d8)
+ )
+ (label "DRV2" (at 274.32 143.51 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid dd4ac302-005b-4ff3-a407-a7ba12301d7e)
+ )
+ (label "XT1" (at 384.81 138.43 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid ddee5012-a984-4892-b735-f91c476ddab1)
+ )
+ (label "GND_MEAS" (at 158.75 340.36 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid e14dd824-6fc2-4f09-8e2a-ee74bb119843)
+ )
+ (label "RX485_A" (at 426.72 330.2 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid e3e6d2a8-214e-4e58-a100-aa7f3d3cd100)
+ )
+ (label "SLED5" (at 490.22 229.87 90) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid e415534b-78ea-494e-bc69-9742e7de0752)
+ )
+ (label "RJL2D" (at 458.47 151.13 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid e69b0e36-6c48-4a07-a9ba-5528d4744bfc)
+ )
+ (label "RJL2" (at 505.46 184.15 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid e7367b4a-5b80-4547-bded-c453f0d31cf3)
+ )
+ (label "VISO_SENSE" (at 316.23 342.9 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid eb080fe5-2592-4d6f-919b-c476306fed2a)
+ )
+ (label "RJL2D" (at 461.01 194.31 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left bottom))
+ (uuid ed17fa3c-bc40-45e5-bd68-c33be310da8d)
+ )
+ (label "SLED1" (at 458.47 222.25 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid eeca66e4-91db-4e32-95d1-8b1c19eec486)
+ )
+ (label "CTRL_BL" (at 367.03 218.44 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid f43649c6-fb52-49d2-8165-0887d89f8f5f)
+ )
+ (label "VIN_MON" (at 458.47 146.05 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right bottom))
+ (uuid f8a5f362-44b9-4a6f-85fd-b241f062a0fe)
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 218.44 264.16 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c3d5dd5)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 213.4616 264.16 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 215.773 264.16 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 218.44 264.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 218.44 264.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C908108" (id 4) (at 218.44 264.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Milliohm" (id 5) (at 218.44 264.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "HoK2510-5-U" (id 6) (at 218.44 264.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 23796224-033f-4a98-9250-d2168e16e634))
+ (pin "2" (uuid 93d6c5fc-da5d-4599-86b7-843e415dba5c))
+ )
+
+ (symbol (lib_id "driver-rescue:IRF7480M-Transistor_FET") (at 185.42 172.72 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c3d9dbf)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 190.627 171.5516 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 190.627 173.863 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Footprint" "" (id 2) (at 185.42 172.72 0)
+ (effects (font (size 1.27 1.27) italic) hide)
+ )
+ (property "Datasheet" "https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30" (id 3) (at 185.42 172.72 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Mfg" "Wuxi NCE" (id 4) (at 185.42 172.72 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "NCEP60T15G" (id 5) (at 185.42 172.72 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C216776" (id 6) (at 185.42 172.72 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 80dad9de-9e3c-400e-80dd-4c9a3ec8da64))
+ (pin "2" (uuid 1b010a84-16b4-40c3-ae43-3d3b52206d22))
+ (pin "3" (uuid cdc65d90-2444-42e1-a91d-e68c47ac2c4b))
+ )
+
+ (symbol (lib_id "driver-rescue:IRF7480M-Transistor_FET") (at 185.42 143.51 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c3da5d0)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 190.627 142.3416 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 190.5 139.7 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Footprint" "" (id 2) (at 185.42 143.51 0)
+ (effects (font (size 1.27 1.27) italic) hide)
+ )
+ (property "Datasheet" "https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30" (id 3) (at 185.42 143.51 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Mfg" "Wuxi NCE" (id 4) (at 185.42 143.51 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "NCEP60T15G" (id 5) (at 185.42 143.51 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C216776" (id 6) (at 185.42 143.51 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 64053ca4-7bea-476d-8851-57f74be3177e))
+ (pin "2" (uuid 5e7438f8-0ab6-4530-8d3b-531f928ccdaa))
+ (pin "3" (uuid f572eed3-95dc-40d7-8ed7-2154f43200ad))
+ )
+
+ (symbol (lib_id "driver-rescue:IRF7480M-Transistor_FET") (at 251.46 143.51 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c3daac4)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 246.2276 142.3416 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 262.89 138.43 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 251.46 143.51 0)
+ (effects (font (size 1.27 1.27) italic) hide)
+ )
+ (property "Datasheet" "https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30" (id 3) (at 251.46 143.51 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Mfg" "Wuxi NCE" (id 4) (at 251.46 143.51 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "NCEP60T15G" (id 5) (at 251.46 143.51 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C216776" (id 6) (at 251.46 143.51 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid b9634f70-479a-479b-808f-2dc471488452))
+ (pin "2" (uuid ee9002e1-846c-46b0-a234-61ebfed7fa4c))
+ (pin "3" (uuid 27456cba-3e4a-4d6b-abb8-d68e155561fd))
+ )
+
+ (symbol (lib_id "driver-rescue:IRF7480M-Transistor_FET") (at 251.46 173.99 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c3db5e6)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 246.2276 172.8216 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 262.89 168.91 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 251.46 173.99 0)
+ (effects (font (size 1.27 1.27) italic) hide)
+ )
+ (property "Datasheet" "https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30" (id 3) (at 251.46 173.99 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Mfg" "Wuxi NCE" (id 4) (at 251.46 173.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "NCEP60T15G" (id 5) (at 251.46 173.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C216776" (id 6) (at 251.46 173.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid d401c81b-75ba-4afa-8877-60f845b6be8c))
+ (pin "2" (uuid f26c9b94-3fcf-47f5-91e4-35e74a5f67e6))
+ (pin "3" (uuid dc14b37d-0976-468a-a164-2ef490af6c0b))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 295.91 167.64 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c3e47f9)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 295.91 173.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 295.783 172.0342 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 295.91 167.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 295.91 167.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 9652719c-2749-444a-b6d3-91926c784ac1))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 149.86 167.64 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c41e390)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 149.86 173.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 149.987 172.0342 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 149.86 167.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 149.86 167.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 1ddd331c-ef19-4274-95c8-5a6b6f955b74))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 218.44 279.4 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c45eb4a)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 218.44 285.75 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 218.567 283.7942 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 218.44 279.4 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 218.44 279.4 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 9d89947d-c33b-4c7b-b76d-4824ea0309f4))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 139.7 44.45 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c4aaec7)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 144.78 44.45 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 142.24 44.45 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 139.7 44.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 139.7 44.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 139.7 44.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid dbf9c14b-c438-4535-80cf-2643c8660328))
+ (pin "2" (uuid 18d76397-1feb-4db6-a930-3bfe4adc24ea))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 139.7 52.07 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c4aaecd)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 144.78 52.07 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 142.24 52.07 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 139.7 52.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 139.7 52.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 139.7 52.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 11ffb123-6b55-4cf1-aec8-e0f01141712e))
+ (pin "2" (uuid f558f775-0c4f-4986-a85a-9abc67dc2223))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 148.59 52.07 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c4aaedb)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 150.9268 50.9016 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 150.9268 53.213 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 148.59 52.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 148.59 52.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 148.59 52.07 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 980e0b24-bcfd-4d5f-a900-050acfa26be7))
+ (pin "2" (uuid 5ad5f706-398d-4b0e-96f6-812e87dc1fc2))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 133.35 66.04 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c4d989c)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 127 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 128.9558 66.167 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 133.35 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 133.35 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2962c5eb-c560-40f7-aaef-5bbbd387f6ff))
+ )
+
+ (symbol (lib_id "driver-rescue:Conn_01x02-Connector_Generic") (at 394.97 27.94 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c533914)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 396.9766 28.1432 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 396.9766 30.4546 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 394.97 27.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 394.97 27.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 394.97 27.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid f8203b53-cb74-41fd-a243-5c5bd7783960))
+ (pin "2" (uuid 9c77cb1c-5ca2-451c-bb68-b12c4c65296c))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 389.89 30.48 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c55ea3d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 389.89 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 390.017 34.8742 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 389.89 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 389.89 30.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 6f7d8b37-dd60-42b0-ad1e-ea4dc3051cf7))
+ )
+
+ (symbol (lib_id "driver-rescue:MountingHole-Mechanical") (at 433.07 44.45 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c580f0a)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 435.61 43.2816 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 435.61 45.593 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 433.07 44.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 433.07 44.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 433.07 44.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 433.07 44.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "driver-rescue:MountingHole-Mechanical") (at 433.07 49.53 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c580f10)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 435.61 48.3616 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 435.61 50.673 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 433.07 49.53 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 433.07 49.53 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 433.07 49.53 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 433.07 49.53 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "driver-rescue:MountingHole-Mechanical") (at 433.07 54.61 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c580f16)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 435.61 53.4416 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 435.61 55.753 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 433.07 54.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 433.07 54.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 433.07 54.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 433.07 54.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "driver-rescue:MountingHole-Mechanical") (at 433.07 59.69 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c580f1c)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 435.61 58.5216 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 435.61 60.833 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 433.07 59.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 433.07 59.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 433.07 59.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 433.07 59.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 378.46 50.8 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c5bbf20)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 380.7968 49.6316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 381 52.07 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 378.46 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 378.46 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 378.46 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 64e9ecef-d920-4ed1-8753-2f9e83f4d6d9))
+ (pin "2" (uuid 202825f9-182f-4ec6-b966-f5661a54a03f))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 388.62 50.8 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c5bd76d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 390.9568 49.6316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 391.16 52.07 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 388.62 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 388.62 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 388.62 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 6f3a04aa-5f36-476a-a4aa-c84eafd867e4))
+ (pin "2" (uuid 111c89d5-7555-4c3c-af0a-6b78b5714713))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 398.78 50.8 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c5c8d7a)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 401.1168 49.6316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 401.32 52.07 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 398.78 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 398.78 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 398.78 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid f63c0291-4dd9-4418-b599-6d50ba864879))
+ (pin "2" (uuid 2745cd36-50bf-459a-8afb-227ded786247))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 408.94 50.8 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c5c9980)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 411.2768 49.6316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 411.48 52.07 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 408.94 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 408.94 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 408.94 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 4e63333e-7e0f-4b6f-a4c9-f1df05bab3dd))
+ (pin "2" (uuid 0f3dc093-d469-4616-8a93-190823b8f52c))
+ )
+
+ (symbol (lib_id "driver-rescue:+3V3-power") (at 393.7 45.72 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c5cb59e)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 393.7 49.53 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 394.081 41.3258 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 393.7 45.72 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 393.7 45.72 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 48f3f53b-0d9c-4aeb-a3ce-50a69e40e52d))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 393.7 55.88 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c5deabd)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 393.7 62.23 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 393.827 60.2742 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 393.7 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 393.7 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 86619ae4-6b87-465e-b905-8305063d304e))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 240.03 275.59 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c5f270f)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 242.3668 274.4216 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 242.57 276.86 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 240.03 275.59 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 240.03 275.59 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 240.03 275.59 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid bc4b406e-4caf-4cd9-aa68-e80bb1b026e9))
+ (pin "2" (uuid 83390909-bfe8-4c48-a837-e00ae1d30ac5))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 240.03 278.13 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c5f5707)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 240.03 284.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 240.157 282.5242 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 240.03 278.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 240.03 278.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3710f939-eb7c-4813-83a0-1a50474a7f74))
+ )
+
+ (symbol (lib_id "driver-rescue:MAX485E-Interface_UART") (at 400.05 327.66 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c607f28)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 393.7 316.23 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 405.13 316.23 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 400.05 345.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "https://datasheets.maximintegrated.com/en/ds/MAX1487E-MAX491E.pdf" (id 3) (at 400.05 326.39 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C269866" (id 4) (at 400.05 327.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Gatemode" (id 5) (at 400.05 327.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "YD3082E" (id 6) (at 400.05 327.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 7) (at 400.05 327.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid f54e93e8-6ee1-4bbf-b079-a3dc81b3c5e6))
+ (pin "2" (uuid 9bfdb89e-b0d9-4c53-a136-176114f199bc))
+ (pin "3" (uuid 076d0370-ced7-471e-8ba6-d99c3798d536))
+ (pin "4" (uuid dfce56b9-062f-479a-ab47-3667ade7b12f))
+ (pin "5" (uuid 5d05a794-a8aa-4f7d-a6a2-14e9a95efc16))
+ (pin "6" (uuid aaf8b0da-5e87-496e-b00f-b74f8178430a))
+ (pin "7" (uuid 4072643c-e3d5-425f-9bd3-69068a72690a))
+ (pin "8" (uuid fee95478-76e3-476f-95e6-feb9f0c9936e))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 140.97 135.89 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c60d685)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 138.6332 134.7216 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 138.43 137.16 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 140.97 135.89 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 140.97 135.89 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 140.97 135.89 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 71dfee39-2ad7-42ac-b326-41462dfd401b))
+ (pin "2" (uuid 5c39beef-58e8-45d3-a1e9-cc217aa2ae6b))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 285.75 154.94 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c61defc)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 283.4132 153.7716 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 283.21 156.21 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 285.75 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 285.75 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C107182" (id 4) (at 285.75 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Yageo" (id 5) (at 285.75 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "CC1206KKX7R0BB474" (id 6) (at 285.75 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 6bdda9c2-f78e-49f2-b7f2-e5942e62937a))
+ (pin "2" (uuid e04e5544-5c3d-4856-bb2b-54d5de65ded9))
+ )
+
+ (symbol (lib_id "driver-rescue:Crystal_GND24-Device") (at 374.65 144.78 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c62f3e9)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 368.5286 145.9484 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 368.5286 143.637 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 374.65 144.78 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 374.65 144.78 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C400090" (id 4) (at 374.65 144.78 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "TAE" (id 5) (at 374.65 144.78 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "TAXM8M4RDBCCT2T" (id 6) (at 374.65 144.78 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 666dbb3a-00c2-43be-8d88-978a5edfe567))
+ (pin "2" (uuid 81218867-8513-4cc4-903b-810e65c4f31f))
+ (pin "3" (uuid 57bedc43-2415-4a56-bdfc-6fcf8ff39926))
+ (pin "4" (uuid 52bb4ff6-75dd-4965-8f09-959e2f50d307))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 369.57 138.43 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c6343c3)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 368.4016 136.0932 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 370.84 135.89 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 369.57 138.43 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 369.57 138.43 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C38523" (id 4) (at 369.57 138.43 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Samsung" (id 5) (at 369.57 138.43 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "CL10C120JB8NNNC" (id 6) (at 369.57 138.43 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 60367b93-27b9-4b14-931c-e621ba89e2eb))
+ (pin "2" (uuid 4be00400-61ce-41dd-9114-2973bc7e5d22))
+ )
+
+ (symbol (lib_id "driver-rescue:+3V3-power") (at 190.5 360.68 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c63ab1c)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 194.31 360.68 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 184.15 360.68 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 190.5 360.68 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 190.5 360.68 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid b4d8bf01-e666-49f9-b878-4b2292eeeec9))
+ )
+
+ (symbol (lib_id "driver-rescue:RJ45_LED_Shielded-Connector") (at 461.01 327.66 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c63ec4a)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 461.01 342.2396 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 461.01 344.551 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 461.01 328.295 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 461.01 328.295 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C133529" (id 4) (at 461.01 327.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Ckmtw" (id 5) (at 461.01 327.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "C133529" (id 6) (at 461.01 327.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 9007a787-4515-4982-a624-018ebe9d7ec3))
+ (pin "10" (uuid e7fb5517-6fdd-452e-8169-f809a7400258))
+ (pin "11" (uuid 6fe0405c-5e1f-420e-9de7-57eece134b6d))
+ (pin "12" (uuid 8cc35177-c912-42d6-9ef7-bf8ea028dfd3))
+ (pin "2" (uuid 53e590ba-b397-4ef9-8e13-c6fe14c2eab9))
+ (pin "3" (uuid ba051897-9b55-4a9b-be9b-d996e8e39337))
+ (pin "4" (uuid d2ce95d3-957f-4c00-ae04-98854385b4c5))
+ (pin "5" (uuid e97f7ee6-7da6-45a2-a876-dfd71daf49e8))
+ (pin "6" (uuid 66e516da-7554-49fb-a31c-e15726137069))
+ (pin "7" (uuid ecb1c099-63b2-4292-8326-28808c9f6c98))
+ (pin "8" (uuid 645ed131-14d5-4beb-aeae-4d215d66c626))
+ (pin "9" (uuid 9f22472c-a8b3-4b07-a511-6fd5fe00f9a2))
+ (pin "SH" (uuid 33a59a5e-9a6f-4e64-925e-702af7b14d6c))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 369.57 151.13 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c645e08)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 370.7384 153.4668 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 368.3 153.67 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 369.57 151.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 369.57 151.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C38523" (id 4) (at 369.57 151.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Samsung" (id 5) (at 369.57 151.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "CL10C120JB8NNNC" (id 6) (at 369.57 151.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid c0852b0e-9373-4629-b33e-6e39641ce77e))
+ (pin "2" (uuid b7169c38-e3aa-4a1a-9b36-09423aeec313))
+ )
+
+ (symbol (lib_id "driver-rescue:MountingHole-Mechanical") (at 433.07 24.13 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c68e1b2)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 435.61 22.9616 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 435.61 25.273 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 433.07 24.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 433.07 24.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 433.07 24.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 433.07 24.13 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "driver-rescue:MountingHole-Mechanical") (at 433.07 29.21 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c68f0b2)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 435.61 28.0416 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 435.61 30.353 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 433.07 29.21 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 433.07 29.21 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 433.07 29.21 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 433.07 29.21 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "driver-rescue:MountingHole-Mechanical") (at 433.07 34.29 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c6913f3)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 435.61 33.1216 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 435.61 35.433 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 433.07 34.29 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 433.07 34.29 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 433.07 34.29 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 433.07 34.29 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "driver-rescue:MountingHole-Mechanical") (at 433.07 39.37 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c6916d1)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 435.61 38.2016 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 435.61 40.513 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 433.07 39.37 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 433.07 39.37 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 433.07 39.37 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 433.07 39.37 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 374.65 158.75 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c6b0329)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 374.65 165.1 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 374.777 163.1442 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 374.65 158.75 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 374.65 158.75 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid e44b999f-ee63-44c4-93b9-25688283393a))
+ )
+
+ (symbol (lib_id "driver-rescue:+3V3-power") (at 240.03 273.05 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005c7621fb)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 240.03 276.86 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 240.411 268.6558 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 240.03 273.05 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 240.03 273.05 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid a88f329d-1198-4df8-a3e9-f385967351e6))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 387.35 340.36 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005cc2b8ef)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 382.0414 339.1916 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 382.0414 341.503 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 387.35 340.36 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 387.35 340.36 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 387.35 340.36 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 5da3522b-ee74-4eef-866d-398eaddf0356))
+ (pin "2" (uuid a556f95a-bad0-41e0-bbdc-27831be94c24))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 386.08 314.96 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005cc58c3c)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 383.7432 313.7916 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 383.54 316.23 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 386.08 314.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 386.08 314.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 386.08 314.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 86406d4d-f121-448c-9119-119ddb6c89d2))
+ (pin "2" (uuid 3fcfcd1f-8e51-4201-887c-9fac316ba94e))
+ )
+
+ (symbol (lib_id "driver-rescue:Conn_01x04-Connector_Generic") (at 194.31 358.14 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005cdbf041)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 196.342 358.3432 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 187.96 351.79 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 194.31 358.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 194.31 358.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 194.31 358.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid a5ddd10c-6306-48ac-be4b-ab6cd89c0b98))
+ (pin "2" (uuid 3bb7c7b5-1a91-4635-b7a9-54ffb301fa29))
+ (pin "3" (uuid 5e0d7a40-f371-43bf-83ac-d9b12ae2a630))
+ (pin "4" (uuid 6129e270-6c1b-4385-a16e-190f6ca9b12b))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 189.23 363.22 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005cdc9131)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 189.23 369.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 189.357 367.6142 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 189.23 363.22 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 189.23 363.22 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 4fcb86c6-6852-46e7-86a9-c13c9108354f))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 153.67 314.96 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005ce0d5aa)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 155.1686 313.7916 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 155.1686 316.103 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 153.67 314.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 153.67 314.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 153.67 314.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2d59bd7c-9558-4c03-8a5c-9614daec83b1))
+ (pin "2" (uuid d7c4c9b8-a474-4fde-af08-1bb10c49e31d))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 161.29 314.96 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005ce10e6a)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 162.7886 313.7916 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 162.7886 316.103 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 161.29 314.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 161.29 314.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 161.29 314.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 44292cb9-79c5-46b9-ae6d-6a781441cdc1))
+ (pin "2" (uuid 8e240fab-6f1f-4da3-bfe5-4f22d696ddcc))
+ )
+
+ (symbol (lib_id "driver-rescue:+3V3-power") (at 157.48 307.34 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005cf808d0)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 157.48 311.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 157.861 302.9458 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 157.48 307.34 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 157.48 307.34 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid e5401c6e-dd1d-4252-a018-628e1304bbc5))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 203.2 312.42 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005cfc5cf2)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 205.5368 311.2516 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 205.74 313.69 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 203.2 312.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 203.2 312.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 203.2 312.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 1ed56e89-25cc-407f-843a-08cc086df96d))
+ (pin "2" (uuid fd2137a0-8ed1-4cf9-96c1-1e74b7b0bcc6))
+ )
+
+ (symbol (lib_id "driver-rescue:+3V3-power") (at 203.2 309.88 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005cfc5cfe)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 203.2 313.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 203.581 305.4858 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 203.2 309.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 203.2 309.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 74b1eb99-2d7a-4815-85b0-8bdb4c32a009))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 358.14 201.93 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d05213d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 358.14 208.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 358.267 206.3242 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 358.14 201.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 358.14 201.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3d8ad3a0-de73-4747-8686-6c97d587e8a2))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 358.14 196.85 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d0524f4)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 359.41 200.66 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 360.68 196.85 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 358.14 196.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 358.14 196.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 358.14 196.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 5d7d362b-52ca-4136-a158-5d95899f35cc))
+ (pin "2" (uuid c46c0903-1fdb-4a8d-b1e7-c65842906490))
+ )
+
+ (symbol (lib_id "driver-rescue:LED_Small_ALT-Device") (at 500.38 250.19 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d120495)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 500.38 247.65 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 498.6528 249.047 90)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Footprint" "" (id 2) (at 500.38 250.19 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 500.38 250.19 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 500.38 250.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 8928f652-88ec-4ae5-a412-51c17e5185da))
+ (pin "2" (uuid 6cd7f2cf-2a7d-4f1f-9953-8673d2ee8993))
+ )
+
+ (symbol (lib_id "driver-rescue:LED_Small_ALT-Device") (at 490.22 250.19 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d120f6f)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 490.22 247.65 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 488.4928 249.047 90)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Footprint" "" (id 2) (at 490.22 250.19 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 490.22 250.19 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 490.22 250.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid a745534b-10ec-4ce9-ba8a-1d9938b69abc))
+ (pin "2" (uuid 86364393-9cde-4087-bf52-40b759b84544))
+ )
+
+ (symbol (lib_id "driver-rescue:LED_Small_ALT-Device") (at 495.3 250.19 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d12221b)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 495.3 247.65 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 488.95 245.11 90)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Footprint" "" (id 2) (at 495.3 250.19 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 495.3 250.19 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 495.3 250.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid b72e264e-6d2c-49c6-8dc9-f3e0f36dd144))
+ (pin "2" (uuid 9fc7546c-b70d-46d9-b8b9-ecf4c23f35f0))
+ )
+
+ (symbol (lib_id "driver-rescue:LED_Small_ALT-Device") (at 485.14 250.19 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d12562a)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 485.14 247.65 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 483.4128 249.047 90)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Footprint" "" (id 2) (at 485.14 250.19 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 485.14 250.19 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 485.14 250.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 23ba2cf1-94e5-4e18-a623-e867565fe258))
+ (pin "2" (uuid 121c38fb-0535-4d34-af74-43e5dac089ae))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 417.83 345.44 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d13a776)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 416.6616 343.9414 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 418.973 343.9414 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 417.83 345.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 417.83 345.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 417.83 345.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 5587bd9d-0830-4685-aad7-c460109bdee4))
+ (pin "2" (uuid 2c70dcbf-bfa9-4ada-a235-3af4b0f2f121))
+ )
+
+ (symbol (lib_id "driver-rescue:TestPoint_Alt-Connector") (at 345.44 36.83 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d1812fd)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 346.9132 33.8328 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 346.9132 36.1442 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 350.52 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 350.52 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 345.44 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 345.44 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 1314379a-b18d-4085-bf76-37132d43ec54))
+ )
+
+ (symbol (lib_id "driver-rescue:TestPoint_Alt-Connector") (at 218.44 104.14 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d181b83)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 219.9132 101.1428 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 219.9132 103.4542 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 223.52 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 223.52 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 218.44 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 218.44 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 8b4b956d-869e-4015-bb98-be0d84a7cc9c))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 485.14 243.84 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d18f534)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 487.68 242.57 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 482.6 245.11 90)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Footprint" "" (id 2) (at 485.14 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 485.14 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 485.14 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 29775443-f852-4c08-a698-aeecf1cd4f34))
+ (pin "2" (uuid 375068d2-3c10-46df-aeb0-848ca90f04d7))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 490.22 243.84 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d18f810)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 492.76 242.57 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 487.68 245.11 90)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Footprint" "" (id 2) (at 490.22 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 490.22 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 490.22 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 020c8b69-67bd-460d-94f9-72bd7a18d391))
+ (pin "2" (uuid 03baf2f1-53c8-4eb8-845b-f0aeebab1c65))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 495.3 243.84 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d190038)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 497.84 242.57 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 492.76 245.11 90)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Footprint" "" (id 2) (at 495.3 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 495.3 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 495.3 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid ced2268a-67b1-48c2-94f8-a91d12a35bba))
+ (pin "2" (uuid 35e7d7b6-065d-432c-8427-640e539c8161))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 500.38 243.84 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d1904ed)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 502.92 242.57 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 497.84 245.11 90)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Footprint" "" (id 2) (at 500.38 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 500.38 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 500.38 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 6c8955fc-f725-458a-9183-e21309bc3216))
+ (pin "2" (uuid de7e24ee-6a76-4104-a99d-84d7bace9221))
+ )
+
+ (symbol (lib_id "driver-rescue:TestPoint_Alt-Connector") (at 337.82 191.77 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d199bac)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 339.2932 192.4304 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 339.2932 194.7418 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 332.74 191.77 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 332.74 191.77 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 337.82 191.77 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 337.82 191.77 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 6568e67f-02a3-4bd4-adff-3fdad88e6617))
+ )
+
+ (symbol (lib_id "driver-rescue:TestPoint_Alt-Connector") (at 166.37 355.6 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d19d2dc)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 167.8432 352.6028 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 167.8432 354.9142 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 171.45 355.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 171.45 355.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 166.37 355.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 166.37 355.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2467b406-1a5a-4622-874f-e994f4de8c1d))
+ )
+
+ (symbol (lib_id "driver-rescue:TestPoint_Alt-Connector") (at 166.37 358.14 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d19db61)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 167.8432 358.8004 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 167.8432 361.1118 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 161.29 358.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 161.29 358.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 166.37 358.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 166.37 358.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid fdce3709-5ba2-48cb-9c5d-318dc30c1b81))
+ )
+
+ (symbol (lib_id "driver-rescue:TestPoint_Alt-Connector") (at 166.37 368.3 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d19e9f0)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 167.8432 365.3028 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 167.8432 367.6142 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 171.45 368.3 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 171.45 368.3 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 166.37 368.3 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 166.37 368.3 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid d37974ea-5ca4-44a9-a2ef-59a0ea09f41f))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 166.37 368.3 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d19f330)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 166.37 374.65 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 166.497 372.6942 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 166.37 368.3 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 166.37 368.3 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 671e776f-91dc-40e0-a162-edf43b26fa06))
+ )
+
+ (symbol (lib_id "driver-rescue:TestPoint_Alt-Connector") (at 340.36 66.04 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d1c82a7)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 341.8332 63.0428 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 341.8332 65.3542 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 345.44 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 345.44 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 340.36 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 340.36 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 483273f5-2902-496f-8991-5d85f241bebd))
+ )
+
+ (symbol (lib_id "driver-rescue:TestPoint_Alt-Connector") (at 337.82 201.93 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d1ed8ba)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 339.2932 198.9328 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 339.2932 201.2442 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 342.9 201.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 342.9 201.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 337.82 201.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 337.82 201.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 41d4b9f6-4afe-4a38-b57d-cf2276c2caf4))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 337.82 201.93 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d1ed8c0)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 337.82 208.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 337.947 206.3242 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 337.82 201.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 337.82 201.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid d44b292c-5eee-46af-97e1-74c63126df09))
+ )
+
+ (symbol (lib_id "driver-rescue:MCP9804_MSOP-Sensor_Temperature") (at 187.96 326.39 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d7751ad)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 180.34 314.96 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 198.12 323.85 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 162.56 339.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/22203b.pdf" (id 3) (at 181.61 314.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C94847" (id 4) (at 187.96 326.39 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Microchip" (id 5) (at 187.96 326.39 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "MCP9808-E/MS" (id 6) (at 187.96 326.39 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 073b5ac0-7a47-4359-a016-d83ed8f77235))
+ (pin "2" (uuid 651b609a-e944-4b7e-b366-506f2191c16c))
+ (pin "3" (uuid 47702598-48d5-4c5e-88be-dae0294bd502))
+ (pin "4" (uuid 28d95359-74cd-4821-a685-d177efe7ab93))
+ (pin "5" (uuid 45827d20-21bc-452f-8e76-c5fd9c2de38d))
+ (pin "6" (uuid b5eeefcd-59a2-482a-b363-356d8b3fec09))
+ (pin "7" (uuid 78dd1c6f-c173-4e10-9ae5-4097aff361db))
+ (pin "8" (uuid 30f93365-f6db-43ca-831d-e5a836931673))
+ )
+
+ (symbol (lib_id "driver-rescue:+3V3-power") (at 187.96 313.69 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005d958091)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 187.96 317.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 188.341 309.2958 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 187.96 313.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 187.96 313.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3dd24eda-7f85-4083-8eb8-902575315018))
+ )
+
+ (symbol (lib_id "driver-rescue:RJ45_LED_Shielded-Connector") (at 506.73 327.66 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005dc186f5)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 506.73 342.2396 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 506.73 344.551 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 506.73 328.295 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 506.73 328.295 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C133529" (id 4) (at 506.73 327.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Ckmtw" (id 5) (at 506.73 327.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "C133529" (id 6) (at 506.73 327.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid c61daae1-91e4-4893-875f-ddbcd61ab22a))
+ (pin "10" (uuid 379c40a6-8d0e-40f3-b745-fe01ed344b58))
+ (pin "11" (uuid 47fbcc09-af19-491d-aca9-5d7164a27cb9))
+ (pin "12" (uuid 02ac824b-f0b1-4f27-8b9a-43df45ada1b3))
+ (pin "2" (uuid cdb32962-3b21-4d49-a6d9-cbeb0c4e8be7))
+ (pin "3" (uuid 9987988f-ad7c-4abe-be06-2a184ee77894))
+ (pin "4" (uuid c32d6a87-2203-47d8-a1ed-4669dfbd25b0))
+ (pin "5" (uuid a70d397b-815a-445e-9564-d8c4d5aaf59a))
+ (pin "6" (uuid 9de96a58-d143-4aa8-9a29-ae7dd0c9cdf7))
+ (pin "7" (uuid ac3f4f3e-7ae3-42f8-896a-899a250b29dd))
+ (pin "8" (uuid 31b16fca-b230-49e2-b4d2-234674aed86a))
+ (pin "9" (uuid a56332bb-eeb8-4de0-ba72-ded072840206))
+ (pin "SH" (uuid d0c75ff4-4cff-494f-839e-8d7775874cb5))
+ )
+
+ (symbol (lib_id "driver-rescue:+3.3V-power") (at 492.76 255.27 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005e583365)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 492.76 251.46 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 492.379 259.6642 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 492.76 255.27 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 492.76 255.27 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 575c0bd7-5820-4e55-9be5-2d3bf21fa689))
+ )
+
+ (symbol (lib_id "driver-rescue:D_Schottky_Small_ALT-Device") (at 285.75 138.43 270) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005e7e19e7)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 284.0228 137.2616 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 284.0228 139.573 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 285.75 138.43 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 285.75 138.43 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C65010" (id 4) (at 285.75 138.43 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "MDD" (id 5) (at 285.75 138.43 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "SS510" (id 6) (at 285.75 138.43 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "?" (id 7) (at 285.75 138.43 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 502e1d43-a901-4507-b622-5b1b2439ffa3))
+ (pin "2" (uuid e9c53ad8-812b-44fb-99cd-6c4647d00bb0))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 285.75 133.35 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005e7e35e4)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 290.83 133.35 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 288.29 133.35 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 285.75 133.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 285.75 133.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 285.75 133.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 63eaf053-c0ed-4329-8d89-3cef00b00f13))
+ (pin "2" (uuid e19933f8-1507-4b74-86d8-3e5e4952886d))
+ )
+
+ (symbol (lib_id "driver-rescue:IRS21867S-Driver_FET") (at 295.91 154.94 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005e88678e)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 299.72 143.51 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 307.34 148.59 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 295.91 154.94 0)
+ (effects (font (size 1.27 1.27) italic) hide)
+ )
+ (property "Datasheet" "http://www.infineon.com/dgdl/irs21867spbf.pdf?fileId=5546d462533600a4015356770e8327eb" (id 3) (at 295.91 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C52290" (id 4) (at 295.91 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Infineon" (id 5) (at 295.91 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "IRS21867STRPBF" (id 6) (at 295.91 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 7f29e7a8-975b-4441-9562-64acdf03bd1e))
+ (pin "2" (uuid 6c6d15f6-8254-437a-a7be-728ea58361d8))
+ (pin "3" (uuid 8f0a77be-9a32-43dc-a411-a1828cc7c53c))
+ (pin "4" (uuid 7f7f7a21-4887-41bb-9168-84d0edb6a439))
+ (pin "5" (uuid 89d4e9b0-baa7-4ceb-a4fb-6e77d5d61282))
+ (pin "6" (uuid 84bf75ea-d793-41a6-acf4-9e6dd28e414c))
+ (pin "7" (uuid dfc55dd0-c548-403a-bd18-cc6e3fa79031))
+ (pin "8" (uuid bf766359-6048-4242-be8a-031de73c85cb))
+ )
+
+ (symbol (lib_id "driver-rescue:IRS21867S-Driver_FET") (at 149.86 154.94 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005e9861e0)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 146.05 143.51 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 138.43 149.86 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 149.86 154.94 0)
+ (effects (font (size 1.27 1.27) italic) hide)
+ )
+ (property "Datasheet" "http://www.infineon.com/dgdl/irs21867spbf.pdf?fileId=5546d462533600a4015356770e8327eb" (id 3) (at 149.86 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C52290" (id 4) (at 149.86 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Infineon" (id 5) (at 149.86 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "IRS21867STRPBF" (id 6) (at 149.86 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid f9be87b3-5c55-4f88-a1b6-fefa4f720f55))
+ (pin "2" (uuid d17617d5-fa64-4cb2-91ac-730ee90c1d16))
+ (pin "3" (uuid 63a1d9df-afec-4b81-a9cf-d948307dcffa))
+ (pin "4" (uuid b3b59857-17d1-436d-a288-c2a800341f57))
+ (pin "5" (uuid d10bed6d-8b81-4832-89be-08b3669e23e1))
+ (pin "6" (uuid 51ce8db2-3dd8-4d8a-b17a-27f7c08aa30c))
+ (pin "7" (uuid 98f89478-6fe4-4079-a3a0-f895ca9f16ae))
+ (pin "8" (uuid 9ff8c3b2-d98b-444b-80d9-661738aa9c97))
+ )
+
+ (symbol (lib_id "driver-rescue:D_Schottky_Small_ALT-Device") (at 160.02 139.7 270) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005eab007a)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 158.2928 138.5316 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 158.2928 140.843 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 160.02 139.7 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 160.02 139.7 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C65010" (id 4) (at 160.02 139.7 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "MDD" (id 5) (at 160.02 139.7 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "SS510" (id 6) (at 160.02 139.7 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "?" (id 7) (at 160.02 139.7 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid c5ca2593-6330-428b-8970-6df601eabd15))
+ (pin "2" (uuid 80e3d516-55af-4dbb-8370-d3342a1d40e1))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 160.02 134.62 0) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005eab0084)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 165.1 134.62 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 162.56 134.62 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 160.02 134.62 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 160.02 134.62 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 160.02 134.62 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid cf494a2e-2121-449f-912a-15a6e9867437))
+ (pin "2" (uuid e67e47c4-91ac-4fb5-8010-6caf7fea0eca))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 160.02 154.94 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005eb07457)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 162.3568 153.7716 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 162.56 156.21 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 160.02 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 160.02 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C107182" (id 4) (at 160.02 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Yageo" (id 5) (at 160.02 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "CC1206KKX7R0BB474" (id 6) (at 160.02 154.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2fef7dee-97a0-4fba-940b-24dc1f07d712))
+ (pin "2" (uuid 1f0e9169-b169-4366-a602-0b9ee832a926))
+ )
+
+ (symbol (lib_id "driver-rescue:+12V-power-center-rescue") (at 306.07 128.27 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005eb1cb24)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 306.07 132.08 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 305.689 125.0188 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 306.07 128.27 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 306.07 128.27 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 725b8497-ec0a-4054-a383-28925b12227e))
+ )
+
+ (symbol (lib_id "driver-rescue:+12V-power-center-rescue") (at 140.97 129.54 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005eb1d82c)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 140.97 133.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 140.589 126.2888 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 140.97 129.54 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 140.97 129.54 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid cc8e7b8a-1dfd-483b-9481-510081521cf4))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 306.07 134.62 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005ec07eab)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 303.7332 133.4516 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 303.53 135.89 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 306.07 134.62 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 306.07 134.62 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 306.07 134.62 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid d7c68b8b-798f-429f-a42f-b88dbcdaf960))
+ (pin "2" (uuid 6ff8e6ba-7f7a-49a2-9f7a-87ba95a2d09c))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 306.07 137.16 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005ec08c00)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 306.07 143.51 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 306.197 141.5542 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 306.07 137.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 306.07 137.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 8ca894e5-6718-46a3-ae97-ca166926b01a))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 140.97 138.43 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005ec8eee4)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 140.97 144.78 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 141.097 142.8242 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 140.97 138.43 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 140.97 138.43 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 18368891-7255-4298-ade5-adba297b6934))
+ )
+
+ (symbol (lib_id "tx4138:TX4138") (at 205.74 46.99 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5d38)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 205.74 27.559 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 205.74 29.8704 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 205.74 46.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 205.74 46.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C329267" (id 4) (at 205.74 46.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "XDS" (id 5) (at 205.74 46.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "TX4138" (id 6) (at 205.74 46.99 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 73264bf6-3974-46e7-b9f5-2176e1c2fc7b))
+ (pin "2" (uuid 0592b7d2-4d1d-44ab-9848-7724f760d9f4))
+ (pin "3" (uuid 490d905f-be7c-47bd-b169-fa8a80978562))
+ (pin "4" (uuid 44e06ec6-2390-4a97-bdcc-1d2af8a0870f))
+ (pin "5" (uuid 4c958cd8-c653-46b2-aa60-8a637537e3d4))
+ (pin "6" (uuid 37b617f9-f31b-4ad6-a23f-5f47c17563ef))
+ (pin "7" (uuid f544cdb0-a772-43c0-832f-a20de32e16e2))
+ (pin "8" (uuid 76e23fd9-1c76-40ba-a22e-a359d7989554))
+ (pin "9" (uuid 1cbe74ce-2a28-4889-9279-2d8a74313f99))
+ )
+
+ (symbol (lib_id "driver-rescue:R-Device-center-rescue") (at 186.69 39.37 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5d41)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 186.69 34.1122 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 186.69 36.4236 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 186.69 37.592 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 186.69 39.37 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Ralec" (id 4) (at 186.69 39.37 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "RTT12R033FTP" (id 5) (at 186.69 39.37 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C159305" (id 6) (at 186.69 39.37 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid c18837b9-4962-4717-bdcf-1d1d76cfa01b))
+ (pin "2" (uuid 0ef219b3-b8e5-4f5c-ab15-45542414765d))
+ )
+
+ (symbol (lib_id "driver-rescue:CP_Small-Device-center-rescue") (at 276.86 36.83 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5d4e)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 273.05 33.02 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 278.13 59.69 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 276.86 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 276.86 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "CapXon" (id 4) (at 275.59 59.69 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "PN" "63YXF220MFFCT810X20" (id 5) (at 280.67 59.69 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "LCSC" "C59339" (id 6) (at 276.86 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 487ccb98-e812-46c7-8986-f256d93744b5))
+ (pin "2" (uuid 4ba18108-1849-4f30-9954-99f69c943b14))
+ )
+
+ (symbol (lib_id "driver-rescue:L-Device-center-rescue") (at 242.57 33.02 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5d5e)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 243.8908 31.8516 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 243.8908 34.163 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 242.57 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 242.57 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "SXN" (id 4) (at 242.57 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "SMNR4020-470MT" (id 5) (at 242.57 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Reichelt" "" (id 6) (at 242.57 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C467067" (id 7) (at 242.57 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid db01efa5-2249-48c5-ae3c-919926354b89))
+ (pin "2" (uuid 00c92cfb-39dd-436c-bd1a-75df8266ef41))
+ )
+
+ (symbol (lib_id "driver-rescue:R-Device-center-rescue") (at 236.22 33.02 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5d6f)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 237.998 30.7086 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 237.998 33.02 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 234.442 33.02 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 236.22 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "DNP" "DNP" (id 4) (at 237.998 35.3314 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Stock" "yes" (id 5) (at 236.22 33.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 997f990d-ddd6-4494-8265-585a30072470))
+ (pin "2" (uuid a8b28bb2-2154-4d07-abe9-a35a370fc606))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power-center-rescue") (at 354.33 66.04 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5d7a)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 360.68 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 357.5812 65.913 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 354.33 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 354.33 66.04 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 74ede4b8-a970-4099-845f-9afe8311db45))
+ )
+
+ (symbol (lib_id "driver-rescue:R-Device-center-rescue") (at 267.97 38.1 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5d81)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 269.748 36.9316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 269.748 39.243 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 266.192 38.1 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 267.97 38.1 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 267.97 38.1 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 0bb8de74-067d-408f-aeed-3101a344782d))
+ (pin "2" (uuid 6182e5ac-bd11-4d3a-8b65-e624c89fb79e))
+ )
+
+ (symbol (lib_id "driver-rescue:R-Device-center-rescue") (at 267.97 50.8 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5d87)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 269.748 49.6316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 269.748 51.943 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 266.192 50.8 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 267.97 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 267.97 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 54a92121-bcfc-40a6-9e9e-04b9f64454df))
+ (pin "2" (uuid 16021963-c6a7-4952-a02d-f076c472fa41))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device-center-rescue") (at 259.08 50.8 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5d97)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 261.4168 49.6316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 261.4168 51.943 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 259.08 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 259.08 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C38523" (id 4) (at 259.08 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Samsung" (id 5) (at 259.08 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "CL10C120JB8NNNC" (id 6) (at 259.08 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 03cf44d5-b6d7-43a8-a252-5ec6ae142e88))
+ (pin "2" (uuid ae5c5206-d946-485c-92fa-89bb9a67654b))
+ )
+
+ (symbol (lib_id "driver-rescue:CP_Small-Device-center-rescue") (at 165.1 41.91 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5da6)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 167.64 40.64 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 167.64 43.18 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 165.1 41.91 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 165.1 41.91 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Rubycon" (id 4) (at 167.64 45.72 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "LCSC" "C324050" (id 5) (at 165.1 41.91 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "63YXF220MFFCT810X20" (id 6) (at 167.64 48.26 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (pin "1" (uuid 14db0025-cd55-411b-bb65-d73c8878d8b4))
+ (pin "2" (uuid 1afd37b3-b203-4742-8d5e-952b23d59a08))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device-center-rescue") (at 180.34 53.34 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5db0)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 182.6768 52.1716 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 182.6768 54.483 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 180.34 53.34 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 180.34 53.34 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C408909" (id 4) (at 180.34 53.34 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Yageo" (id 5) (at 180.34 53.34 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "AC0603KRX7R0BB104" (id 6) (at 180.34 53.34 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 16d3ede1-370e-470d-aa41-8a94a6c15b2f))
+ (pin "2" (uuid 0cab3588-dd03-4dd2-944a-e0e0b0a42eef))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device-center-rescue") (at 229.87 36.83 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5db6)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 232.2068 35.6616 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 232.2068 37.973 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 229.87 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 229.87 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C408909" (id 4) (at 229.87 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Yageo" (id 5) (at 229.87 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "AC0603KRX7R0BB104" (id 6) (at 229.87 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2b1a7a01-5b50-4ce2-960f-f00dae3290f7))
+ (pin "2" (uuid 6b65fb34-d36b-4a69-9e12-2b50d3122663))
+ )
+
+ (symbol (lib_id "driver-rescue:+12V-power-center-rescue") (at 354.33 22.86 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5dbc)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 350.52 22.86 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 357.5812 23.241 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 354.33 22.86 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 354.33 22.86 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 06ba3c33-402d-424d-a5ba-550fb06ecac9))
+ )
+
+ (symbol (lib_id "driver-rescue:+3.3V-power-center-rescue") (at 354.33 36.83 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5dc8)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 350.52 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 357.5812 37.211 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 354.33 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 354.33 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid b9441298-2759-4551-abe7-8a0bf3527f34))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device-center-rescue") (at 345.44 50.8 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5de3)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 347.7768 49.6316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 347.7768 51.943 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 345.44 50.8 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Datasheet" "~" (id 3) (at 345.44 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 345.44 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 41a4984a-809f-41e0-b1fc-c84f08a24a7c))
+ (pin "2" (uuid 13848d13-206d-4dcf-b98b-890ae188b533))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device-center-rescue") (at 336.55 50.8 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5de9)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 338.8868 49.6316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 338.8868 51.943 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 336.55 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 336.55 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C92831" (id 4) (at 336.55 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Taiyo Yuden" (id 5) (at 336.55 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "TMK316B7106KL-TD" (id 6) (at 336.55 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2eba0cb5-4f44-4636-a0c0-ee2bed094a4c))
+ (pin "2" (uuid daa0d894-24d4-4eab-917b-b78149b90014))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device-center-rescue") (at 297.18 50.8 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5def)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 299.5168 49.6316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 299.5168 51.943 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 297.18 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 297.18 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 297.18 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 0e40f791-7cad-43f2-a782-04b8f450f4c2))
+ (pin "2" (uuid 9c1814ef-4fc2-4898-97a2-fa4e54314a95))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device-center-rescue") (at 288.29 50.8 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5df5)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 290.6268 49.6316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 290.6268 51.943 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 288.29 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 288.29 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C92831" (id 4) (at 288.29 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Taiyo Yuden" (id 5) (at 288.29 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "TMK316B7106KL-TD" (id 6) (at 288.29 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 69e6ac9d-d5e6-4e95-adf4-6339487b34c8))
+ (pin "2" (uuid 55c4d411-678f-42e3-8333-87eefafa8615))
+ )
+
+ (symbol (lib_id "driver-rescue:CP_Small-Device-center-rescue") (at 325.12 50.8 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5e07)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 327.3552 49.6316 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 327.3552 51.943 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 325.12 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 325.12 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "25YXF47MFFC5X11" (id 4) (at 325.12 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C216203" (id 5) (at 325.12 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Rubycon" (id 6) (at 325.12 50.8 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 69d619ef-daba-458d-84b2-20c2ffabe9b2))
+ (pin "2" (uuid c42a46d1-68ac-465b-9810-6f19fe979300))
+ )
+
+ (symbol (lib_id "driver-rescue:D_Schottky_ALT-Device-center-rescue") (at 242.57 55.88 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5e14)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 244.5766 54.7116 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 244.5766 57.023 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 242.57 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 242.57 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C65010" (id 4) (at 242.57 55.88 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "MDD" (id 5) (at 242.57 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "SS510" (id 6) (at 242.57 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "?" (id 7) (at 242.57 55.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid f304d8f2-5ddb-4a98-9abb-5bbcebc4384b))
+ (pin "2" (uuid 64355a92-794c-4c43-b6fe-4ba16c575812))
+ )
+
+ (symbol (lib_id "driver-rescue:R-Device-center-rescue") (at 222.25 34.29 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5e1f)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 222.25 29.0322 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 222.25 31.3436 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 222.25 32.512 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 222.25 34.29 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 222.25 34.29 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 8b781512-f898-4c73-9044-f2d4b4ab2f06))
+ (pin "2" (uuid fb0cce0e-1c79-46d1-a93f-ec7905901df2))
+ )
+
+ (symbol (lib_id "driver-rescue:AP1117-33-Regulator_Linear-center-rescue") (at 311.15 36.83 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005edd5e28)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 311.15 30.6832 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 311.15 32.9946 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 311.15 31.75 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.diodes.com/datasheets/AP1117.pdf" (id 3) (at 313.69 43.18 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C426566" (id 4) (at 311.15 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Slkor" (id 5) (at 311.15 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "AMS1117-3.3" (id 6) (at 311.15 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 7) (at 311.15 36.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid d365a86e-72af-4fe0-8951-8be62c421c53))
+ (pin "2" (uuid 1acbdf56-cad6-40cb-877e-3b83e45e6858))
+ (pin "3" (uuid f5966d22-bb31-4903-82c2-2df1ddacdd50))
+ (pin "4" (uuid 4e44d935-47b6-4b3e-84f0-4dc80fe8f4f2))
+ )
+
+ (symbol (lib_id "driver-rescue:TestPoint_Alt-Connector") (at 345.44 22.86 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005efc40f8)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 346.9132 19.8628 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 346.9132 22.1742 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 350.52 22.86 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 350.52 22.86 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 345.44 22.86 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 345.44 22.86 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 601ebade-c207-4c7b-8f5f-377bd5e5c6a1))
+ )
+
+ (symbol (lib_id "driver-rescue:+12V-power-center-rescue") (at 389.89 27.94 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005f2b36e5)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 389.89 31.75 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 390.271 24.6888 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 389.89 27.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 389.89 27.94 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid bc3d7118-4326-433a-9366-fd7ee7296b05))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 353.06 201.93 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005f51aa69)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 353.06 208.28 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 353.187 206.3242 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 353.06 201.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 353.06 201.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid aa9e269f-7f13-4f46-9d28-35638746fb42))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 353.06 196.85 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005f51aa73)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 354.33 200.66 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 355.6 196.85 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 353.06 196.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 353.06 196.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 353.06 196.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 05d276dc-65aa-4487-8eb4-e9cdf46eea7b))
+ (pin "2" (uuid da82fe32-6326-41be-b864-a826ee8b31c2))
+ )
+
+ (symbol (lib_id "driver-rescue:TestPoint_Alt-Connector") (at 337.82 189.23 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 00000000-0000-0000-0000-00005f579cfa)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 336.3468 188.5696 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 336.3468 186.2582 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 342.9 189.23 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 342.9 189.23 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 337.82 189.23 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 337.82 189.23 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid dfad3547-10af-4ce9-92c9-4342e4596d39))
+ )
+
+ (symbol (lib_id "driver-rescue:+3V3-power") (at 476.25 163.83 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 03f32049-cf15-4c56-a88d-7f40eb3694a1)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 476.25 167.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 476.631 159.4358 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 476.25 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 476.25 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 4b5bcf29-c280-4727-8bbc-3cdec31a60e6))
+ )
+
+ (symbol (lib_id "Connector:Screw_Terminal_01x02") (at 199.39 232.41 270) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 0582b9dd-04ac-4b64-99a2-8c49ba70c4c7)
+ (default_instance (reference "J") (unit 1) (value "Screw_Terminal_01x02") (footprint ""))
+ (property "Reference" "J" (id 0) (at 201.422 231.8155 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "Screw_Terminal_01x02" (id 1) (at 201.422 233.5777 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 199.39 232.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 199.39 232.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 7fcfc267-e675-4b64-9335-029fc86c2bfe))
+ (pin "2" (uuid 99329446-fc58-4486-a956-11ff0a3e37d1))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 358.14 228.6 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 05f0ec9d-79b6-4fd4-a835-970e0b2ce6f6)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 358.14 234.95 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 358.267 232.9942 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 358.14 228.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 358.14 228.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid f0bfd406-2d46-4892-ab0e-b3fbaa19b485))
+ )
+
+ (symbol (lib_id "power:+5VD") (at 496.57 163.83 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 0b8280c8-a90c-46d4-bec2-7b70f7889ecf)
+ (default_instance (reference "#PWR") (unit 1) (value "+5VD") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 496.57 167.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+5VD" (id 1) (at 496.57 160.3471 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 496.57 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 496.57 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 5c1c5d9d-415c-4e7d-8462-d2ec856747a3))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 381 294.64 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 0cf934ad-7972-4815-b25d-fe2110a18121)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 378.6632 293.4716 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 378.46 295.91 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 381 294.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 381 294.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 381 294.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid a29a8cf4-e383-4977-8fc8-7e6e34f579f5))
+ (pin "2" (uuid f990f51f-3b79-4e45-932f-132c415bdb80))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 276.86 198.12 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 1172d9c5-63fe-4c5f-adad-641167aab8f9)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 276.86 204.47 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 276.733 202.5142 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 276.86 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 276.86 198.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 1a9a4545-cf78-463f-ba73-97da901d7789))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 482.6 125.73 270) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 1741ee37-ce89-432c-bc4b-b2ef755c9701)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 483.7684 124.2314 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 481.457 124.2314 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 482.6 125.73 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 482.6 125.73 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 482.6 125.73 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 9d07a7fc-2999-4b4d-a234-cc0fc6a74597))
+ (pin "2" (uuid f4cbeb9e-258b-4ab2-995c-6f4d8ab34dcb))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 339.09 294.64 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 17cb22f9-3f33-4526-b1f7-895e2692eb7b)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 336.7532 293.4716 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 336.55 295.91 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 339.09 294.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 339.09 294.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 339.09 294.64 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 8d69691c-dc77-4ada-8a0f-cceb866497b1))
+ (pin "2" (uuid 93686df1-8bca-442e-a504-f3dfe4bb77ce))
+ )
+
+ (symbol (lib_id "power:GND2") (at 516.89 322.58 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 19fe62d1-d4ad-4012-a770-667225e5717f)
+ (default_instance (reference "#PWR") (unit 1) (value "GND2") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 516.89 328.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND2" (id 1) (at 516.89 326.6361 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 516.89 322.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 516.89 322.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 412e71b0-adaf-4b56-bf2b-5a6bc1c659fd))
+ )
+
+ (symbol (lib_id "driver-rescue:+12V-power-center-rescue") (at 105.41 133.35 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 1c0a266b-9c58-442e-89ba-70cdeb3e506d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 105.41 129.54 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 105.029 136.6012 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 105.41 133.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 105.41 133.35 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid b55b9c89-3989-4280-9a5c-5bb3d306c250))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 232.41 279.4 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 1f7d3553-bc01-40c4-ada5-72e89d00d9c3)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 232.41 285.75 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 232.537 283.7942 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 232.41 279.4 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 232.41 279.4 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 4ad1c907-24bb-46ce-a736-11e38a47c27f))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device-center-rescue") (at 259.08 213.36 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 212ea2d0-4d8a-4057-8f98-e1bb1f48d1b3)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 257.9116 215.6968 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 260.223 215.6968 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 259.08 213.36 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 259.08 213.36 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C38523" (id 4) (at 259.08 213.36 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Samsung" (id 5) (at 259.08 213.36 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "CL10C120JB8NNNC" (id 6) (at 259.08 213.36 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid c88bc7cc-4333-44c8-ade4-d92ed3ef2ead))
+ (pin "2" (uuid 8ede5a99-9595-45ea-8101-c221633603a7))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 201.93 171.45 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 252702fe-8dd0-474b-b774-a4889b069bf2)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 204.2668 170.2816 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 204.47 172.72 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 201.93 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 201.93 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 201.93 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C128508" (id 5) (at 201.93 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Panasonic" (id 6) (at 201.93 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg. PN" "ECWFD2W225K" (id 7) (at 201.93 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 18ce00f3-23e0-4897-ab91-b781513cb79d))
+ (pin "2" (uuid a2fec72f-3d17-43b9-9a7f-6bfa474233f6))
+ )
+
+ (symbol (lib_id "Isolator:ADuM1201AR") (at 341.63 345.44 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 291a9c59-1c38-4319-91b3-ebb3e0d597dd)
+ (default_instance (reference "U") (unit 1) (value "ADuM1201AR") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm"))
+ (property "Reference" "U" (id 0) (at 341.63 334.9879 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "ADuM1201AR" (id 1) (at 341.63 336.7501 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 341.63 355.6 0)
+ (effects (font (size 1.27 1.27) italic) hide)
+ )
+ (property "Datasheet" "https://www.analog.com/media/en/technical-documentation/data-sheets/ADuM1200_1201.pdf" (id 3) (at 341.63 347.98 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "2Pai" (id 4) (at 341.63 345.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C471590" (id 5) (at 341.63 345.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 5b12a32d-46d6-43a3-acc5-9fcba44e7624))
+ (pin "2" (uuid ae538173-e7bd-4315-a519-17001a9c6816))
+ (pin "3" (uuid b5c7d6fd-8b73-4238-a6ff-176c56583760))
+ (pin "4" (uuid 555361c6-6bb4-48fe-96d7-cd9435b3a059))
+ (pin "5" (uuid 73ca11d6-cd8c-439c-b42a-6250d16e06aa))
+ (pin "6" (uuid 95f39d23-b9f5-43cd-9ec0-257ff33c8d5d))
+ (pin "7" (uuid 0b09a417-c3a6-4e04-bcad-00d777f57f07))
+ (pin "8" (uuid 064c393a-e221-46e8-b0a0-218b207554fe))
+ )
+
+ (symbol (lib_id "Device:R") (at 201.93 163.83 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 2be948f7-2f40-4c17-abd6-8d9a24abdd47)
+ (default_instance (reference "R") (unit 1) (value "R") (footprint ""))
+ (property "Reference" "R" (id 0) (at 203.708 163.2355 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "R" (id 1) (at 203.708 164.9977 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 200.152 163.83 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 201.93 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C62526" (id 4) (at 201.93 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Uni-Royal" (id 5) (at 201.93 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg. PN" "MOR05SJ0100AA0" (id 6) (at 201.93 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 99cd418d-a499-4d44-8785-9ad6751f3c54))
+ (pin "2" (uuid 16e8a7bc-14d9-4b36-835f-15d2915306ff))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 120.65 123.19 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 2ed6ec9f-34a2-4348-9db3-57ed1abbe26d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 115.6716 123.19 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 117.983 123.19 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 120.65 123.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 120.65 123.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C908108" (id 4) (at 120.65 123.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Milliohm" (id 5) (at 120.65 123.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "HoK2510-5-U" (id 6) (at 120.65 123.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 52d7ec36-e0e9-4a16-bf60-158b93e399a9))
+ (pin "2" (uuid 41be3acd-10cd-428c-ba8d-559ae5c012f1))
+ )
+
+ (symbol (lib_id "Device:C_Polarized") (at 193.04 111.76 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 2f3453a1-c08c-4d90-82f7-c4df3b239135)
+ (default_instance (reference "C") (unit 1) (value "C_Polarized") (footprint ""))
+ (property "Reference" "C" (id 0) (at 195.961 110.2765 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "C_Polarized" (id 1) (at 168.021 110.7687 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Footprint" "" (id 2) (at 194.0052 115.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 193.04 111.76 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg PN" "100ZLH470MEFC16X31.5" (id 4) (at 193.04 111.76 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Rubycon" (id 5) (at 193.04 111.76 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C1579811" (id 6) (at 193.04 111.76 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid be27d692-b668-4a1b-8790-3d0804073939))
+ (pin "2" (uuid 6d501782-ad46-44c9-a8e9-7f979f789a99))
+ )
+
+ (symbol (lib_id "Isolator:LTV-847S") (at 486.41 168.91 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 2f84879d-9120-4685-8c16-6eca3e6028d8)
+ (default_instance (reference "U") (unit 1) (value "LTV-847S") (footprint "Package_DIP:SMDIP-16_W9.53mm"))
+ (property "Reference" "U" (id 0) (at 486.41 162.2679 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "LTV-847S" (id 1) (at 486.41 164.0301 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "Package_DIP:SMDIP-16_W9.53mm" (id 2) (at 486.41 176.53 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.us.liteon.com/downloads/LTV-817-827-847.PDF" (id 3) (at 471.17 157.48 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 856fa79f-1377-4751-a4ce-1abbeb910e12))
+ (pin "15" (uuid c0592d28-981c-4247-b7e6-0d7278e599cc))
+ (pin "16" (uuid c028f20d-cf11-41e6-87a5-677a5de42d10))
+ (pin "2" (uuid ef57c4d0-4d87-481a-bbe1-56ea9375d8be))
+ (pin "13" (uuid 302d89ba-99d0-4037-8ba5-c95be4f8a4f4))
+ (pin "14" (uuid 4a8bcd66-73ae-42e1-a718-255838bc0b50))
+ (pin "3" (uuid cea34591-cb84-47d9-b2bc-6101fcc90ab6))
+ (pin "4" (uuid a39c0160-0838-4b9e-a3d1-3143b706af1a))
+ (pin "11" (uuid b5e9bc56-de74-42e8-8a8b-53b49f4a29ef))
+ (pin "12" (uuid 42ba77d1-db61-4c3f-8f06-12b07b9160fa))
+ (pin "5" (uuid 95bbe5f5-a0f0-4e84-99be-44c70737ead5))
+ (pin "6" (uuid 0a203102-e0a4-4dad-a6d2-260729b245d7))
+ (pin "10" (uuid de7c1b81-481f-4421-b0aa-83b88903097f))
+ (pin "7" (uuid 82722117-cf2a-4f9b-8b19-53184bb4dd6f))
+ (pin "8" (uuid d2ca2bb3-8242-4417-8774-0c6668bd00d3))
+ (pin "9" (uuid 3c77b858-824c-4953-9dee-6e2aca1068b9))
+ )
+
+ (symbol (lib_id "power:GND2") (at 400.05 347.98 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 3239dea9-b266-470f-bcd6-dbef7c3d435b)
+ (default_instance (reference "#PWR") (unit 1) (value "GND2") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 400.05 354.33 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND2" (id 1) (at 400.05 352.0361 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 400.05 347.98 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 400.05 347.98 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2395889c-0f97-46f7-8f3e-cddf79426690))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 358.14 223.52 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 32e10fb3-ab4e-4e8c-8e14-e6018303d7f3)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 359.41 227.33 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 360.68 223.52 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 358.14 223.52 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 358.14 223.52 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 358.14 223.52 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 8e6c9488-0872-495e-971c-364d3270a767))
+ (pin "2" (uuid 56195360-2b3b-4096-b4e3-79ab25fdf75d))
+ )
+
+ (symbol (lib_id "Diode:C4D30120D") (at 271.78 204.47 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 336bf414-4974-4697-a337-23569825dc1e)
+ (default_instance (reference "D") (unit 1) (value "C4D30120D") (footprint "Package_TO_SOT_THT:TO-247-3_Vertical"))
+ (property "Reference" "D" (id 0) (at 270.5735 199.0979 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "C4D30120D" (id 1) (at 271.8435 209.7501 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "Package_TO_SOT_THT:TO-247-3_Vertical" (id 2) (at 271.78 208.915 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "https://www.wolfspeed.com/media/downloads/108/C4D30120D.pdf" (id 3) (at 271.78 204.47 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2c30b987-1222-4659-9fb8-7766447afe03))
+ (pin "2" (uuid 45cd6ca7-0304-49b8-8ab5-e9ac4e64d8fe))
+ (pin "3" (uuid e8b36b84-19ce-4456-b613-9df5e4784d3a))
+ )
+
+ (symbol (lib_id "Isolator:LTV-847S") (at 486.41 181.61 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 3551edfd-ba7f-437b-bcf0-add941eb736e)
+ (default_instance (reference "U") (unit 1) (value "LTV-847S") (footprint "Package_DIP:SMDIP-16_W9.53mm"))
+ (property "Reference" "U" (id 0) (at 486.41 174.9679 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "LTV-847S" (id 1) (at 486.41 176.7301 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "Package_DIP:SMDIP-16_W9.53mm" (id 2) (at 486.41 189.23 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.us.liteon.com/downloads/LTV-817-827-847.PDF" (id 3) (at 471.17 170.18 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 74eca649-f017-4508-aa47-f5a69e0b3943))
+ (pin "15" (uuid 3cfff6f0-f360-4adc-a9ed-cd7384ece55e))
+ (pin "16" (uuid 572f7584-5e27-4629-8b9d-c1576b0f1209))
+ (pin "2" (uuid 20d60a94-477e-4a34-89bc-8e97aeb19244))
+ (pin "13" (uuid b66de5ec-310b-4a26-b8b2-e66d5461f2cf))
+ (pin "14" (uuid 9c1fd938-e4a7-41ac-9505-282ce5cbb01d))
+ (pin "3" (uuid 281a4b7a-4994-4d20-a9bf-850b6dbc15f5))
+ (pin "4" (uuid f642448b-e558-4ccc-ab11-03fa82ec5ec8))
+ (pin "11" (uuid 206d75ef-f2df-4224-b84e-bc8e180e6564))
+ (pin "12" (uuid a8b143e9-5c19-43a5-b6a9-b926981083e9))
+ (pin "5" (uuid 13b1a4c9-b880-4033-bc3e-5bf8530eccec))
+ (pin "6" (uuid 7e9d0496-c352-4eaa-9dc3-285ea891bbd7))
+ (pin "10" (uuid e6c43fb2-e49b-459e-8a2b-d9290b1ce04e))
+ (pin "7" (uuid f73cbc32-2dc8-400a-8c07-e3cb4655c488))
+ (pin "8" (uuid bca9fdef-9a31-42e4-a39b-24e36200b2f5))
+ (pin "9" (uuid cae914e9-45ed-4f43-bf88-cd6dda4e9baf))
+ )
+
+ (symbol (lib_id "power:GND") (at 326.39 353.06 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 36a86f70-de8f-46b6-8873-eb1a75fecacb)
+ (default_instance (reference "#PWR") (unit 1) (value "GND") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 326.39 359.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 326.39 357.1161 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 326.39 353.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 326.39 353.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 52db84ab-c544-4900-82ce-9882c093816d))
+ )
+
+ (symbol (lib_id "kicad_sucks:ZXCT1080") (at 102.87 123.19 180) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 3a1f8ba5-b78d-4f1c-a827-950def4aba7a)
+ (default_instance (reference "U") (unit 1) (value "ZXCT1080") (footprint "Package_TO_SOT_SMD:SOT-23-5"))
+ (property "Reference" "U" (id 0) (at 105.9941 115.2779 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "ZXCT1080" (id 1) (at 105.9941 117.0401 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 102.87 123.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.ti.com/lit/ds/symlink/ina138.pdf" (id 3) (at 102.87 123.317 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Diodes Inc" (id 4) (at 102.87 123.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg PN" "ZXCT1080E5TA" (id 5) (at 102.87 123.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C151589" (id 6) (at 102.87 123.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 4d8029cb-a8cb-40e9-84d6-c21124031e77))
+ (pin "2" (uuid c6179d05-2a45-4feb-a6ed-2e6d1b864dfd))
+ (pin "3" (uuid 7e71fbcc-ba01-4790-83fb-e2706cb7a44a))
+ (pin "4" (uuid e5b13831-1081-4843-9dd5-3f205195ac4a))
+ (pin "5" (uuid 301e113d-59cf-4e06-a25e-98c244c0f00f))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 88.9 111.76 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 3caf0208-91fb-43a8-a3d9-de162ce9247b)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 91.2368 110.5916 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 91.44 113.03 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 88.9 111.76 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 88.9 111.76 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 88.9 111.76 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid c1030c03-d724-4cbf-ba78-e0c73718f726))
+ (pin "2" (uuid 98869de6-bedf-4387-bc48-40898cb02db9))
+ )
+
+ (symbol (lib_id "Device:R") (at 234.95 163.83 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 3e335a1a-9fb9-4024-a64d-84694cc79fac)
+ (default_instance (reference "R") (unit 1) (value "R") (footprint ""))
+ (property "Reference" "R" (id 0) (at 230.378 161.9655 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "R" (id 1) (at 231.648 173.8877 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 233.172 163.83 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 234.95 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C62526" (id 4) (at 234.95 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Uni-Royal" (id 5) (at 234.95 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg. PN" "MOR05SJ0100AA0" (id 6) (at 234.95 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3483e730-d581-4ffd-b873-71109f66bc91))
+ (pin "2" (uuid afc0e807-afca-4e1b-bed8-c132d9cd6b05))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 482.6 140.97 270) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 3e508ab6-ad50-411f-849f-da88ccb8aa77)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 483.7684 139.4714 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 481.457 139.4714 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 482.6 140.97 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 482.6 140.97 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 482.6 140.97 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 7f650846-9a3b-4091-bded-efd35521b791))
+ (pin "2" (uuid 6c15109c-e8ac-49d4-a30e-493015c0ffbb))
+ )
+
+ (symbol (lib_id "driver-rescue:+3V3-power") (at 321.31 337.82 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 402af792-f6af-4a9a-a2eb-304b5043e35d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 321.31 341.63 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 321.691 333.4258 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 321.31 337.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 321.31 337.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 8566507c-5495-4397-b833-b6398644debe))
+ )
+
+ (symbol (lib_id "power:+5VD") (at 400.05 309.88 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 426b575c-ec4c-4873-ab05-cbaae883fc02)
+ (default_instance (reference "#PWR") (unit 1) (value "+5VD") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 400.05 313.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+5VD" (id 1) (at 400.05 306.3971 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 400.05 309.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 400.05 309.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 43f473a4-3777-45d9-9c0d-35c33f61bbca))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 422.91 240.03 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 4bd301e2-80f0-4140-a049-6d6d0e9838bd)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 422.91 246.38 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 423.037 244.4242 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 422.91 240.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 422.91 240.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 0ef9b0b1-7def-4e7f-a8b0-f8fb076b057b))
+ )
+
+ (symbol (lib_id "Isolator:ADuM1201AR") (at 341.63 325.12 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 505d9c37-8739-457a-b3c2-bb0591ebd78d)
+ (default_instance (reference "U") (unit 1) (value "ADuM1201AR") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm"))
+ (property "Reference" "U" (id 0) (at 341.63 314.6679 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "ADuM1201AR" (id 1) (at 341.63 316.4301 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 341.63 335.28 0)
+ (effects (font (size 1.27 1.27) italic) hide)
+ )
+ (property "Datasheet" "https://www.analog.com/media/en/technical-documentation/data-sheets/ADuM1200_1201.pdf" (id 3) (at 341.63 327.66 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "2Pai" (id 4) (at 341.63 325.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C471590" (id 5) (at 341.63 325.12 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 0d8f5d81-9ca1-44d5-a296-f33fe68c0ace))
+ (pin "2" (uuid cb9e3b8e-4c49-4b35-884f-f906c3eb84f6))
+ (pin "3" (uuid fb761465-b03f-4717-873a-cad423fa883f))
+ (pin "4" (uuid 010b959e-ef14-4199-9f96-f8b696b58155))
+ (pin "5" (uuid 34017ef1-09a6-4848-8e66-6474b364247e))
+ (pin "6" (uuid 9b6f849f-8231-4405-af1f-e8bf7a650ea2))
+ (pin "7" (uuid d48b6394-3d00-4799-8eb1-3567ec04c499))
+ (pin "8" (uuid fd82bcf8-c82b-415d-abdf-0d5e2a71c5fe))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 276.86 215.9 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 52329ae2-d787-4ab0-9bc1-9eccbd34e4be)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 276.86 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 276.733 220.2942 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 276.86 215.9 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 276.86 215.9 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid bb5ad199-ff7f-4d8e-b6f1-2eb9ab3e5357))
+ )
+
+ (symbol (lib_id "Device:L_Ferrite") (at 196.85 156.21 90) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 546f17d6-104c-4d83-a128-563d51cf147b)
+ (default_instance (reference "L") (unit 1) (value "L_Ferrite") (footprint ""))
+ (property "Reference" "L" (id 0) (at 196.85 151.9809 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "L_Ferrite" (id 1) (at 196.85 153.7431 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 196.85 156.21 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 196.85 156.21 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "SunItech" (id 4) (at 196.85 156.21 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg PN" "SLB1890P100MTT" (id 5) (at 196.85 156.21 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C2842789" (id 6) (at 196.85 156.21 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 44961679-fbc9-4b52-b5c5-663fc6419a80))
+ (pin "2" (uuid 843409c8-7a09-4dc8-8247-b0c67077bd35))
+ )
+
+ (symbol (lib_id "power:GND2") (at 367.03 316.23 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 58720344-4821-4edb-832c-b98d678ee2d2)
+ (default_instance (reference "#PWR") (unit 1) (value "GND2") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 367.03 322.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND2" (id 1) (at 367.03 320.2861 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 367.03 316.23 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 367.03 316.23 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 81d3474c-067b-433c-93f7-e8d9267178f3))
+ )
+
+ (symbol (lib_id "driver-rescue:LED_Small_ALT-Device") (at 505.46 250.19 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 5f9e2b14-3c40-4075-bd3f-a18118069179)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 505.46 247.65 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 499.11 245.11 90)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Footprint" "" (id 2) (at 505.46 250.19 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 505.46 250.19 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 505.46 250.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 0cdcb562-bb63-4974-a10c-3b1d6d556bf3))
+ (pin "2" (uuid c29e6e35-24f4-4cf9-b720-006e33c5153d))
+ )
+
+ (symbol (lib_id "power:GND2") (at 471.17 322.58 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 606f7f6d-8cf0-4bfc-9068-868cf55b3901)
+ (default_instance (reference "#PWR") (unit 1) (value "GND2") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 471.17 328.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND2" (id 1) (at 471.17 326.6361 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 471.17 322.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 471.17 322.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid c5663835-ed3c-4f49-90da-b63684b66b38))
+ )
+
+ (symbol (lib_id "Device:L_Ferrite") (at 172.72 104.14 90) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 61b323c9-7bca-485d-a259-9ca115e0d8b9)
+ (default_instance (reference "L") (unit 1) (value "L_Ferrite") (footprint ""))
+ (property "Reference" "L" (id 0) (at 172.72 99.9109 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "L_Ferrite" (id 1) (at 172.72 101.6731 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 172.72 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 172.72 104.14 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "TDK" (id 4) (at 172.72 104.14 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg PN" "SPM6530T-R47M170" (id 5) (at 172.72 104.14 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C136247" (id 6) (at 172.72 104.14 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid cc4d94bd-0afb-461c-90ac-456f1d64e318))
+ (pin "2" (uuid 74ca5c39-945f-42e2-86bc-e257d3584e30))
+ )
+
+ (symbol (lib_id "power:GND2") (at 372.11 339.09 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 644fee73-2210-462f-8de9-a1c31e7bdbae)
+ (default_instance (reference "#PWR") (unit 1) (value "GND2") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 372.11 345.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND2" (id 1) (at 372.11 343.1461 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 372.11 339.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 372.11 339.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid ab00286c-862f-43fd-a216-b8cdf4b0eae0))
+ )
+
+ (symbol (lib_id "driver-rescue:+12V-power-center-rescue") (at 331.47 287.02 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 69912ff0-016c-4e6c-988c-8fe2ad8259dd)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 331.47 290.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 331.851 283.7688 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 331.47 287.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 331.47 287.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 9782f5b8-7bc4-4bec-930d-b919c8943ce3))
+ )
+
+ (symbol (lib_id "driver-rescue:+3V3-power") (at 326.39 317.5 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 6f7a51cf-e6f1-41b2-a80b-3e61fb73c13d)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 326.39 321.31 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 326.771 313.1058 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 326.39 317.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 326.39 317.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid ddfcad8a-a742-44f1-8710-f37cfa21b5dc))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 88.9 114.3 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 715a2bd4-6fd8-42b5-a18e-3215a5bc9cdd)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 88.9 120.65 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 89.027 118.6942 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 88.9 114.3 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 88.9 114.3 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 153d000a-e1dc-4892-8a08-35cf1a3dda72))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 203.2 113.03 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 72d2c216-469e-4d88-a666-c9793ae558aa)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 205.5368 111.8616 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 205.74 114.3 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 203.2 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 203.2 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 203.2 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C128508" (id 5) (at 203.2 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Panasonic" (id 6) (at 203.2 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg. PN" "ECWFD2W225K" (id 7) (at 203.2 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 47e8ea59-3dd8-415b-b334-a4565f7d8f5b))
+ (pin "2" (uuid a43cb5e5-d40d-4227-a202-60e80feadac8))
+ )
+
+ (symbol (lib_id "driver-rescue:LED_Small_ALT-Device") (at 510.54 250.19 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 749906a2-0a50-44ab-99c7-ee7886de51ed)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 510.54 247.65 90)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 508.8128 249.047 90)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Footprint" "" (id 2) (at 510.54 250.19 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 510.54 250.19 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 510.54 250.19 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 6c636b84-1f34-4fdf-9a91-318b653e99e4))
+ (pin "2" (uuid d349dcc9-166b-42e3-8c20-5143b2f334ff))
+ )
+
+ (symbol (lib_id "driver-rescue:TestPoint_Alt-Connector") (at 337.82 218.44 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 7936fcf0-2fec-4224-9299-03fcfdd20e86)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 339.2932 219.1004 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 339.2932 221.4118 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 332.74 218.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 332.74 218.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 337.82 218.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 337.82 218.44 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 9d6937e7-72ed-4d21-a588-97b428594da0))
+ )
+
+ (symbol (lib_id "power:GND") (at 326.39 332.74 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 7ee34d23-512f-4e32-acfd-8ef72c7ca4f2)
+ (default_instance (reference "#PWR") (unit 1) (value "GND") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 326.39 339.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 326.39 336.7961 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 326.39 332.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 326.39 332.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 24ed43da-a0b5-4f26-aa68-07ee95fe99cd))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 288.29 215.9 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 8164018f-a90e-489a-bce5-9dfbf81ebace)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 288.29 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 288.163 220.2942 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 288.29 215.9 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 288.29 215.9 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid e677f697-50bd-4eb1-a6e4-42818d7e902f))
+ )
+
+ (symbol (lib_id "power:GND2") (at 386.08 317.5 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 87400a6c-79b7-41cd-9a12-8c3d9bbd2c83)
+ (default_instance (reference "#PWR") (unit 1) (value "GND2") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 386.08 323.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND2" (id 1) (at 386.08 321.5561 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 386.08 317.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 386.08 317.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid ce5e3a0c-7342-4a14-a9b3-5f0360efef55))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 510.54 243.84 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 8c5964cd-e2f1-4689-bf43-815258d55d21)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 513.08 242.57 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 508 245.11 90)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Footprint" "" (id 2) (at 510.54 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 510.54 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 510.54 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2fa914ea-69cb-4c81-b4f7-f3cfb64889b5))
+ (pin "2" (uuid 5a035fd1-07be-4ccb-b152-6fabd2f8e1c4))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 234.95 171.45 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 8fb8253c-7416-49e2-bbaf-29644ee70398)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 237.2868 170.2816 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 237.49 172.72 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 234.95 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 234.95 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 234.95 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C128508" (id 5) (at 234.95 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Panasonic" (id 6) (at 234.95 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg. PN" "ECWFD2W225K" (id 7) (at 234.95 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid b67ea953-371f-48ba-af43-a99815721601))
+ (pin "2" (uuid bb74eaad-60f5-4e53-83b5-76da760df712))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 295.91 215.9 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 900ae731-9451-4ce3-a749-b8dd06a194ea)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 295.91 222.25 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 295.783 220.2942 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 295.91 215.9 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 295.91 215.9 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid ce07b118-ed1a-40ca-807c-865c4ff75630))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 495.3 130.81 270) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 90e7db72-dd7c-48e0-b791-d8f66519d5fb)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 496.4684 129.3114 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 494.157 129.3114 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 495.3 130.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 495.3 130.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 495.3 130.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2bb6b1eb-94c3-4011-ba05-c5a5cf11d1f0))
+ (pin "2" (uuid ed581249-40cf-4afc-869f-b142750695fb))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 495.3 135.89 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 9155b265-29f2-49dc-bde1-1d566bbb86bf)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 494.1316 137.3886 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 496.443 137.3886 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 495.3 135.89 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 495.3 135.89 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 495.3 135.89 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid b10109a9-eb8c-4d65-abeb-c6927cd50469))
+ (pin "2" (uuid d0fe65f9-3d7c-426b-b6b8-cce414ce4ed4))
+ )
+
+ (symbol (lib_id "power:GND2") (at 471.17 337.82 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 94ca9640-a473-4fcf-a0a4-a8b3238ea532)
+ (default_instance (reference "#PWR") (unit 1) (value "GND2") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 471.17 344.17 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND2" (id 1) (at 471.17 341.8761 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 471.17 337.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 471.17 337.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 139c38c0-eb4e-40c0-8395-43058d47d418))
+ )
+
+ (symbol (lib_id "power:GND2") (at 356.87 353.06 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 9b2a1188-d354-4fc3-9d1f-56b7752be862)
+ (default_instance (reference "#PWR") (unit 1) (value "GND2") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 356.87 359.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND2" (id 1) (at 356.87 357.1161 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 356.87 353.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 356.87 353.06 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 42463415-c2ea-4374-81f6-a4ef7a6c7cfa))
+ )
+
+ (symbol (lib_id "driver-rescue:R-Device-center-rescue") (at 295.91 210.82 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 9ccc9dfc-5bea-4fa8-ac6d-25ead35d9519)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 294.132 208.5086 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 294.132 210.82 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 297.688 210.82 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 295.91 210.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 5) (at 295.91 210.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 6d41cfd6-00b0-47f2-be4f-344e58c81c17))
+ (pin "2" (uuid 3fa68aac-bddd-4cf2-9527-6e257da16c0c))
+ )
+
+ (symbol (lib_id "Isolator:LTV-847S") (at 486.41 207.01 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 9dd0e9c5-8960-427a-8944-6fe6dc2af2d6)
+ (default_instance (reference "U") (unit 1) (value "LTV-847S") (footprint "Package_DIP:SMDIP-16_W9.53mm"))
+ (property "Reference" "U" (id 0) (at 486.41 200.3679 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "LTV-847S" (id 1) (at 486.41 202.1301 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "Package_DIP:SMDIP-16_W9.53mm" (id 2) (at 486.41 214.63 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.us.liteon.com/downloads/LTV-817-827-847.PDF" (id 3) (at 471.17 195.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid a5d19a80-bf9a-4453-9b71-3536c8f8c117))
+ (pin "15" (uuid fff4df14-6839-4096-8b5a-839e812afb35))
+ (pin "16" (uuid 889b5c2a-2a13-42ed-b538-e2f30be14d57))
+ (pin "2" (uuid 49537668-a507-4ac4-a44c-afa030337f82))
+ (pin "13" (uuid df81d74c-71c8-410d-b52d-4ed44b12d79d))
+ (pin "14" (uuid 7d3e817d-7d83-4da9-aca5-85c71f917339))
+ (pin "3" (uuid dc0f6a47-87a9-4fc6-8bee-b9559df13194))
+ (pin "4" (uuid e4e78bf4-b67f-4cfb-9f7c-051d9bd446f2))
+ (pin "11" (uuid 9f7e6033-8b21-4cd5-a994-d219a2f77070))
+ (pin "12" (uuid 36ae9125-0276-4b85-8f53-dce787250f6b))
+ (pin "5" (uuid 9625029e-5073-4ce0-aa0c-74467d73e1a7))
+ (pin "6" (uuid bc6a53b7-f829-4d64-9be3-ff41bbe994a7))
+ (pin "10" (uuid a7d1e001-6819-49e0-8306-76bba2885f73))
+ (pin "7" (uuid 3dc07e71-02b9-4e1a-bce6-6f542f6a7e67))
+ (pin "8" (uuid 4ef4d15b-0661-4d52-be93-2ca8c56b9b15))
+ (pin "9" (uuid 61258915-9733-47e7-972a-b05f50bb0c26))
+ )
+
+ (symbol (lib_id "Device:R") (at 198.12 163.83 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid 9e6d2ade-a20c-4a29-833b-ba73af7ff8d1)
+ (default_instance (reference "R") (unit 1) (value "R") (footprint ""))
+ (property "Reference" "R" (id 0) (at 193.548 164.1166 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "R" (id 1) (at 199.898 164.9977 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Footprint" "" (id 2) (at 199.898 163.83 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 198.12 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C62526" (id 4) (at 198.12 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Uni-Royal" (id 5) (at 198.12 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg. PN" "MOR05SJ0100AA0" (id 6) (at 198.12 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 51ac248c-3750-4cd8-b79a-15d961672740))
+ (pin "2" (uuid 6dee3782-fd9c-4ad0-b402-71057b5a76c0))
+ )
+
+ (symbol (lib_id "power:+5VD") (at 386.08 312.42 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid 9f3e4758-eff4-41c9-a990-73607ecdae74)
+ (default_instance (reference "#PWR") (unit 1) (value "+5VD") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 386.08 316.23 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+5VD" (id 1) (at 386.08 308.9371 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 386.08 312.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 386.08 312.42 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2937a045-c656-4eca-a126-f9c53e40fde8))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 353.06 228.6 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid a24b8ff3-be3d-4082-b8ea-a096a4602a27)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 353.06 234.95 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 353.187 232.9942 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 353.06 228.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 353.06 228.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid adb033da-14bb-44b2-a849-1f0280f9e79f))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 372.11 336.55 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid a2b1eff2-369b-429f-b950-9f4828b5f281)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 369.7732 335.3816 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 369.57 337.82 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 372.11 336.55 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 372.11 336.55 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 372.11 336.55 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid be3e79ab-2445-4422-93f3-df0e5b6b1d42))
+ (pin "2" (uuid 1a10f861-2f2c-4683-917a-d614427f2dc5))
+ )
+
+ (symbol (lib_id "Converter_DCDC:MEE1S1205SC") (at 358.14 292.1 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid a3be9793-ee34-4c80-8d23-179c321f3349)
+ (default_instance (reference "PS") (unit 1) (value "MEE1S1205SC") (footprint "Converter_DCDC:Converter_DCDC_Murata_MEE1SxxxxSC_THT"))
+ (property "Reference" "PS" (id 0) (at 358.14 284.1879 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "MEE1S1205SC" (id 1) (at 358.14 285.9501 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "Converter_DCDC:Converter_DCDC_Murata_MEE1SxxxxSC_THT" (id 2) (at 331.47 298.45 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Datasheet" "https://power.murata.com/pub/data/power/ncl/kdc_mee1.pdf" (id 3) (at 384.81 299.72 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (pin "1" (uuid dcae119b-5256-40da-8700-7603b8e686c3))
+ (pin "2" (uuid 5e9daafc-0acd-455c-b597-0fb45f96457b))
+ (pin "3" (uuid a8497f12-8809-4d35-bf43-088aebdae1bb))
+ (pin "4" (uuid 363c1e78-af1c-464c-b298-0895df9fda8c))
+ )
+
+ (symbol (lib_id "power:+5VD") (at 356.87 337.82 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid a99ef720-a4f4-4007-987f-6f7018477a75)
+ (default_instance (reference "#PWR") (unit 1) (value "+5VD") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 356.87 341.63 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+5VD" (id 1) (at 356.87 334.3371 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 356.87 337.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 356.87 337.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 4fe71055-dff1-45b0-83b1-7ca424c77c13))
+ )
+
+ (symbol (lib_id "power:+5VD") (at 367.03 311.15 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid a9e2ad96-08bc-4564-998d-68e2e7b59934)
+ (default_instance (reference "#PWR") (unit 1) (value "+5VD") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 367.03 314.96 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+5VD" (id 1) (at 367.03 307.6671 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 367.03 311.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 367.03 311.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 9985cb23-3e13-425a-a74a-3bf27bcafdbf))
+ )
+
+ (symbol (lib_id "power:GND2") (at 387.35 302.26 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid ab2150cb-d670-4b0c-8f95-e9f55941abd8)
+ (default_instance (reference "#PWR") (unit 1) (value "GND2") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 387.35 308.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND2" (id 1) (at 387.35 306.3161 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 387.35 302.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 387.35 302.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid aeb0fcfa-6872-40b7-b382-0b51376999e2))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 100.33 113.03 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid af021366-44c2-4de1-b5f1-f66e177d3a23)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 100.33 119.38 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 100.203 117.4242 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 100.33 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 100.33 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3e28e142-c461-40c8-bb05-b1688cfc4acd))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 367.03 313.69 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid af9cd854-c598-4b77-a29f-76ce66035ebe)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 364.6932 312.5216 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 364.49 314.96 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 367.03 313.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 367.03 313.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 367.03 313.69 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 0f42d380-0ab2-4060-ba4e-d0b3ee11809b))
+ (pin "2" (uuid cbff84f4-c0a2-4098-b614-e910f241715e))
+ )
+
+ (symbol (lib_id "Connector:Screw_Terminal_01x02") (at 213.36 232.41 270) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid b24251b4-f569-4fa9-9d96-d42d7b8dcd02)
+ (default_instance (reference "J") (unit 1) (value "Screw_Terminal_01x02") (footprint ""))
+ (property "Reference" "J" (id 0) (at 215.392 231.8155 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "Screw_Terminal_01x02" (id 1) (at 215.392 233.5777 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 213.36 232.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 213.36 232.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 2e319008-f0ff-482e-b4eb-9a27bbe2d457))
+ (pin "2" (uuid f7c2acbb-8974-4f02-ba16-9c951130e0d4))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 471.17 184.15 270) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid b2f33963-e68b-4607-8041-8c3ae9c6ffbf)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 472.3384 182.6514 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 470.027 182.6514 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 471.17 184.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 471.17 184.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 471.17 184.15 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 57d91afe-cd55-4ab1-bd11-a379682c21f4))
+ (pin "2" (uuid 6cb5ec73-2745-40d2-8964-5098f9c7b550))
+ )
+
+ (symbol (lib_id "Device:R") (at 238.76 163.83 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid b46d89f5-26f5-4314-ae6f-83de0e781dcc)
+ (default_instance (reference "R") (unit 1) (value "R") (footprint ""))
+ (property "Reference" "R" (id 0) (at 240.538 164.1166 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "R" (id 1) (at 240.538 164.9977 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Footprint" "" (id 2) (at 236.982 163.83 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 238.76 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C62526" (id 4) (at 238.76 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Uni-Royal" (id 5) (at 238.76 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg. PN" "MOR05SJ0100AA0" (id 6) (at 238.76 163.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 7b296a56-f9bd-4480-ba68-c7a0ff936146))
+ (pin "2" (uuid 6dc97ff0-8bb2-4273-94ea-8e25c2d897f8))
+ )
+
+ (symbol (lib_id "driver-rescue:R-Device-center-rescue") (at 270.51 213.36 90) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid b78c7b05-788c-4020-8030-dd429daa3ce5)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 268.1986 215.138 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 270.51 215.138 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 270.51 211.582 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 270.51 213.36 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 5) (at 270.51 213.36 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid cef0f66b-5f80-4edf-807d-704bd4689d58))
+ (pin "2" (uuid 780adbf3-97c5-4e20-8639-120e69346605))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 505.46 243.84 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid bb341d99-3f4c-4d45-bb51-0701597f7ff2)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 508 242.57 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 502.92 245.11 90)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ (property "Footprint" "" (id 2) (at 505.46 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 505.46 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 505.46 243.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 51c1d259-b23a-4e6c-af71-9bbcc7e0115f))
+ (pin "2" (uuid ed855864-55d5-4f17-8c4a-c3fa1ebb1d44))
+ )
+
+ (symbol (lib_id "driver-rescue:+12V-power-center-rescue") (at 88.9 109.22 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid beb41aa2-f81c-4437-8a05-85630251adf9)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 88.9 113.03 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 89.281 105.9688 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 88.9 109.22 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 88.9 109.22 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid a12da28b-045d-4f8b-b2ab-ff272efedac8))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 471.17 209.55 270) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid c0896c9c-c3bb-43ad-ad89-84e1724343bb)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 472.3384 208.0514 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 470.027 208.0514 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 471.17 209.55 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 471.17 209.55 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 471.17 209.55 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid bd3f8a16-d43a-4f88-a1db-1970ee83a2da))
+ (pin "2" (uuid e12666f1-df26-4fde-8c2d-d038fca5a871))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device-center-rescue") (at 259.08 195.58 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid c2bf5059-61ca-413c-bd31-7da05a34a321)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 257.9116 193.2432 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 260.223 193.2432 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 259.08 195.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 259.08 195.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C38523" (id 4) (at 259.08 195.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Samsung" (id 5) (at 259.08 195.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "CL10C120JB8NNNC" (id 6) (at 259.08 195.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 5ef6f3cc-450f-42cb-963f-da6a0614335e))
+ (pin "2" (uuid 5912a08d-256d-4904-a0cf-bc8186264fef))
+ )
+
+ (symbol (lib_id "Device:R") (at 218.44 168.91 270) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid c40d20ef-14bd-4e2d-9258-76afa313bb09)
+ (default_instance (reference "R") (unit 1) (value "R") (footprint ""))
+ (property "Reference" "R" (id 0) (at 218.44 165.0619 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "R" (id 1) (at 218.44 166.8241 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 218.44 167.132 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 218.44 168.91 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Uni-Royal" (id 4) (at 218.44 168.91 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg PN" "MFR02SF100KA10" (id 5) (at 218.44 168.91 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C69885" (id 6) (at 218.44 168.91 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid e1c374ef-e39f-49ea-bf3f-04b07ae1e4fb))
+ (pin "2" (uuid d8462201-9d61-4eff-8789-4326592f170c))
+ )
+
+ (symbol (lib_id "Connector:Screw_Terminal_01x02") (at 241.3 232.41 270) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid c5b50de6-3112-401f-911c-77826b585ecc)
+ (default_instance (reference "J") (unit 1) (value "Screw_Terminal_01x02") (footprint ""))
+ (property "Reference" "J" (id 0) (at 243.332 231.8155 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "Screw_Terminal_01x02" (id 1) (at 243.332 233.5777 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 241.3 232.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 241.3 232.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 51ee9621-623b-494b-a526-407ff65a5801))
+ (pin "2" (uuid b6ff304d-2028-443e-9251-4357094ac67b))
+ )
+
+ (symbol (lib_id "kicad_sucks:STM32G070RxT") (at 422.91 191.77 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid c61acc9e-e17a-4b5d-9fef-9a29e2b45e62)
+ (default_instance (reference "U") (unit 1) (value "STM32G070RxT") (footprint "Package_QFP:LQFP-64_10x10mm_P0.5mm"))
+ (property "Reference" "U" (id 0) (at 424.8659 236.5931 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "STM32G070RxT" (id 1) (at 424.8659 238.3553 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm" (id 2) (at 407.67 232.41 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00086815.pdf" (id 3) (at 422.91 191.77 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 5389342a-bb38-4879-977d-13f247fc1eaa))
+ (pin "10" (uuid 89be5588-f74a-4e46-8580-adc0771dae51))
+ (pin "11" (uuid 7f7549cf-3299-4892-9993-91a461326d81))
+ (pin "12" (uuid 2d35cfc3-79b9-41ed-a12d-c1ac35edd9fa))
+ (pin "13" (uuid 9140c1f5-c709-4227-bc8d-64b72cb40e3b))
+ (pin "14" (uuid f93eb475-46ae-44c0-b6e1-95d23fe317a0))
+ (pin "15" (uuid 4148a5f0-db41-4dae-beef-e53bc35c8753))
+ (pin "16" (uuid 36ab9c65-b888-4eab-8634-fa7272dc3c03))
+ (pin "17" (uuid 142ab932-4981-4aa0-bba8-bd7436902449))
+ (pin "18" (uuid f3c2c3e0-14bd-44de-b37f-2e16610216f9))
+ (pin "19" (uuid c660d6dd-7403-4fff-af02-73f175cd1bf9))
+ (pin "2" (uuid b8c21547-2bfc-42e7-954b-aa3c4920feda))
+ (pin "20" (uuid eec0126b-5996-47c9-91d1-cd0df6910b73))
+ (pin "21" (uuid bc402986-6824-47e3-8589-4098f36a8ad9))
+ (pin "22" (uuid 45535d49-b9aa-40cb-8bb8-73e87e9d41f9))
+ (pin "23" (uuid 3ac1d724-5ef3-4641-8dbf-57e3293eaf42))
+ (pin "24" (uuid cbe9cc74-5f7c-4867-9418-fcd426e033b0))
+ (pin "25" (uuid adb4262d-0e56-4cd9-82aa-e7d9a16bf57b))
+ (pin "26" (uuid f49a04e3-a5ef-43f4-8986-aa7f7c5dee7b))
+ (pin "27" (uuid 83af3bca-97d3-4437-9f65-159604238dd3))
+ (pin "28" (uuid f78aac5d-4f40-458f-94d4-793c0cbc8d7c))
+ (pin "29" (uuid d45d262e-97c2-46e2-87a8-1cf1926912a1))
+ (pin "3" (uuid 80572ed2-dfad-42a0-ad91-9b818c6b1a9e))
+ (pin "30" (uuid 5d2ef155-e938-461f-a1f7-ce084af42788))
+ (pin "31" (uuid e862d594-94a4-42dd-a3ee-31ac2c8e710a))
+ (pin "32" (uuid 67f85af7-36fa-4951-92dd-aa402e9ec060))
+ (pin "33" (uuid 7cf72499-d861-48bc-b216-44b9fcafe795))
+ (pin "34" (uuid c64e66c7-3301-4af2-b05f-0c2bdd4d60ee))
+ (pin "35" (uuid 8f6dbe80-9d01-4e7e-aeee-602fd4bbe48f))
+ (pin "36" (uuid 72b2c175-4476-4f3a-89b8-b360ac57accf))
+ (pin "37" (uuid 67747bb9-5983-40de-8fed-580f2b83e737))
+ (pin "38" (uuid 38c5b153-20a5-4213-9897-c8fd8e40e81d))
+ (pin "39" (uuid 968234dd-f079-4946-9338-823f26edb1f2))
+ (pin "4" (uuid f742f653-72a8-4db8-a6e8-f48ff88c5725))
+ (pin "40" (uuid 34167e2b-819f-43e7-8e9a-5d52f5a1e06c))
+ (pin "41" (uuid 43d1e780-c850-4235-a1ea-2c35bbd04352))
+ (pin "42" (uuid 3714ffa2-f2b7-405c-878f-3e7b15035616))
+ (pin "43" (uuid cc5d9fc7-e2af-4dec-a511-8391e16d7fb6))
+ (pin "44" (uuid ec3682a5-3494-4829-b2d3-70366bcbaf75))
+ (pin "45" (uuid 8979fd9b-972e-4e4a-bada-d0479d6a1c9e))
+ (pin "46" (uuid 177bf632-1dcd-4c48-b812-772888c271e0))
+ (pin "47" (uuid e23caa54-66ff-4d73-b5cf-4bccd75e18f2))
+ (pin "48" (uuid 2fc75120-9b83-488a-80b3-2e61e8d03882))
+ (pin "49" (uuid c782be4f-0d0f-4931-a349-4ee656957b02))
+ (pin "5" (uuid 287792a6-ff11-4300-91b3-0c6eda397167))
+ (pin "50" (uuid beaabe04-3a39-4a5b-b455-2df3d23a310f))
+ (pin "51" (uuid 02148b83-f770-44e2-ad1b-990c5e05cee1))
+ (pin "52" (uuid 4ff81030-b0cf-4ff8-8034-e99b8800a1f0))
+ (pin "53" (uuid c16dad25-0dc4-4a2e-b645-6bd10a817eaf))
+ (pin "54" (uuid d4cf24cc-31cc-4c46-ad41-6c1fe705a3ed))
+ (pin "55" (uuid 796f71a0-1c17-4b34-b3fe-86db1aabdff7))
+ (pin "56" (uuid fc459882-5597-4430-9d09-65308a4ddbae))
+ (pin "57" (uuid 24595ea8-7be4-4932-a1a0-ad746d680317))
+ (pin "58" (uuid 06ad87af-b27c-4d42-bdcc-b9545eaa52fb))
+ (pin "59" (uuid 4cb36bc6-a787-4496-b3cc-a1a784cd2b36))
+ (pin "6" (uuid 89bc38f0-cd63-44ed-9967-90333037d495))
+ (pin "60" (uuid 4058cb28-5fd0-4045-acab-0dbbd197abe1))
+ (pin "61" (uuid d9e179dd-9b25-470a-8caa-b57e3d5eb751))
+ (pin "62" (uuid 3e644740-fa44-4e62-9ca2-87f39d6c7c93))
+ (pin "63" (uuid f93265dd-47e5-4877-b2d0-c02f38fc325c))
+ (pin "64" (uuid b7c9d218-6606-4e27-bb5a-43753a5adea0))
+ (pin "7" (uuid f5470486-a665-47b3-9cf7-e01bc8998a5e))
+ (pin "8" (uuid 945e2c46-209d-463e-b722-b5e6f2895db0))
+ (pin "9" (uuid 826a232d-0fb3-45df-b1e0-d80bfdcdb6d3))
+ )
+
+ (symbol (lib_id "driver-rescue:TestPoint_Alt-Connector") (at 337.82 215.9 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid c953b689-85e2-43af-88a1-5d7a52c304fe)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 336.3468 215.2396 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Value" "" (id 1) (at 336.3468 212.9282 0)
+ (effects (font (size 1.27 1.27)) (justify right))
+ )
+ (property "Footprint" "" (id 2) (at 342.9 215.9 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 342.9 215.9 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "" (id 4) (at 337.82 215.9 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "BOM ignore" "yes" (id 5) (at 337.82 215.9 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid b6a8579b-72ec-4fbc-82ca-1e3593a3e44d))
+ )
+
+ (symbol (lib_id "power:GND2") (at 516.89 337.82 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid c957f1bb-9f9d-4a50-9c50-31d777cb631e)
+ (default_instance (reference "#PWR") (unit 1) (value "GND2") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 516.89 344.17 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND2" (id 1) (at 516.89 341.8761 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 516.89 337.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 516.89 337.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid ac6dc94e-dc69-4c8e-a98b-13fa27f9a9dd))
+ )
+
+ (symbol (lib_id "driver-rescue:R-Device-center-rescue") (at 270.51 195.58 90) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid cf8bf2ad-1307-4f34-b091-5ca34385376f)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 268.1986 193.802 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 270.51 193.802 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 270.51 197.358 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 270.51 195.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 5) (at 270.51 195.58 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3ecfe702-0558-4efc-887c-2d36defb798e))
+ (pin "2" (uuid 1ec912b3-486b-4d07-9101-320bbea25249))
+ )
+
+ (symbol (lib_id "driver-rescue:GND-power") (at 466.09 110.49 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid d0b24130-fc54-415d-9228-ff40baac402c)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 466.09 116.84 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 466.217 114.8842 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 466.09 110.49 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 466.09 110.49 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 17bb5ca9-655e-4dae-ac21-4731eaea8f72))
+ )
+
+ (symbol (lib_id "power:+5VD") (at 372.11 334.01 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid d1e11bdc-168c-4a6c-be8b-683d7043fe6d)
+ (default_instance (reference "#PWR") (unit 1) (value "+5VD") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 372.11 337.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+5VD" (id 1) (at 372.11 330.5271 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 372.11 334.01 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 372.11 334.01 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 285c9236-1387-477f-ae8b-0c825d6215d3))
+ )
+
+ (symbol (lib_id "Connector_Generic:Conn_02x04_Odd_Even") (at 473.71 102.87 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid d2eeb85c-560f-42eb-81c3-dd6e17365b91)
+ (default_instance (reference "J") (unit 1) (value "Conn_02x04_Odd_Even") (footprint ""))
+ (property "Reference" "J" (id 0) (at 474.98 96.2279 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Conn_02x04_Odd_Even" (id 1) (at 474.98 97.9901 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 473.71 102.87 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 473.71 102.87 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 47a06a28-6d57-4ac5-ab15-77caf5cec09b))
+ (pin "2" (uuid bfd633e7-00fd-4027-9190-859c8d0e0959))
+ (pin "3" (uuid e3257b55-8f3e-42e5-8967-589bf5ab1b97))
+ (pin "4" (uuid c5deeb3a-79e4-43b4-af00-ae80e9b3b150))
+ (pin "5" (uuid 19b4c6ba-4674-4db6-9a00-817bb89ecbf4))
+ (pin "6" (uuid a880c163-ab40-4f01-82d6-c55a899fdd2a))
+ (pin "7" (uuid f42f38cd-5203-483f-bf43-f964622c0264))
+ (pin "8" (uuid 0e058891-ac22-445f-b20c-a141fb2da958))
+ )
+
+ (symbol (lib_id "power:GND") (at 331.47 302.26 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid d78d4f30-4188-4210-8283-3164fb953cc3)
+ (default_instance (reference "#PWR") (unit 1) (value "GND") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 331.47 308.61 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND" (id 1) (at 331.47 306.3161 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 331.47 302.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 331.47 302.26 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid fd224005-5159-4c78-bef4-612f45735bb3))
+ )
+
+ (symbol (lib_id "power:GND2") (at 361.95 332.74 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid dc26932f-74b3-4a61-9b54-1ede65cb35c8)
+ (default_instance (reference "#PWR") (unit 1) (value "GND2") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 361.95 339.09 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "GND2" (id 1) (at 361.95 336.7961 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 361.95 332.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 361.95 332.74 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 29a71de4-1286-4e79-bb43-e06bc7f58965))
+ )
+
+ (symbol (lib_id "Device:C_Polarized") (at 184.15 111.76 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid dea10be2-a770-4911-9149-2f33a3fbb128)
+ (default_instance (reference "C") (unit 1) (value "C_Polarized") (footprint ""))
+ (property "Reference" "C" (id 0) (at 187.071 110.2765 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "C_Polarized" (id 1) (at 159.131 110.7687 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 185.1152 115.57 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 184.15 111.76 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg PN" "100ZLH470MEFC16X31.5" (id 4) (at 184.15 111.76 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Rubycon" (id 5) (at 184.15 111.76 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C1579811" (id 6) (at 184.15 111.76 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 9ce2d33a-aad6-4799-81e5-555faeda8811))
+ (pin "2" (uuid 059f114f-3c43-422f-86cb-3d63cca1360b))
+ )
+
+ (symbol (lib_id "driver-rescue:+3V3-power") (at 422.91 125.73 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid df0567a0-4dee-407c-9abc-84d86470f43e)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 422.91 129.54 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 423.291 121.3358 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 422.91 125.73 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 422.91 125.73 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 3115d3e3-85df-4589-9706-4afc721945ed))
+ )
+
+ (symbol (lib_id "power:+5VD") (at 356.87 317.5 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid e115c0a9-9211-4757-a77e-99280dddbcbd)
+ (default_instance (reference "#PWR") (unit 1) (value "+5VD") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 356.87 321.31 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+5VD" (id 1) (at 356.87 314.0171 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 356.87 317.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 356.87 317.5 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 75578047-fe95-4efc-a75e-051a05198f2b))
+ )
+
+ (symbol (lib_id "Connector:Screw_Terminal_01x02") (at 227.33 232.41 270) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid e2d5e0f5-8430-420a-940f-95f415d9a45e)
+ (default_instance (reference "J") (unit 1) (value "Screw_Terminal_01x02") (footprint ""))
+ (property "Reference" "J" (id 0) (at 229.362 231.8155 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "Screw_Terminal_01x02" (id 1) (at 229.362 233.5777 90)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 227.33 232.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 227.33 232.41 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 30dd5938-a92a-4058-a239-4308213b2bbe))
+ (pin "2" (uuid 6d44836e-a9bd-4ca5-b9aa-386398f78ecf))
+ )
+
+ (symbol (lib_id "Connector:Screw_Terminal_01x02") (at 115.57 160.02 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid e3d31b7f-ecb2-4724-ae8d-ecfba42e6b40)
+ (default_instance (reference "J") (unit 1) (value "Screw_Terminal_01x02") (footprint ""))
+ (property "Reference" "J" (id 0) (at 115.57 166.6621 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "Screw_Terminal_01x02" (id 1) (at 115.57 164.8999 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 115.57 160.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 115.57 160.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid a8e1464d-4c7d-4841-99d9-fdaa9619ae4e))
+ (pin "2" (uuid 86f88e0b-ee41-4454-9a78-6eb92ff297e3))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 471.17 196.85 270) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid e4deee04-ab17-4da1-b3f6-5ca6a06066ac)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 472.3384 195.3514 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 470.027 195.3514 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 471.17 196.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 471.17 196.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 471.17 196.85 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid cf040844-d64e-4e18-abd0-75146140dd1a))
+ (pin "2" (uuid 5caceb71-7736-43cf-b592-6164abf29059))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device-center-rescue") (at 288.29 210.82 180) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid e6e9bf9d-e16e-4894-8739-34862089b305)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 285.9532 211.9884 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 285.9532 209.677 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 288.29 210.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 288.29 210.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C38523" (id 4) (at 288.29 210.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Samsung" (id 5) (at 288.29 210.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "PN" "CL10C120JB8NNNC" (id 6) (at 288.29 210.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid bd5540fb-fa49-4e17-903b-6f3b18687cc4))
+ (pin "2" (uuid c38b81f9-50b7-4ea0-8baf-b47f0a0df1d6))
+ )
+
+ (symbol (lib_id "Isolator:LTV-847S") (at 486.41 194.31 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid e7e1a72b-0d9d-4299-b34c-e9d83dc24da3)
+ (default_instance (reference "U") (unit 1) (value "LTV-847S") (footprint "Package_DIP:SMDIP-16_W9.53mm"))
+ (property "Reference" "U" (id 0) (at 486.41 187.6679 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "LTV-847S" (id 1) (at 486.41 189.4301 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "Package_DIP:SMDIP-16_W9.53mm" (id 2) (at 486.41 201.93 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.us.liteon.com/downloads/LTV-817-827-847.PDF" (id 3) (at 471.17 182.88 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 37fd1b37-921b-424a-806f-2dd98666196f))
+ (pin "15" (uuid 52bf91b8-a093-4060-84be-11717ff5c62d))
+ (pin "16" (uuid cd1a7ce8-8257-42f0-ae85-6f966c2eb698))
+ (pin "2" (uuid 9776be64-c75c-47f4-a4ef-a178e79944b0))
+ (pin "13" (uuid cfe3d510-dc6e-442c-a200-88d1eb7adeaa))
+ (pin "14" (uuid 2c1c5941-8587-4c97-bbb9-5d9ab32d1de8))
+ (pin "3" (uuid c258f25c-d7ba-4561-a99a-2194dc828cf2))
+ (pin "4" (uuid 0cfde175-6387-4d30-91f5-28aea857a99a))
+ (pin "11" (uuid 58c6c5a2-8b93-4e54-8bc3-3d8f9da0945d))
+ (pin "12" (uuid de46b29a-b40d-40c1-a052-838f9001d133))
+ (pin "5" (uuid 093010e5-8225-4698-a9b6-322ef11c6664))
+ (pin "6" (uuid fe33adeb-dfa0-4488-b087-6fcb9c1e4d8d))
+ (pin "10" (uuid 44672eae-f6f5-4c4d-ad72-93c9e7198f6e))
+ (pin "7" (uuid a0b42fb1-f790-45b2-bfba-ba71c1c65a3c))
+ (pin "8" (uuid 532db008-78d9-41bf-a40b-4ffd68ea1a1d))
+ (pin "9" (uuid 21d710a2-a2dc-41f5-898d-7cdf4d6c1d30))
+ )
+
+ (symbol (lib_id "driver-rescue:+3V3-power") (at 232.41 254 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid e7f16d4c-0826-4ad8-a02d-62d8a3d6ff3e)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 232.41 257.81 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 232.791 249.6058 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 232.41 254 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 232.41 254 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid ca54190b-e1ed-4c5f-b047-32ce20f900e4))
+ )
+
+ (symbol (lib_id "driver-rescue:+3V3-power") (at 483.87 96.52 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid eb3d31ac-a6a7-49a0-addf-f90b47169935)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 483.87 100.33 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "" (id 1) (at 484.251 92.1258 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 483.87 96.52 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 483.87 96.52 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 83fb776b-6896-43c5-a92d-0c596bfd4232))
+ )
+
+ (symbol (lib_id "power:+5VD") (at 387.35 287.02 0) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid ef3f5625-ced6-4e06-b8e9-a424792975f5)
+ (default_instance (reference "#PWR") (unit 1) (value "+5VD") (footprint ""))
+ (property "Reference" "#PWR" (id 0) (at 387.35 290.83 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Value" "+5VD" (id 1) (at 387.35 283.5371 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 387.35 287.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (id 3) (at 387.35 287.02 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid c134b74e-26ce-4278-acab-e75f9ba4ac35))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 471.17 171.45 270) (mirror x) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid f3cfebf1-3a9e-4318-95df-c9bae313246c)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 472.3384 169.9514 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 470.027 169.9514 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 471.17 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 471.17 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 471.17 171.45 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid f1517e69-0b35-4118-840b-1bca3be5f759))
+ (pin "2" (uuid 343b92af-1a91-4d7b-bab5-f2df99783dc6))
+ )
+
+ (symbol (lib_id "Device:L_Ferrite") (at 240.03 156.21 90) (unit 1)
+ (in_bom yes) (on_board yes) (fields_autoplaced)
+ (uuid f43a5567-f25a-4924-8c97-68583bc42873)
+ (default_instance (reference "L") (unit 1) (value "L_Ferrite") (footprint ""))
+ (property "Reference" "L" (id 0) (at 240.03 151.9809 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "L_Ferrite" (id 1) (at 240.03 153.7431 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 240.03 156.21 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 240.03 156.21 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "SunItech" (id 4) (at 240.03 156.21 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg PN" "SLB1890P100MTT" (id 5) (at 240.03 156.21 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C2842789" (id 6) (at 240.03 156.21 90)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 5b03f30a-30ee-4feb-ae72-28e028924517))
+ (pin "2" (uuid 546ba658-cfd2-4665-9885-cbd724a0c615))
+ )
+
+ (symbol (lib_id "driver-rescue:C_Small-Device") (at 224.79 168.91 270) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid f4d90cbf-0f7d-4eda-aa63-de93fdab2d29)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 225.9584 171.2468 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "" (id 1) (at 223.52 171.45 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "" (id 2) (at 224.79 168.91 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 224.79 168.91 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 224.79 168.91 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "Panasonic" (id 5) (at 224.79 168.91 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg PN" "ECWH8103HA" (id 6) (at 224.79 168.91 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C409654" (id 7) (at 224.79 168.91 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 4e4fe5fa-1a6f-4832-b023-8df29835d4a7))
+ (pin "2" (uuid 7e7622b5-1cdb-4268-9482-b9fa906469b8))
+ )
+
+ (symbol (lib_id "driver-rescue:R_Small-Device") (at 353.06 223.52 0) (mirror y) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid f72b7214-cc95-413a-bec7-88536b5a0c77)
+ (default_instance (reference "U") (unit 1) (value "") (footprint ""))
+ (property "Reference" "U" (id 0) (at 354.33 227.33 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "" (id 1) (at 355.6 223.52 90)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (id 2) (at 353.06 223.52 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "~" (id 3) (at 353.06 223.52 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Stock" "yes" (id 4) (at 353.06 223.52 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 607af8c7-9208-4ade-8165-60f54b5561a1))
+ (pin "2" (uuid a2b95541-5a33-4ec7-b7bb-4eb0df9572ae))
+ )
+
+ (symbol (lib_id "Amplifier_Current:INA138") (at 234.95 264.16 0) (unit 1)
+ (in_bom yes) (on_board yes)
+ (uuid f7c407c8-f254-4461-983e-623b36e4ee98)
+ (default_instance (reference "U") (unit 1) (value "INA138") (footprint "Package_TO_SOT_SMD:SOT-23-5"))
+ (property "Reference" "U" (id 0) (at 245.2593 261.201 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "INA138" (id 1) (at 241.4493 259.1532 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 234.95 264.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.ti.com/lit/ds/symlink/ina138.pdf" (id 3) (at 234.95 264.033 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg" "TI" (id 4) (at 234.95 264.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Mfg PN" "INA180A1IDBVR" (id 5) (at 234.95 264.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "LCSC" "C122228" (id 6) (at 234.95 264.16 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid 53ef5283-0be5-4488-bbc5-960cd349abf2))
+ (pin "2" (uuid 178a26e7-cb2d-40e2-8d33-96251d7e54d3))
+ (pin "3" (uuid 295bec30-886d-40bd-b2a4-184a9eac7456))
+ (pin "4" (uuid a758d95d-ef70-4bac-807a-b8274ca67c16))
+ (pin "5" (uuid a94dc31f-348f-4a4c-bc4f-95affd966043))
+ )
+
+ (sheet_instances
+ (path "/" (page "1"))
+ )
+
+ (symbol_instances
+ (path "/00000000-0000-0000-0000-00005eb1cb24"
+ (reference "#PWR01") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005eb1d82c"
+ (reference "#PWR08") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/df0567a0-4dee-407c-9abc-84d86470f43e"
+ (reference "#PWR0101") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/715a2bd4-6fd8-42b5-a18e-3215a5bc9cdd"
+ (reference "#PWR0102") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c3e47f9"
+ (reference "#PWR0103") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005ec08c00"
+ (reference "#PWR0104") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c41e390"
+ (reference "#PWR0105") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005ec8eee4"
+ (reference "#PWR0106") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/1c0a266b-9c58-442e-89ba-70cdeb3e506d"
+ (reference "#PWR0107") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c45eb4a"
+ (reference "#PWR0108") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/af021366-44c2-4de1-b5f1-f66e177d3a23"
+ (reference "#PWR0109") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5d7a"
+ (reference "#PWR0110") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5dbc"
+ (reference "#PWR0111") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c4d989c"
+ (reference "#PWR0112") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/1f7d3553-bc01-40c4-ada5-72e89d00d9c3"
+ (reference "#PWR0113") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5dc8"
+ (reference "#PWR0114") (unit 1) (value "+3.3V") (footprint "")
+ )
+ (path "/e7f16d4c-0826-4ad8-a02d-62d8a3d6ff3e"
+ (reference "#PWR0115") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005f2b36e5"
+ (reference "#PWR0116") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c5cb59e"
+ (reference "#PWR0117") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c5deabd"
+ (reference "#PWR0118") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c5f5707"
+ (reference "#PWR0119") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005f51aa69"
+ (reference "#PWR0120") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/1172d9c5-63fe-4c5f-adad-641167aab8f9"
+ (reference "#PWR0121") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/52329ae2-d787-4ab0-9bc1-9eccbd34e4be"
+ (reference "#PWR0122") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/8164018f-a90e-489a-bce5-9dfbf81ebace"
+ (reference "#PWR0123") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c6b0329"
+ (reference "#PWR0124") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/900ae731-9451-4ce3-a749-b8dd06a194ea"
+ (reference "#PWR0125") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/4bd301e2-80f0-4140-a049-6d6d0e9838bd"
+ (reference "#PWR0126") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c63ab1c"
+ (reference "#PWR0127") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c7621fb"
+ (reference "#PWR0128") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/03f32049-cf15-4c56-a88d-7f40eb3694a1"
+ (reference "#PWR0129") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/0b8280c8-a90c-46d4-bec2-7b70f7889ecf"
+ (reference "#PWR0130") (unit 1) (value "+5VD") (footprint "")
+ )
+ (path "/eb3d31ac-a6a7-49a0-addf-f90b47169935"
+ (reference "#PWR0131") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/d0b24130-fc54-415d-9228-ff40baac402c"
+ (reference "#PWR0132") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/36a86f70-de8f-46b6-8873-eb1a75fecacb"
+ (reference "#PWR0133") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cfc5cfe"
+ (reference "#PWR0134") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cdc9131"
+ (reference "#PWR0135") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/9b2a1188-d354-4fc3-9d1f-56b7752be862"
+ (reference "#PWR0136") (unit 1) (value "GND2") (footprint "")
+ )
+ (path "/a99ef720-a4f4-4007-987f-6f7018477a75"
+ (reference "#PWR0137") (unit 1) (value "+5VD") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005cf808d0"
+ (reference "#PWR0138") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/606f7f6d-8cf0-4bfc-9068-868cf55b3901"
+ (reference "#PWR0139") (unit 1) (value "GND2") (footprint "")
+ )
+ (path "/94ca9640-a473-4fcf-a0a4-a8b3238ea532"
+ (reference "#PWR0140") (unit 1) (value "GND2") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005e583365"
+ (reference "#PWR0141") (unit 1) (value "+3.3V") (footprint "")
+ )
+ (path "/19fe62d1-d4ad-4012-a770-667225e5717f"
+ (reference "#PWR0142") (unit 1) (value "GND2") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005d05213d"
+ (reference "#PWR0143") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/7ee34d23-512f-4e32-acfd-8ef72c7ca4f2"
+ (reference "#PWR0144") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/402af792-f6af-4a9a-a2eb-304b5043e35d"
+ (reference "#PWR0145") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005d958091"
+ (reference "#PWR0146") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005c55ea3d"
+ (reference "#PWR0147") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/c957f1bb-9f9d-4a50-9c50-31d777cb631e"
+ (reference "#PWR0148") (unit 1) (value "GND2") (footprint "")
+ )
+ (path "/58720344-4821-4edb-832c-b98d678ee2d2"
+ (reference "#PWR0149") (unit 1) (value "GND2") (footprint "")
+ )
+ (path "/e115c0a9-9211-4757-a77e-99280dddbcbd"
+ (reference "#PWR0150") (unit 1) (value "+5VD") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005d19f330"
+ (reference "#PWR0151") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/6f7a51cf-e6f1-41b2-a80b-3e61fb73c13d"
+ (reference "#PWR0152") (unit 1) (value "+3V3") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005d1ed8c0"
+ (reference "#PWR0153") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/d78d4f30-4188-4210-8283-3164fb953cc3"
+ (reference "#PWR0154") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/69912ff0-016c-4e6c-988c-8fe2ad8259dd"
+ (reference "#PWR0155") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/a9e2ad96-08bc-4564-998d-68e2e7b59934"
+ (reference "#PWR0156") (unit 1) (value "+5VD") (footprint "")
+ )
+ (path "/426b575c-ec4c-4873-ab05-cbaae883fc02"
+ (reference "#PWR0157") (unit 1) (value "+5VD") (footprint "")
+ )
+ (path "/ef3f5625-ced6-4e06-b8e9-a424792975f5"
+ (reference "#PWR0158") (unit 1) (value "+5VD") (footprint "")
+ )
+ (path "/ab2150cb-d670-4b0c-8f95-e9f55941abd8"
+ (reference "#PWR0159") (unit 1) (value "GND2") (footprint "")
+ )
+ (path "/9f3e4758-eff4-41c9-a990-73607ecdae74"
+ (reference "#PWR0160") (unit 1) (value "+5VD") (footprint "")
+ )
+ (path "/87400a6c-79b7-41cd-9a12-8c3d9bbd2c83"
+ (reference "#PWR0161") (unit 1) (value "GND2") (footprint "")
+ )
+ (path "/d1e11bdc-168c-4a6c-be8b-683d7043fe6d"
+ (reference "#PWR0162") (unit 1) (value "+5VD") (footprint "")
+ )
+ (path "/dc26932f-74b3-4a61-9b54-1ede65cb35c8"
+ (reference "#PWR0163") (unit 1) (value "GND2") (footprint "")
+ )
+ (path "/644fee73-2210-462f-8de9-a1c31e7bdbae"
+ (reference "#PWR0164") (unit 1) (value "GND2") (footprint "")
+ )
+ (path "/3239dea9-b266-470f-bcd6-dbef7c3d435b"
+ (reference "#PWR0165") (unit 1) (value "GND2") (footprint "")
+ )
+ (path "/beb41aa2-f81c-4437-8a05-85630251adf9"
+ (reference "#PWR0166") (unit 1) (value "+12V") (footprint "")
+ )
+ (path "/05f0ec9d-79b6-4fd4-a835-970e0b2ce6f6"
+ (reference "#PWR0167") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/a24b8ff3-be3d-4082-b8ea-a096a4602a27"
+ (reference "#PWR0168") (unit 1) (value "GND") (footprint "")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5da6"
+ (reference "C1") (unit 1) (value "220u/63V") (footprint "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm")
+ )
+ (path "/00000000-0000-0000-0000-00005ec07eab"
+ (reference "C2") (unit 1) (value "1u/25V") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5db0"
+ (reference "C3") (unit 1) (value "100n/60V") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5db6"
+ (reference "C4") (unit 1) (value "100n/60V") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5d97"
+ (reference "C5") (unit 1) (value "12p") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005cfc5cf2"
+ (reference "C6") (unit 1) (value "100n") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5d4e"
+ (reference "C7") (unit 1) (value "2200uF/25V/2A") (footprint "Capacitor_THT:CP_Radial_D13.0mm_P5.00mm")
+ )
+ (path "/00000000-0000-0000-0000-00005cc58c3c"
+ (reference "C8") (unit 1) (value "100n") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/dea10be2-a770-4911-9149-2f33a3fbb128"
+ (reference "C9") (unit 1) (value "470u {slash} 100V {slash} 2.5A") (footprint "Capacitor_THT:CP_Radial_D16.0mm_P7.50mm")
+ )
+ (path "/00000000-0000-0000-0000-00005eb07457"
+ (reference "C10") (unit 1) (value "470n/60V") (footprint "Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005c5bbf20"
+ (reference "C11") (unit 1) (value "100n") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005c5bd76d"
+ (reference "C12") (unit 1) (value "100n") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005c5c8d7a"
+ (reference "C13") (unit 1) (value "100n") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005c5c9980"
+ (reference "C14") (unit 1) (value "100n") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5df5"
+ (reference "C15") (unit 1) (value "10u/16V") (footprint "Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5def"
+ (reference "C16") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5e07"
+ (reference "C17") (unit 1) (value "47u") (footprint "Capacitor_THT:CP_Radial_D5.0mm_P2.00mm")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5de9"
+ (reference "C18") (unit 1) (value "10u/6V") (footprint "Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5de3"
+ (reference "C19") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005c645e08"
+ (reference "C20") (unit 1) (value "12p") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005c4aaedb"
+ (reference "C21") (unit 1) (value "1n") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005c6343c3"
+ (reference "C22") (unit 1) (value "12p") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005c61defc"
+ (reference "C23") (unit 1) (value "470n/60V") (footprint "Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005c60d685"
+ (reference "C24") (unit 1) (value "1u/25V") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/2f3453a1-c08c-4d90-82f7-c4df3b239135"
+ (reference "C25") (unit 1) (value "470u {slash} 100V {slash} 2.5A") (footprint "Capacitor_THT:CP_Radial_D16.0mm_P7.50mm")
+ )
+ (path "/72d2c216-469e-4d88-a666-c9793ae558aa"
+ (reference "C26") (unit 1) (value "2u2 / 100V") (footprint "Capacitor_THT:C_Rect_L18.0mm_W11.0mm_P15.00mm_FKS3_FKP3")
+ )
+ (path "/252702fe-8dd0-474b-b774-a4889b069bf2"
+ (reference "C27") (unit 1) (value "2u2 / 100V") (footprint "Capacitor_THT:C_Rect_L18.0mm_W11.0mm_P15.00mm_FKS3_FKP3")
+ )
+ (path "/c2bf5059-61ca-413c-bd31-7da05a34a321"
+ (reference "C28") (unit 1) (value "470p") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005c5f270f"
+ (reference "C29") (unit 1) (value "100n") (footprint "Capacitors_SMD:C_0603_HandSoldering")
+ )
+ (path "/f4d90cbf-0f7d-4eda-aa63-de93fdab2d29"
+ (reference "C30") (unit 1) (value "10n / 100V") (footprint "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P12.50mm_Horizontal")
+ )
+ (path "/212ea2d0-4d8a-4057-8f98-e1bb1f48d1b3"
+ (reference "C31") (unit 1) (value "470p") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder")
+ )
+ (path "/8fb8253c-7416-49e2-bbaf-29644ee70398"
+ (reference "C32") (unit 1) (value "2u2 / 100V") (footprint "Capacitor_THT:C_Rect_L18.0mm_W11.0mm_P15.00mm_FKS3_FKP3")
+ )
+ (path "/e6e9bf9d-e16e-4894-8739-34862089b305"
+ (reference "C33") (unit 1) (value "470p") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder")
+ )
+ (path "/17cb22f9-3f33-4526-b1f7-895e2692eb7b"
+ (reference "C34") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder")
+ )
+ (path "/af9cd854-c598-4b77-a29f-76ce66035ebe"
+ (reference "C35") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder")
+ )
+ (path "/a2b1eff2-369b-429f-b950-9f4828b5f281"
+ (reference "C36") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder")
+ )
+ (path "/0cf934ad-7972-4815-b25d-fe2110a18121"
+ (reference "C37") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder")
+ )
+ (path "/3caf0208-91fb-43a8-a3d9-de162ce9247b"
+ (reference "C38") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005e7e19e7"
+ (reference "D1") (unit 1) (value "SS510") (footprint "Diode_SMD:D_SMA")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5e14"
+ (reference "D2") (unit 1) (value "SS510") (footprint "Diode_SMD:D_SMA")
+ )
+ (path "/00000000-0000-0000-0000-00005eab007a"
+ (reference "D3") (unit 1) (value "SS510") (footprint "Diode_SMD:D_SMA")
+ )
+ (path "/00000000-0000-0000-0000-00005d120495"
+ (reference "D4") (unit 1) (value "cyan") (footprint "LED_SMD:LED_PLCC_2835_Handsoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005d120f6f"
+ (reference "D5") (unit 1) (value "cyan") (footprint "LED_SMD:LED_PLCC_2835_Handsoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005d12221b"
+ (reference "D6") (unit 1) (value "pink") (footprint "LED_SMD:LED_PLCC_2835_Handsoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005d12562a"
+ (reference "D7") (unit 1) (value "pink") (footprint "LED_SMD:LED_PLCC_2835_Handsoldering")
+ )
+ (path "/336bf414-4974-4697-a337-23569825dc1e"
+ (reference "D8") (unit 1) (value "BAT54C") (footprint "Package_TO_SOT_SMD:SOT-23")
+ )
+ (path "/5f9e2b14-3c40-4075-bd3f-a18118069179"
+ (reference "D9") (unit 1) (value "pink") (footprint "LED_SMD:LED_PLCC_2835_Handsoldering")
+ )
+ (path "/749906a2-0a50-44ab-99c7-ee7886de51ed"
+ (reference "D10") (unit 1) (value "cyan") (footprint "LED_SMD:LED_PLCC_2835_Handsoldering")
+ )
+ (path "/00000000-0000-0000-0000-00005c68e1b2"
+ (reference "H1") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.2mm_M3")
+ )
+ (path "/00000000-0000-0000-0000-00005c68f0b2"
+ (reference "H2") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.2mm_M3")
+ )
+ (path "/00000000-0000-0000-0000-00005c6913f3"
+ (reference "H3") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.2mm_M3")
+ )
+ (path "/00000000-0000-0000-0000-00005c6916d1"
+ (reference "H4") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.2mm_M3")
+ )
+ (path "/00000000-0000-0000-0000-00005c580f0a"
+ (reference "H5") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.2mm_M3")
+ )
+ (path "/00000000-0000-0000-0000-00005c580f10"
+ (reference "H6") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.2mm_M3")
+ )
+ (path "/00000000-0000-0000-0000-00005c580f16"
+ (reference "H7") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.2mm_M3")
+ )
+ (path "/00000000-0000-0000-0000-00005c580f1c"
+ (reference "H8") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.2mm_M3")
+ )
+ (path "/e3d31b7f-ecb2-4724-ae8d-ecfba42e6b40"
+ (reference "J1") (unit 1) (value "DC_IN") (footprint "footprints:terminal_chonky_JL5EDGRHC")
+ )
+ (path "/0582b9dd-04ac-4b64-99a2-8c49ba70c4c7"
+ (reference "J2") (unit 1) (value "OUT1") (footprint "footprints:terminal_KF2EDGR")
+ )
+ (path "/d2eeb85c-560f-42eb-81c3-dd6e17365b91"
+ (reference "J3") (unit 1) (value "ESP") (footprint "Connector_PinSocket_2.54mm:PinSocket_2x04_P2.54mm_Vertical")
+ )
+ (path "/00000000-0000-0000-0000-00005dc186f5"
+ (reference "J4") (unit 1) (value "RJ45_LED_Shielded") (footprint "Connector_RJ:RJ45_Amphenol_RJHSE538X")
+ )
+ (path "/00000000-0000-0000-0000-00005c63ec4a"
+ (reference "J5") (unit 1) (value "RJ45_LED_Shielded") (footprint "Connector_RJ:RJ45_Amphenol_RJHSE538X")
+ )
+ (path "/00000000-0000-0000-0000-00005cdbf041"
+ (reference "J6") (unit 1) (value "1602 I2C LCD") (footprint "Connectors_Molex:Molex_KK-6410-04_04x2.54mm_Straight")
+ )
+ (path "/b24251b4-f569-4fa9-9d96-d42d7b8dcd02"
+ (reference "J7") (unit 1) (value "OUT2") (footprint "footprints:terminal_KF2EDGR")
+ )
+ (path "/e2d5e0f5-8430-420a-940f-95f415d9a45e"
+ (reference "J8") (unit 1) (value "OUT3") (footprint "footprints:terminal_KF2EDGR")
+ )
+ (path "/c5b50de6-3112-401f-911c-77826b585ecc"
+ (reference "J9") (unit 1) (value "OUT4") (footprint "footprints:terminal_KF2EDGR")
+ )
+ (path "/00000000-0000-0000-0000-00005c533914"
+ (reference "J10") (unit 1) (value "FAN") (footprint "Connectors_Molex:Molex_KK-6410-02_02x2.54mm_Straight")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5d5e"
+ (reference "L1") (unit 1) (value "47uH/2A") (footprint "Inductor_SMD:L_Taiyo-Yuden_NR-40xx_HandSoldering")
+ )
+ (path "/61b323c9-7bca-485d-a259-9ca115e0d8b9"
+ (reference "L2") (unit 1) (value "470n / 15A") (footprint "footprints:inductor_tdk_spm6530")
+ )
+ (path "/546f17d6-104c-4d83-a128-563d51cf147b"
+ (reference "L3") (unit 1) (value "10u / 15A") (footprint "footprints:inductor_chonky_18x18mm")
+ )
+ (path "/f43a5567-f25a-4924-8c97-68583bc42873"
+ (reference "L4") (unit 1) (value "10u / 15A") (footprint "footprints:inductor_chonky_18x18mm")
+ )
+ (path "/a3be9793-ee34-4c80-8d23-179c321f3349"
+ (reference "PS1") (unit 1) (value "MEE1S1205SC") (footprint "Converter_DCDC:Converter_DCDC_Murata_MEE1SxxxxSC_THT")
+ )
+ (path "/00000000-0000-0000-0000-00005c3da5d0"
+ (reference "Q1") (unit 1) (value "NCEP60T15G") (footprint "footprints:Toshiba SOP Advance")
+ )
+ (path "/00000000-0000-0000-0000-00005c3daac4"
+ (reference "Q2") (unit 1) (value "NCEP60T15G") (footprint "footprints:Toshiba SOP Advance")
+ )
+ (path "/00000000-0000-0000-0000-00005c3d9dbf"
+ (reference "Q3") (unit 1) (value "NCEP60T15G") (footprint "footprints:Toshiba SOP Advance")
+ )
+ (path "/00000000-0000-0000-0000-00005c3db5e6"
+ (reference "Q4") (unit 1) (value "NCEP60T15G") (footprint "footprints:Toshiba SOP Advance")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5d41"
+ (reference "R1") (unit 1) (value "30mR 1/4W") (footprint "Resistor_SMD:R_1210_3225Metric")
+ )
+ (path "/2ed6ec9f-34a2-4348-9db3-57ed1abbe26d"
+ (reference "R2") (unit 1) (value "5m 2W") (footprint "footprints:milliohm_shunt_P10D2.5")
+ )
+ (path "/00000000-0000-0000-0000-00005e7e35e4"
+ (reference "R3") (unit 1) (value "0R") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5e1f"
+ (reference "R4") (unit 1) (value "5R1") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5d6f"
+ (reference "R5") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/cf8bf2ad-1307-4f34-b091-5ca34385376f"
+ (reference "R6") (unit 1) (value "470") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5d81"
+ (reference "R7") (unit 1) (value "300k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005c4aaec7"
+ (reference "R8") (unit 1) (value "220k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005c4aaecd"
+ (reference "R9") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/b78c7b05-788c-4020-8030-dd429daa3ce5"
+ (reference "R10") (unit 1) (value "470") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/9ccc9dfc-5bea-4fa8-ac6d-25ead35d9519"
+ (reference "R11") (unit 1) (value "470") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/f3cfebf1-3a9e-4318-95df-c9bae313246c"
+ (reference "R12") (unit 1) (value "220") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005d18f534"
+ (reference "R13") (unit 1) (value "150") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005d18f810"
+ (reference "R14") (unit 1) (value "150") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005d190038"
+ (reference "R15") (unit 1) (value "150") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005d1904ed"
+ (reference "R16") (unit 1) (value "150") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/b2f33963-e68b-4607-8041-8c3ae9c6ffbf"
+ (reference "R17") (unit 1) (value "220") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5d87"
+ (reference "R18") (unit 1) (value "21k4/22k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005eab0084"
+ (reference "R19") (unit 1) (value "0R") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005f51aa73"
+ (reference "R20") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/e4deee04-ab17-4da1-b3f6-5ca6a06066ac"
+ (reference "R21") (unit 1) (value "220") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/9e6d2ade-a20c-4a29-833b-ba73af7ff8d1"
+ (reference "R22") (unit 1) (value "10R / 5W") (footprint "Resistor_THT:R_Axial_Power_L25.0mm_W9.0mm_P30.48mm")
+ )
+ (path "/00000000-0000-0000-0000-00005cc2b8ef"
+ (reference "R23") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005d0524f4"
+ (reference "R24") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/c0896c9c-c3bb-43ad-ad89-84e1724343bb"
+ (reference "R25") (unit 1) (value "220") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005ce0d5aa"
+ (reference "R26") (unit 1) (value "1k5") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005ce10e6a"
+ (reference "R27") (unit 1) (value "1k5") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005c3d5dd5"
+ (reference "R28") (unit 1) (value "5m 2W") (footprint "footprints:milliohm_shunt_P10D2.5")
+ )
+ (path "/3e508ab6-ad50-411f-849f-da88ccb8aa77"
+ (reference "R29") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/1741ee37-ce89-432c-bc4b-b2ef755c9701"
+ (reference "R30") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/90e7db72-dd7c-48e0-b791-d8f66519d5fb"
+ (reference "R31") (unit 1) (value "22k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/9155b265-29f2-49dc-bde1-1d566bbb86bf"
+ (reference "R32") (unit 1) (value "22k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/bb341d99-3f4c-4d45-bb51-0701597f7ff2"
+ (reference "R33") (unit 1) (value "150") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/2be948f7-2f40-4c17-abd6-8d9a24abdd47"
+ (reference "R34") (unit 1) (value "10R / 5W") (footprint "Resistor_THT:R_Axial_Power_L25.0mm_W9.0mm_P30.48mm")
+ )
+ (path "/8c5964cd-e2f1-4689-bf43-815258d55d21"
+ (reference "R35") (unit 1) (value "150") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/f72b7214-cc95-413a-bec7-88536b5a0c77"
+ (reference "R36") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/c40d20ef-14bd-4e2d-9258-76afa313bb09"
+ (reference "R37") (unit 1) (value "1R / 1W") (footprint "Resistor_THT:R_Axial_DIN0414_L11.9mm_D4.5mm_P20.32mm_Horizontal")
+ )
+ (path "/3e335a1a-9fb9-4024-a64d-84694cc79fac"
+ (reference "R38") (unit 1) (value "10R / 5W") (footprint "Resistor_THT:R_Axial_Power_L25.0mm_W9.0mm_P30.48mm")
+ )
+ (path "/00000000-0000-0000-0000-00005d13a776"
+ (reference "R39") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder")
+ )
+ (path "/b46d89f5-26f5-4314-ae6f-83de0e781dcc"
+ (reference "R40") (unit 1) (value "10R / 5W") (footprint "Resistor_THT:R_Axial_Power_L25.0mm_W9.0mm_P30.48mm")
+ )
+ (path "/32e10fb3-ab4e-4e8c-8e14-e6018303d7f3"
+ (reference "R41") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder")
+ )
+ (path "/00000000-0000-0000-0000-00005f579cfa"
+ (reference "TP1") (unit 1) (value "CTRL_AH") (footprint "TestPoint:TestPoint_Pad_D1.5mm")
+ )
+ (path "/00000000-0000-0000-0000-00005efc40f8"
+ (reference "TP2") (unit 1) (value "+12V") (footprint "TestPoint:TestPoint_Pad_D1.5mm")
+ )
+ (path "/00000000-0000-0000-0000-00005d1c82a7"
+ (reference "TP3") (unit 1) (value "⏚") (footprint "TestPoint:TestPoint_Pad_D1.5mm")
+ )
+ (path "/00000000-0000-0000-0000-00005d181b83"
+ (reference "TP4") (unit 1) (value "Vin") (footprint "TestPoint:TestPoint_Pad_D1.5mm")
+ )
+ (path "/c953b689-85e2-43af-88a1-5d7a52c304fe"
+ (reference "TP5") (unit 1) (value "CTRL_BH") (footprint "TestPoint:TestPoint_Pad_D1.5mm")
+ )
+ (path "/00000000-0000-0000-0000-00005d1812fd"
+ (reference "TP6") (unit 1) (value "+3V3") (footprint "TestPoint:TestPoint_Pad_D1.5mm")
+ )
+ (path "/7936fcf0-2fec-4224-9299-03fcfdd20e86"
+ (reference "TP7") (unit 1) (value "CTRL_BL") (footprint "TestPoint:TestPoint_Pad_D1.5mm")
+ )
+ (path "/00000000-0000-0000-0000-00005d199bac"
+ (reference "TP8") (unit 1) (value "CTRL_AL") (footprint "TestPoint:TestPoint_Pad_D1.5mm")
+ )
+ (path "/00000000-0000-0000-0000-00005d1ed8ba"
+ (reference "TP9") (unit 1) (value "⏚") (footprint "TestPoint:TestPoint_Pad_D1.5mm")
+ )
+ (path "/00000000-0000-0000-0000-00005d19d2dc"
+ (reference "TP10") (unit 1) (value "SCL") (footprint "TestPoint:TestPoint_Pad_D1.5mm")
+ )
+ (path "/00000000-0000-0000-0000-00005d19db61"
+ (reference "TP11") (unit 1) (value "SDA") (footprint "TestPoint:TestPoint_Pad_D1.5mm")
+ )
+ (path "/00000000-0000-0000-0000-00005d19e9f0"
+ (reference "TP12") (unit 1) (value "⏚") (footprint "TestPoint:TestPoint_Pad_D1.5mm")
+ )
+ (path "/00000000-0000-0000-0000-00005e88678e"
+ (reference "U1") (unit 1) (value "IRS21867S") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+ )
+ (path "/3a1f8ba5-b78d-4f1c-a827-950def4aba7a"
+ (reference "U2") (unit 1) (value "ZXCT1080") (footprint "Package_TO_SOT_SMD:SOT-23-5")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5d38"
+ (reference "U3") (unit 1) (value "TX4138") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+ )
+ (path "/00000000-0000-0000-0000-00005e9861e0"
+ (reference "U4") (unit 1) (value "IRS21867S") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+ )
+ (path "/00000000-0000-0000-0000-00005edd5e28"
+ (reference "U5") (unit 1) (value "AP1117-33") (footprint "Package_TO_SOT_SMD:SOT-223")
+ )
+ (path "/f7c407c8-f254-4461-983e-623b36e4ee98"
+ (reference "U6") (unit 1) (value "INA180A1") (footprint "Package_TO_SOT_SMD:SOT-23-5")
+ )
+ (path "/505d9c37-8739-457a-b3c2-bb0591ebd78d"
+ (reference "U7") (unit 1) (value "π122U31") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+ )
+ (path "/00000000-0000-0000-0000-00005d7751ad"
+ (reference "U8") (unit 1) (value "MCP9804_MSOP") (footprint "Package_SO:MSOP-8_3x3mm_P0.65mm")
+ )
+ (path "/291a9c59-1c38-4319-91b3-ebb3e0d597dd"
+ (reference "U9") (unit 1) (value "π122U31") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+ )
+ (path "/c61acc9e-e17a-4b5d-9fef-9a29e2b45e62"
+ (reference "U10") (unit 1) (value "STM32G070RxT") (footprint "Package_QFP:LQFP-64_10x10mm_P0.5mm")
+ )
+ (path "/2f84879d-9120-4685-8c16-6eca3e6028d8"
+ (reference "U11") (unit 1) (value "LTV-847S") (footprint "Package_DIP:SMDIP-16_W9.53mm")
+ )
+ (path "/3551edfd-ba7f-437b-bcf0-add941eb736e"
+ (reference "U11") (unit 2) (value "LTV-847S") (footprint "Package_DIP:SMDIP-16_W9.53mm")
+ )
+ (path "/e7e1a72b-0d9d-4299-b34c-e9d83dc24da3"
+ (reference "U11") (unit 3) (value "LTV-847S") (footprint "Package_DIP:SMDIP-16_W9.53mm")
+ )
+ (path "/9dd0e9c5-8960-427a-8944-6fe6dc2af2d6"
+ (reference "U11") (unit 4) (value "LTV-847S") (footprint "Package_DIP:SMDIP-16_W9.53mm")
+ )
+ (path "/00000000-0000-0000-0000-00005c607f28"
+ (reference "U13") (unit 1) (value "MAX485E") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+ )
+ (path "/00000000-0000-0000-0000-00005c62f3e9"
+ (reference "Y1") (unit 1) (value "8MHz") (footprint "Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm_HandSoldering")
+ )
+ )
+)
diff --git a/driver/footprints.pretty/inductor_chonky_18x18mm.kicad_mod b/driver/footprints.pretty/inductor_chonky_18x18mm.kicad_mod
new file mode 100644
index 0000000..6daf5e3
--- /dev/null
+++ b/driver/footprints.pretty/inductor_chonky_18x18mm.kicad_mod
@@ -0,0 +1,40 @@
+(footprint "inductor_chonky_18x18mm" (version 20220427) (generator pcbnew)
+ (layer "F.Cu")
+ (attr smd)
+ (fp_text reference "REF**" (at 0 -0.5 unlocked) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 73ca33e1-5cdc-40bd-889f-e806c545e1ee)
+ )
+ (fp_text value "inductor_chonky_18x18mm" (at 0 1 unlocked) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 93e12f10-7c29-42f0-a9c7-dcd2c166719d)
+ )
+ (fp_text user "${REF}" (at 0 2.5 unlocked) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 4f221382-2e49-450f-855c-f95e9f50bcc0)
+ )
+ (fp_line (start -9 -9) (end 9 -9)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp e842c36f-ff12-4eeb-9bec-f4386565137d))
+ (fp_line (start -9 -3) (end -9 -9)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 65c6198e-c26b-4ba0-91c1-a7da8c48da74))
+ (fp_line (start -9 9) (end -9 3)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp bb857c9d-2c2e-478f-b5b7-2d009fd24f24))
+ (fp_line (start 9 -9) (end 9 -3)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp b9d9078c-98a7-4db1-b4c7-56e869e36146))
+ (fp_line (start 9 3) (end 9 9)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 1294cfc2-ed22-40a6-9768-e63186ed09a9))
+ (fp_line (start 9 9) (end -9 9)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 1e863e19-3b63-4cd9-bd8f-937332dc2039))
+ (fp_arc (start -7 -3) (mid 0 -7.615773) (end 7 -3)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp ae2f7385-7c21-492d-a4da-3bf14532d53d))
+ (fp_arc (start 7 3) (mid 0 7.615773) (end -7 3)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp eaf7db45-3ad6-4c0c-ad20-946a12934d25))
+ (fp_rect (start -9 -9) (end 9 9)
+ (stroke (width 0.05) (type default)) (fill none) (layer "B.CrtYd") (tstamp 677b58da-5dd7-4576-9a5d-93e9100f2be0))
+ (fp_rect (start -9 -9) (end 9 9)
+ (stroke (width 0.05) (type default)) (fill none) (layer "F.CrtYd") (tstamp 55fc8439-34d9-4e39-b1e4-125742f0909c))
+ (pad "1" smd rect (at -6.65 0) (size 6 6) (layers "F.Cu" "F.Paste" "F.Mask")
+ (thermal_bridge_angle 45) (tstamp 23bdb584-c11c-4750-ae06-717f39ef3a85))
+ (pad "2" smd rect (at 6.65 0) (size 6 6) (layers "F.Cu" "F.Paste" "F.Mask")
+ (thermal_bridge_angle 45) (tstamp 0bd0d0a5-147c-4c2c-8927-c46ab9ec0c99))
+)
diff --git a/driver/footprints.pretty/inductor_tdk_spm6530.kicad_mod b/driver/footprints.pretty/inductor_tdk_spm6530.kicad_mod
new file mode 100644
index 0000000..5199a4a
--- /dev/null
+++ b/driver/footprints.pretty/inductor_tdk_spm6530.kicad_mod
@@ -0,0 +1,74 @@
+(footprint "inductor_tdk_spm6530" (version 20220427) (generator pcbnew)
+ (layer "F.Cu")
+ (attr smd)
+ (fp_text reference "REF**" (at 0 -5 unlocked) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 1eb5ed22-6db8-4acd-8a52-24fe07a9ed05)
+ )
+ (fp_text value "inductor_tdk_spm6530" (at 0 1 unlocked) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 8e359db0-4bf5-4e1b-9110-2f47ca268fbc)
+ )
+ (fp_text user "${REF}" (at 0 2.5 unlocked) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 4a8d8dba-7654-430b-86e7-71660a3b2f7c)
+ )
+ (fp_line (start -3.5 -2.5) (end -3.5 -2)
+ (stroke (width 0.2) (type default)) (layer "F.SilkS") (tstamp ce2a422d-10a1-4466-a4a9-d534d3590553))
+ (fp_line (start -3.5 3.5) (end -3.5 2)
+ (stroke (width 0.2) (type default)) (layer "F.SilkS") (tstamp ec792975-4eda-47d9-8696-f48475e30e3f))
+ (fp_line (start -3.5 3.5) (end 2.5 3.5)
+ (stroke (width 0.2) (type default)) (layer "F.SilkS") (tstamp 35956a82-df65-4f98-a05c-44fbbc95dc3d))
+ (fp_line (start -2.5 -3.5) (end -3.5 -2.5)
+ (stroke (width 0.2) (type default)) (layer "F.SilkS") (tstamp 0bd8e70c-1831-46bc-8087-84ac19620da3))
+ (fp_line (start -1.75 0.4) (end -1.25 0.4)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 29c05729-5a60-4817-b36e-6827f2ef4b48))
+ (fp_line (start 1.25 0.4) (end 1.75 0.4)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 5e28b76a-6173-4d17-a389-dda8de4f9049))
+ (fp_line (start 2.5 3.5) (end 3.5 2.5)
+ (stroke (width 0.2) (type default)) (layer "F.SilkS") (tstamp d5eb65fa-26ca-474a-b0bd-852b95fe79f3))
+ (fp_line (start 3.5 -3.5) (end -2.5 -3.5)
+ (stroke (width 0.2) (type default)) (layer "F.SilkS") (tstamp d5e9fe49-71a3-47a0-951f-27ce5135d8d4))
+ (fp_line (start 3.5 -2) (end 3.5 -3.5)
+ (stroke (width 0.2) (type default)) (layer "F.SilkS") (tstamp 481731b6-eb56-42ab-9192-ba93dcc11b4a))
+ (fp_line (start 3.5 2.5) (end 3.5 2)
+ (stroke (width 0.2) (type default)) (layer "F.SilkS") (tstamp 9734df10-a93f-44d6-89c1-30f5831fbb3a))
+ (fp_arc (start -1.25 -0.3) (mid -1.05 -0.5) (end -0.85 -0.3)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp b95bf3d4-992c-4de0-84df-4b4fa1ff2828))
+ (fp_arc (start -0.85 -0.3) (mid -0.956865 0.10322) (end -1.25 0.4)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp ca671157-89a8-42c1-baa3-9618a63cc43a))
+ (fp_arc (start -0.838236 0.4) (mid -1.134899 0.103401) (end -1.25 -0.3)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp b1306d06-5b56-4c8d-b02e-ba159113203e))
+ (fp_arc (start -0.55 -0.3) (mid -0.35 -0.5) (end -0.15 -0.3)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp aa517141-ffc2-4fbb-b8d4-13a07a86c0a6))
+ (fp_arc (start -0.55 0.4) (mid -0.69444 0.427164) (end -0.839538 0.403768)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 0e80d06f-c4e8-47bd-8174-83e545734501))
+ (fp_arc (start -0.15 -0.3) (mid -0.256865 0.10322) (end -0.55 0.4)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp f2ed1dba-5bce-4b74-986f-e21f06403ce7))
+ (fp_arc (start -0.138236 0.4) (mid -0.434899 0.103401) (end -0.55 -0.3)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 2c9373de-ebdf-46fc-bb9c-67f00095465c))
+ (fp_arc (start 0.15 -0.3) (mid 0.35 -0.5) (end 0.55 -0.3)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp fbf1e946-d8d0-46f1-b416-dd3ea95c12c9))
+ (fp_arc (start 0.15 0.4) (mid 0.00556 0.427164) (end -0.139538 0.403768)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 0d3e85a0-f5bc-475e-bc1e-903b528131c2))
+ (fp_arc (start 0.55 -0.3) (mid 0.443135 0.10322) (end 0.15 0.4)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 8e0a76cc-0e4e-4ed8-b253-a47bcfb4664e))
+ (fp_arc (start 0.561764 0.4) (mid 0.265101 0.103401) (end 0.15 -0.3)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp fd4c52e1-1482-4ae5-a179-4f8d4d9b0544))
+ (fp_arc (start 0.85 -0.3) (mid 1.05 -0.5) (end 1.25 -0.3)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp ddff5a1a-d1d5-40c2-8658-16927874e787))
+ (fp_arc (start 0.85 0.4) (mid 0.70556 0.427164) (end 0.560462 0.403768)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 06ae277c-6130-4b82-a19b-1bea6301779a))
+ (fp_arc (start 1.25 -0.3) (mid 1.143135 0.10322) (end 0.85 0.4)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 91a29664-9b3f-4533-b6a0-afc627925149))
+ (fp_arc (start 1.261764 0.4) (mid 0.965101 0.103401) (end 0.85 -0.3)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 215525d6-4d79-466b-b96c-c9525f2f2407))
+ (fp_rect (start -3.5 -3.5) (end 3.5 3.5)
+ (stroke (width 0.05) (type default)) (fill none) (layer "B.CrtYd") (tstamp bbeefc45-a154-4aa4-bec3-dcfe69e1c4ec))
+ (fp_rect (start -3.5 -3.5) (end 3.5 3.5)
+ (stroke (width 0.05) (type default)) (fill none) (layer "F.CrtYd") (tstamp 2b301b21-b7df-4ad3-b6e3-d8c884df87ff))
+ (pad "1" smd rect (at -3.7 0 180) (size 1.85 3.4) (layers "F.Cu" "F.Paste" "F.Mask")
+ (thermal_bridge_angle 45) (tstamp 963caee3-0bb9-45f4-a513-79ae34838072))
+ (pad "2" smd rect (at 3.7 0 180) (size 1.85 3.4) (layers "F.Cu" "F.Paste" "F.Mask")
+ (thermal_bridge_angle 45) (tstamp c7527886-629d-4906-90ab-e43d3629ce9a))
+)
diff --git a/driver/footprints.pretty/milliohm_shunt_P10D2.5.kicad_mod b/driver/footprints.pretty/milliohm_shunt_P10D2.5.kicad_mod
new file mode 100644
index 0000000..c618f87
--- /dev/null
+++ b/driver/footprints.pretty/milliohm_shunt_P10D2.5.kicad_mod
@@ -0,0 +1,38 @@
+(footprint "milliohm_shunt_P10D2.5" (version 20220427) (generator pcbnew)
+ (layer "F.Cu")
+ (attr smd)
+ (fp_text reference "REF**" (at 0 -4.5 unlocked) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 38cf1763-5c47-41b0-b836-733f980b60bf)
+ )
+ (fp_text value "milliohm_shunt_P10D2.5" (at 0 5 unlocked) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 55e694ef-df43-4977-b9e4-86d34c032108)
+ )
+ (fp_text user "${REF}" (at 0 3.75 unlocked) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp b97f913d-c881-47cf-9c50-94d39caf90ca)
+ )
+ (fp_line (start -2.25 -1.75) (end 2.25 -1.75)
+ (stroke (width 0.25) (type default)) (layer "F.SilkS") (tstamp 1fe2f51b-223c-4c38-8822-99c821e85ef9))
+ (fp_line (start -2 1) (end -0.75 1)
+ (stroke (width 0.25) (type default)) (layer "F.SilkS") (tstamp 616009a4-eae6-4606-8432-f7df1959bc2e))
+ (fp_line (start -0.75 -1) (end 0.75 -1)
+ (stroke (width 0.25) (type default)) (layer "F.SilkS") (tstamp c4beef9b-7ff5-4494-a88c-4d9b9aec1b88))
+ (fp_line (start -0.75 1) (end -0.75 -1)
+ (stroke (width 0.25) (type default)) (layer "F.SilkS") (tstamp edaf3bcc-463c-4ec3-af7e-e48cc1e14ea2))
+ (fp_line (start 0.75 -1) (end 0.75 1)
+ (stroke (width 0.25) (type default)) (layer "F.SilkS") (tstamp 3108e88e-91c8-4a40-acb2-a10ce197bbda))
+ (fp_line (start 0.75 1) (end 2 1)
+ (stroke (width 0.25) (type default)) (layer "F.SilkS") (tstamp ea50f612-57a7-491f-b517-0dd275cc3c59))
+ (fp_line (start 2.25 1.75) (end -2.25 1.75)
+ (stroke (width 0.25) (type default)) (layer "F.SilkS") (tstamp 0a6fc625-c064-40bc-a06a-c6034d420bd1))
+ (fp_rect (start -2.75 -2.25) (end -7.25 2.25)
+ (stroke (width 0.05) (type default)) (fill none) (layer "F.CrtYd") (tstamp db788ad0-61a6-4e4f-a847-4de00c7faea1))
+ (fp_rect (start 2.75 -2.25) (end 7.25 2.25)
+ (stroke (width 0.05) (type default)) (fill none) (layer "F.CrtYd") (tstamp 04aac230-2f9c-427e-9f85-823afe4e1965))
+ (pad "1" thru_hole roundrect (at -5 0) (size 4.5 4.5) (drill 2.7) (layers *.Cu *.Mask) (roundrect_rratio 0.25)
+ (thermal_bridge_angle 45) (tstamp b5fc41d3-3d86-4d3a-b344-e6dcec9d09f1))
+ (pad "2" thru_hole roundrect (at 5 0) (size 4.5 4.5) (drill 2.7) (layers *.Cu *.Mask) (roundrect_rratio 0.25)
+ (thermal_bridge_angle 45) (tstamp caf3e255-b97d-4cf4-a435-6f71ea2b3336))
+)
diff --git a/driver/footprints.pretty/terminal_KF2EDGR.kicad_mod b/driver/footprints.pretty/terminal_KF2EDGR.kicad_mod
new file mode 100644
index 0000000..9b5775d
--- /dev/null
+++ b/driver/footprints.pretty/terminal_KF2EDGR.kicad_mod
@@ -0,0 +1,38 @@
+(footprint "terminal_KF2EDGR" (version 20220427) (generator pcbnew)
+ (layer "F.Cu")
+ (attr smd)
+ (fp_text reference "REF**" (at 0 -0.5 unlocked) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 323e6cc5-d0a3-41b4-903c-8c5d13fd4614)
+ )
+ (fp_text value "terminal_KF2EDGR" (at 0 1 unlocked) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp a85df372-630c-4218-b6e8-59fd764ce515)
+ )
+ (fp_text user "${REF}" (at 0 2.5 unlocked) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 3588222f-c2ce-454f-9ff3-2b3fc8e4fd4b)
+ )
+ (fp_line (start -5 -2.5) (end 5 -2.5)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 950dd81d-998b-4505-a78c-9d00cc887fd0))
+ (fp_line (start -5 8) (end 5 8)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 9c93b19b-d31f-4047-b014-91de2d03d47d))
+ (fp_line (start -5 9.5) (end -5 -2.5)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 8fbd0441-2132-434c-99aa-50023aec67fe))
+ (fp_line (start -3.5 9.5) (end -3 8)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp d2cbd753-4e37-40fd-bb3e-96399ef73566))
+ (fp_line (start -1.5 9.5) (end -2 8)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 1af57fb3-2066-41fc-9ba4-52b15f0fa0b4))
+ (fp_line (start 1.5 9.5) (end 2 8)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 4dbcb663-850f-4f67-9636-366c89760bf4))
+ (fp_line (start 3.5 9.5) (end 3 8)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp bc7cf793-91c6-4852-b7e5-40f28355eeda))
+ (fp_line (start 5 -2.5) (end 5 9.5)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 7a98231e-4894-4483-8d07-7af8fd6b9b25))
+ (fp_line (start 5 9.5) (end -5 9.5)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 1446f15e-143d-407e-9bcd-1e8e232f6aeb))
+ (fp_rect (start -5 -2.5) (end 5 9.5)
+ (stroke (width 0.05) (type default)) (fill none) (layer "F.CrtYd") (tstamp 0b8dfb78-5654-4609-af2b-bd6b1a7e1c5d))
+ (pad "1" thru_hole oval (at -2.5 0 90) (size 4.5 3) (drill 1.6) (layers *.Cu *.Mask) (tstamp 334fec1b-bfe0-4ad4-9f08-c8a07d489698))
+ (pad "2" thru_hole oval (at 2.5 0 90) (size 4.5 3) (drill 1.6) (layers *.Cu *.Mask) (tstamp 47fc8096-c1a8-4865-a114-e5078726a006))
+)
diff --git a/driver/footprints.pretty/terminal_chonky_JL5EDGRHC.kicad_mod b/driver/footprints.pretty/terminal_chonky_JL5EDGRHC.kicad_mod
new file mode 100644
index 0000000..b801b60
--- /dev/null
+++ b/driver/footprints.pretty/terminal_chonky_JL5EDGRHC.kicad_mod
@@ -0,0 +1,48 @@
+(footprint "terminal_chonky_JL5EDGRHC" (version 20220427) (generator pcbnew)
+ (layer "F.Cu")
+ (attr smd)
+ (fp_text reference "REF**" (at 0 -0.5 unlocked) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp e6278b32-5dcb-4472-a6f8-72243221e0e7)
+ )
+ (fp_text value "terminal_chonky_JL5EDGRHC" (at 0 1 unlocked) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 660a64a5-e775-4cf2-b1a3-32bd9c3151ca)
+ )
+ (fp_text user "${REF}" (at 0 2.5 unlocked) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ (tstamp 50585957-eb69-44bf-a92c-7081d51f56a7)
+ )
+ (fp_line (start -8.89 -1.905) (end -8.89 27.345)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 44ceb615-13bf-4c5f-88fd-44b57f345de5))
+ (fp_line (start -8.89 -1.905) (end 8.89 -1.905)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp da4f48ee-3e95-410f-8186-4991f51a96b0))
+ (fp_line (start -8.89 24.765) (end 8.89 24.765)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp b25af151-8799-4c6d-b59c-0c01db4ae3be))
+ (fp_line (start -8.89 27.345) (end 8.89 27.345)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 7ef2b7a8-e343-4173-96f6-de1f003f8ed4))
+ (fp_line (start -5.715 16.51) (end -6.35 24.765)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 22f67dd9-0546-470a-959d-c96f0e422126))
+ (fp_line (start -3.175 16.51) (end -5.715 16.51)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp c3b70f1e-cccb-423f-9cb4-675cd6cccc1f))
+ (fp_line (start -2.54 24.765) (end -3.175 16.51)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp ed36b909-2821-4f6c-a63a-e674efff28cf))
+ (fp_line (start 0 -1.905) (end 0 27.345)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 47551fe3-0fa7-4085-9f98-8c772bb493ce))
+ (fp_line (start 3.175 16.51) (end 2.54 24.765)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp b8969e49-5906-4c46-a206-d6cc527e843e))
+ (fp_line (start 5.715 16.51) (end 3.175 16.51)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp dd03fb91-cd0f-478d-af1c-303c6f465191))
+ (fp_line (start 6.35 24.765) (end 5.715 16.51)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp d4fd486e-e17e-44dc-a7ee-eda83205c84a))
+ (fp_line (start 8.89 -1.905) (end 8.89 27.345)
+ (stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp d419d677-e889-4fb3-b282-3721bb6edc79))
+ (fp_rect (start -8.89 -1.905) (end 8.89 27.305)
+ (stroke (width 0.05) (type default)) (fill none) (layer "F.CrtYd") (tstamp 35a53d7e-08db-4c48-bb49-571e3ac1f05c))
+ (pad "1" thru_hole oval (at -3.81 0 90) (size 3.5 3) (drill 1.4) (layers *.Cu *.Mask) (tstamp 462b4d3b-5bce-4de9-83f1-a364c67e5fa5))
+ (pad "1" thru_hole oval (at -3.81 5.08 90) (size 3.5 3) (drill 1.4) (layers *.Cu *.Mask) (tstamp 9ac30da5-3f02-4b52-8e18-195b355606ea))
+ (pad "1" thru_hole oval (at -3.81 7.62 90) (size 3.5 3) (drill 1.4) (layers *.Cu *.Mask) (tstamp bd2808d9-3b94-416d-a8d9-cfacfdfce461))
+ (pad "2" thru_hole oval (at 3.81 -0.000865 90) (size 3.5 3) (drill 1.4) (layers *.Cu *.Mask) (tstamp b75a8add-80ff-4ffc-8e26-48414960417c))
+ (pad "2" thru_hole oval (at 3.81 5.079135 90) (size 3.5 3) (drill 1.4) (layers *.Cu *.Mask) (tstamp 56c09a3a-1215-4699-9ba9-09e5a2aa1631))
+ (pad "2" thru_hole oval (at 3.81 7.619135 90) (size 3.5 3) (drill 1.4) (layers *.Cu *.Mask) (tstamp 52717eeb-ca3b-4b57-a347-3bdfa4f88c60))
+)
diff --git a/driver/fp-lib-table b/driver/fp-lib-table
new file mode 100644
index 0000000..ad2e4e5
--- /dev/null
+++ b/driver/fp-lib-table
@@ -0,0 +1,3 @@
+(fp_lib_table
+ (lib (name "footprints")(type "KiCad")(uri "${KIPRJMOD}/footprints.pretty")(options "")(descr ""))
+)
diff --git a/driver/kicad_sucks.kicad_sym b/driver/kicad_sucks.kicad_sym
new file mode 100644
index 0000000..2a3c73f
--- /dev/null
+++ b/driver/kicad_sucks.kicad_sym
@@ -0,0 +1,346 @@
+(kicad_symbol_lib (version 20220331) (generator kicad_symbol_editor)
+ (symbol "STM32G070RxT" (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at -20.32 62.23 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "STM32G070RxT" (id 1) (at 12.7 62.23 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm" (id 2) (at -15.24 -40.64 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00086815.pdf" (id 3) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "ARM Cortex-M4 STM32F4 STM32F401" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "ARM Cortex-M4 MCU, 128KB flash, 64KB RAM, 84MHz, 1.7-3.6V, 50 GPIO, LQFP-64" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "STM32G070RxT_0_1"
+ (rectangle (start -20.32 60.96) (end 17.78 -43.18)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "STM32G070RxT_1_1"
+ (pin bidirectional line (at -22.86 -27.94 0) (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -22.86 43.18 0) (length 2.54)
+ (name "PF0/OSC_IN" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -22.86 40.64 0) (length 2.54)
+ (name "PF1/OSC_OUT" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -22.86 58.42 0) (length 2.54)
+ (name "NRST" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 0 0) (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -2.54 0) (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -5.08 0) (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -7.62 0) (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 55.88 180) (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 53.34 180) (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 50.8 180) (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -30.48 0) (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 48.26 180) (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 45.72 180) (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 43.18 180) (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 40.64 180) (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 38.1 180) (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -10.16 0) (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -12.7 0) (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 0 180) (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -2.54 180) (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -5.08 180) (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -33.02 0) (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -25.4 180) (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -27.94 180) (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -30.48 180) (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -33.02 180) (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -35.56 180) (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -38.1 180) (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 35.56 180) (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 33.02 180) (length 2.54)
+ (name "PA9" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -15.24 0) (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -17.78 0) (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -35.56 0) (length 2.54)
+ (name "PC14/OSC32_IN" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 10.16 0) (length 2.54)
+ (name "PD8" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 7.62 0) (length 2.54)
+ (name "PD9" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 30.48 180) (length 2.54)
+ (name "PA10" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 27.94 180) (length 2.54)
+ (name "PA11" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 25.4 180) (length 2.54)
+ (name "PA12" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 22.86 180) (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 20.32 180) (length 2.54)
+ (name "PA14/BOOT0" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 17.78 180) (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -20.32 0) (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -22.86 0) (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -38.1 0) (length 2.54)
+ (name "PC15/OSC32_OUT" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 27.94 0) (length 2.54)
+ (name "PD0" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 25.4 0) (length 2.54)
+ (name "PD1" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 22.86 0) (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 20.32 0) (length 2.54)
+ (name "PD3" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 17.78 0) (length 2.54)
+ (name "PD4" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 15.24 0) (length 2.54)
+ (name "PD5" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 12.7 0) (length 2.54)
+ (name "PD6" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -7.62 180) (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -10.16 180) (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -12.7 180) (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -5.08 63.5 270) (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -15.24 180) (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -17.78 180) (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -20.32 180) (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 20.32 -22.86 180) (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -22.86 -25.4 0) (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -22.86 50.8 0) (length 2.54)
+ (name "VREF+" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 0 63.5 270) (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 0 -45.72 90) (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "ZXCT1080" (pin_names (offset 0.127)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (id 0) (at 3.81 5.08 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "ZXCT1080" (id 1) (at 3.81 2.54 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "http://www.ti.com/lit/ds/symlink/ina138.pdf" (id 3) (at 0 0.127 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_keywords" "current sense shunt monitor" (id 4) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "High-Side Measurement Current Shunt Monitor, 36V, SOT-23-5" (id 5) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "ZXCT1080_0_1"
+ (polyline
+ (pts
+ (xy -5.08 5.08)
+ (xy 5.08 0)
+ (xy -5.08 -5.08)
+ (xy -5.08 5.08)
+ )
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "ZXCT1080_1_1"
+ (pin power_in line (at -2.54 7.62 270) (length 3.81)
+ (name "V+" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -2.54 -7.62 90) (length 3.81)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ )
+ (pin output line (at 7.62 0 180) (length 2.54)
+ (name "~" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -7.62 2.54 0) (length 2.54)
+ (name "+" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -7.62 -2.54 0) (length 2.54)
+ (name "-" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+)
diff --git a/font_template.svg b/font_template.svg
new file mode 100644
index 0000000..82c93cc
--- /dev/null
+++ b/font_template.svg
@@ -0,0 +1,1422 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+ width="210mm"
+ height="297mm"
+ viewBox="0 0 210 297"
+ version="1.1"
+ id="svg325485"
+ inkscape:version="1.2 (dc2aedaf03, 2022-05-15)"
+ sodipodi:docname="font_template.svg"
+ xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+ xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+ xmlns:xlink="http://www.w3.org/1999/xlink"
+ xmlns="http://www.w3.org/2000/svg"
+ xmlns:svg="http://www.w3.org/2000/svg">
+ <sodipodi:namedview
+ id="namedview325487"
+ pagecolor="#ffffff"
+ bordercolor="#666666"
+ borderopacity="1.0"
+ inkscape:showpageshadow="2"
+ inkscape:pageopacity="0.0"
+ inkscape:pagecheckerboard="0"
+ inkscape:deskcolor="#d1d1d1"
+ inkscape:document-units="mm"
+ showgrid="false"
+ inkscape:zoom="0.84096521"
+ inkscape:cx="388.24436"
+ inkscape:cy="274.68437"
+ inkscape:window-width="2050"
+ inkscape:window-height="1923"
+ inkscape:window-x="925"
+ inkscape:window-y="100"
+ inkscape:window-maximized="0"
+ inkscape:current-layer="layer1" />
+ <defs
+ id="defs325482" />
+ <g
+ inkscape:label="Layer 1"
+ inkscape:groupmode="layer"
+ id="layer1">
+ <g
+ id="g328660"
+ transform="translate(-2.3950114,-3.7754237)">
+ <g
+ id="g326035"
+ transform="matrix(0.36256633,0,0,0.36992689,9.8183047,5.7555087)"
+ style="stroke-width:1.36527;stroke-dasharray:none"
+ inkscape:tile-cx="31.072151"
+ inkscape:tile-cy="29.313097"
+ inkscape:tile-w="8.4803175"
+ inkscape:tile-h="11.491733"
+ inkscape:tile-x0="26.831992"
+ inkscape:tile-y0="23.56723">
+ <path
+ style="fill:none;stroke:#d0d0cd;stroke-width:1.36527;stroke-linecap:round;stroke-linejoin:round;stroke-dasharray:none;stroke-opacity:1"
+ d="M 47.60836,78.531547 V 48.831939 h 22.02443"
+ id="path325660"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#d0d0cd;stroke-width:1.36527;stroke-linecap:round;stroke-linejoin:round;stroke-dasharray:none;stroke-opacity:1"
+ d="M 69.63279,48.831939 V 78.531547 H 47.60836"
+ id="path326025"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#d0d0cd;stroke-width:1.36527;stroke-linecap:round;stroke-linejoin:round;stroke-dasharray:none;stroke-opacity:1"
+ d="M 47.60836,78.531547 69.63279,48.831939"
+ id="path326027"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#d0d0cd;stroke-width:1.36527;stroke-linecap:round;stroke-linejoin:round;stroke-dasharray:none;stroke-opacity:1"
+ d="M 69.63279,78.531547 47.60836,48.831939"
+ id="path326029"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ id="use327716" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(0,20.68512)"
+ id="use327718" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(0,41.37024)"
+ id="use327720" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(0,62.055361)"
+ id="use327722" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(0,82.740481)"
+ id="use327724" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(0,103.4256)"
+ id="use327726" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(0,124.11072)"
+ id="use327728" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(0,144.79584)"
+ id="use327730" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(0,165.48096)"
+ id="use327732" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(0,186.16608)"
+ id="use327734" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(0,206.8512)"
+ id="use327736" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(0,227.53632)"
+ id="use327738" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(10.176381)"
+ id="use327740" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(10.176381,20.68512)"
+ id="use327742" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(10.176381,41.37024)"
+ id="use327744" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(10.176381,62.055361)"
+ id="use327746" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(10.176381,82.740481)"
+ id="use327748" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(10.176381,103.4256)"
+ id="use327750" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(10.176381,124.11072)"
+ id="use327752" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(10.176381,144.79584)"
+ id="use327754" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(10.176381,165.48096)"
+ id="use327756" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(10.176381,186.16608)"
+ id="use327758" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(10.176381,206.8512)"
+ id="use327760" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(10.176381,227.53632)"
+ id="use327762" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(20.352762)"
+ id="use327764" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(20.352762,20.68512)"
+ id="use327766" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(20.352762,41.37024)"
+ id="use327768" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(20.352762,62.055361)"
+ id="use327770" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(20.352762,82.740481)"
+ id="use327772" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(20.352762,103.4256)"
+ id="use327774" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(20.352762,124.11072)"
+ id="use327776" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(20.352762,144.79584)"
+ id="use327778" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(20.352762,165.48096)"
+ id="use327780" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(20.352762,186.16608)"
+ id="use327782" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(20.352762,206.8512)"
+ id="use327784" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(20.352762,227.53632)"
+ id="use327786" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(30.529143)"
+ id="use327788" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(30.529143,20.68512)"
+ id="use327790" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(30.529143,41.37024)"
+ id="use327792" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(30.529143,62.055361)"
+ id="use327794" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(30.529143,82.740481)"
+ id="use327796" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(30.529143,103.4256)"
+ id="use327798" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(30.529143,124.11072)"
+ id="use327800" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(30.529143,144.79584)"
+ id="use327802" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(30.529143,165.48096)"
+ id="use327804" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(30.529143,186.16608)"
+ id="use327806" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(30.529143,206.8512)"
+ id="use327808" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(30.529143,227.53632)"
+ id="use327810" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(40.705524)"
+ id="use327812" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(40.705524,20.68512)"
+ id="use327814" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(40.705524,41.37024)"
+ id="use327816" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(40.705524,62.055361)"
+ id="use327818" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(40.705524,82.740481)"
+ id="use327820" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(40.705524,103.4256)"
+ id="use327822" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(40.705524,124.11072)"
+ id="use327824" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(40.705524,144.79584)"
+ id="use327826" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(40.705524,165.48096)"
+ id="use327828" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(40.705524,186.16608)"
+ id="use327830" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(40.705524,206.8512)"
+ id="use327832" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(40.705524,227.53632)"
+ id="use327834" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(50.881905)"
+ id="use327836" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(50.881905,20.68512)"
+ id="use327838" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(50.881905,41.37024)"
+ id="use327840" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(50.881905,62.055361)"
+ id="use327842" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(50.881905,82.740481)"
+ id="use327844" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(50.881905,103.4256)"
+ id="use327846" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(50.881905,124.11072)"
+ id="use327848" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(50.881905,144.79584)"
+ id="use327850" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(50.881905,165.48096)"
+ id="use327852" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(50.881905,186.16608)"
+ id="use327854" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(50.881905,206.8512)"
+ id="use327856" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(50.881905,227.53632)"
+ id="use327858" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(61.058286)"
+ id="use327860" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(61.058286,20.68512)"
+ id="use327862" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(61.058286,41.37024)"
+ id="use327864" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(61.058286,62.055361)"
+ id="use327866" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(61.058286,82.740481)"
+ id="use327868" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(61.058286,103.4256)"
+ id="use327870" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(61.058286,124.11072)"
+ id="use327872" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(61.058286,144.79584)"
+ id="use327874" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(61.058286,165.48096)"
+ id="use327876" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(61.058286,186.16608)"
+ id="use327878" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(61.058286,206.8512)"
+ id="use327880" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(61.058286,227.53632)"
+ id="use327882" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(71.234667)"
+ id="use327884" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(71.234667,20.68512)"
+ id="use327886" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(71.234667,41.37024)"
+ id="use327888" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(71.234667,62.055361)"
+ id="use327890" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(71.234667,82.740481)"
+ id="use327892" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(71.234667,103.4256)"
+ id="use327894" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(71.234667,124.11072)"
+ id="use327896" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(71.234667,144.79584)"
+ id="use327898" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(71.234667,165.48096)"
+ id="use327900" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(71.234667,186.16608)"
+ id="use327902" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(71.234667,206.8512)"
+ id="use327904" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(71.234667,227.53632)"
+ id="use327906" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(81.411048)"
+ id="use327908" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(81.411048,20.68512)"
+ id="use327910" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(81.411048,41.37024)"
+ id="use327912" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(81.411048,62.055361)"
+ id="use327914" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(81.411048,82.740481)"
+ id="use327916" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(81.411048,103.4256)"
+ id="use327918" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(81.411048,124.11072)"
+ id="use327920" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(81.411048,144.79584)"
+ id="use327922" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(81.411048,165.48096)"
+ id="use327924" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(81.411048,186.16608)"
+ id="use327926" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(81.411048,206.8512)"
+ id="use327928" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(81.411048,227.53632)"
+ id="use327930" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(91.587429)"
+ id="use327932" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(91.587429,20.68512)"
+ id="use327934" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(91.587429,41.37024)"
+ id="use327936" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(91.587429,62.055361)"
+ id="use327938" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(91.587429,82.740481)"
+ id="use327940" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(91.587429,103.4256)"
+ id="use327942" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(91.587429,124.11072)"
+ id="use327944" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(91.587429,144.79584)"
+ id="use327946" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(91.587429,165.48096)"
+ id="use327948" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(91.587429,186.16608)"
+ id="use327950" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(91.587429,206.8512)"
+ id="use327952" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(91.587429,227.53632)"
+ id="use327954" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(101.76381)"
+ id="use327956" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(101.76381,20.68512)"
+ id="use327958" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(101.76381,41.37024)"
+ id="use327960" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(101.76381,62.055361)"
+ id="use327962" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(101.76381,82.740481)"
+ id="use327964" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(101.76381,103.4256)"
+ id="use327966" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(101.76381,124.11072)"
+ id="use327968" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(101.76381,144.79584)"
+ id="use327970" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(101.76381,165.48096)"
+ id="use327972" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(101.76381,186.16608)"
+ id="use327974" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(101.76381,206.8512)"
+ id="use327976" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(101.76381,227.53632)"
+ id="use327978" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(111.94019)"
+ id="use327980" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(111.94019,20.68512)"
+ id="use327982" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(111.94019,41.37024)"
+ id="use327984" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(111.94019,62.055361)"
+ id="use327986" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(111.94019,82.740481)"
+ id="use327988" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(111.94019,103.4256)"
+ id="use327990" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(111.94019,124.11072)"
+ id="use327992" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(111.94019,144.79584)"
+ id="use327994" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(111.94019,165.48096)"
+ id="use327996" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(111.94019,186.16608)"
+ id="use327998" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(111.94019,206.8512)"
+ id="use328000" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(111.94019,227.53632)"
+ id="use328002" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(122.11657)"
+ id="use328004" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(122.11657,20.68512)"
+ id="use328006" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(122.11657,41.37024)"
+ id="use328008" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(122.11657,62.055361)"
+ id="use328010" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(122.11657,82.740481)"
+ id="use328012" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(122.11657,103.4256)"
+ id="use328014" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(122.11657,124.11072)"
+ id="use328016" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(122.11657,144.79584)"
+ id="use328018" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(122.11657,165.48096)"
+ id="use328020" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(122.11657,186.16608)"
+ id="use328022" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(122.11657,206.8512)"
+ id="use328024" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(122.11657,227.53632)"
+ id="use328026" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(132.29295)"
+ id="use328028" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(132.29295,20.68512)"
+ id="use328030" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(132.29295,41.37024)"
+ id="use328032" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(132.29295,62.055361)"
+ id="use328034" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(132.29295,82.740481)"
+ id="use328036" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(132.29295,103.4256)"
+ id="use328038" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(132.29295,124.11072)"
+ id="use328040" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(132.29295,144.79584)"
+ id="use328042" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(132.29295,165.48096)"
+ id="use328044" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(132.29295,186.16608)"
+ id="use328046" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(132.29295,206.8512)"
+ id="use328048" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(132.29295,227.53632)"
+ id="use328050" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(142.46933)"
+ id="use328052" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(142.46933,20.68512)"
+ id="use328054" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(142.46933,41.37024)"
+ id="use328056" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(142.46933,62.055361)"
+ id="use328058" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(142.46933,82.740481)"
+ id="use328060" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(142.46933,103.4256)"
+ id="use328062" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(142.46933,124.11072)"
+ id="use328064" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(142.46933,144.79584)"
+ id="use328066" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(142.46933,165.48096)"
+ id="use328068" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(142.46933,186.16608)"
+ id="use328070" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(142.46933,206.8512)"
+ id="use328072" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(142.46933,227.53632)"
+ id="use328074" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(152.64572)"
+ id="use328076" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(152.64572,20.68512)"
+ id="use328078" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(152.64572,41.37024)"
+ id="use328080" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(152.64572,62.055361)"
+ id="use328082" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(152.64572,82.740481)"
+ id="use328084" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(152.64572,103.4256)"
+ id="use328086" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(152.64572,124.11072)"
+ id="use328088" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(152.64572,144.79584)"
+ id="use328090" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(152.64572,165.48096)"
+ id="use328092" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(152.64572,186.16608)"
+ id="use328094" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(152.64572,206.8512)"
+ id="use328096" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#g326035"
+ xlink:href="#g326035"
+ transform="translate(152.64572,227.53632)"
+ id="use328098" />
+ </g>
+ </g>
+</svg>